TW578221B - Method for etching object to be processed - Google Patents

Method for etching object to be processed Download PDF

Info

Publication number
TW578221B
TW578221B TW091118087A TW91118087A TW578221B TW 578221 B TW578221 B TW 578221B TW 091118087 A TW091118087 A TW 091118087A TW 91118087 A TW91118087 A TW 91118087A TW 578221 B TW578221 B TW 578221B
Authority
TW
Taiwan
Prior art keywords
etching
gas
patent application
film
etching gas
Prior art date
Application number
TW091118087A
Other languages
English (en)
Inventor
Takashi Fuse
Kiwamu Fujimoto
Tomoyo Yamaguchi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2001264500A external-priority patent/JP2003077896A/ja
Priority claimed from JP2001264501A external-priority patent/JP2003077889A/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW578221B publication Critical patent/TW578221B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

578221 A7 _____ B7 五、發明説明(,) 技術領域 本發明係有關,將具有SiC部份或SiN部份之被處理物 ’例如,具有阻障層SiC膜或SiN膜及形成於其上之層間絕 緣膜的半導體晶圓容納於處理容器內,以鈾刻氣體之電漿 蝕刻被處理物的SiC部份或SiN部份之蝕刻方法。 先行技術 半導體裝置之配線步驟中,係先於配線層間形成層間 絕緣膜,蝕刻層間絕緣膜以導通配線層。此時,層間絕緣 膜底下形成有阻障層SiC膜、SiN膜。於是,爲形成配線圖 型,隨層間絕緣膜之後蝕刻SiC膜、SiN膜時,即係以層間 絕緣膜爲遮罩作彼等之蝕刻。 另一方面,半導體裝置中,因更高速化之要求,層間 絕緣膜漸有低介電材料之使用。如此之低介電材料已知有 有機Si系物。 而蝕刻SiC膜之技術,日本專利特開昭57- 1 2443 8號公報 揭示使用CF4及〇2之技術,特開昭62-216335號公報揭示使用 CF4、CHF3及〇2之技術,特開平4-293234號公報掲示有使用 (:11?3及人1·之技術,但這些技術之蝕刻率均僅約丨〇奈米/分鐘 ’不可謂理想。且這些技術中以有機Si系低介電膜爲遮罩 蝕刻SiC膜時,除蝕刻率小以外,有相對於上層有機si系低 介電膜之選擇比不足之問題。 而’可維持對SiN膜之足夠鈾刻率,同時相對於有機Si #低j丨電膜之飽刻選擇比局的餓刻技術則尙未存在。 本紙張^度適用中國國家標準(CG ) a4規格(2]CX 297公釐) " — -4- (請先閲讀背面之注意事項再填寫本頁) 、11 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 578221 A7 ___ B7 五、發明説明(2 ) 發明之揭示 本發明即有鑒於以上實情而完成者,其目的在提供可 於充分之蝕刻率蝕刻被處理物的Sic部份之蝕刻方法。另一 目的在提供,以有機Si系低介電膜爲遮罩蝕刻被處理物之 SiC部份時,可提高蝕刻率及相對於有機Si系低介電膜之蝕 刻選擇比的蝕刻方法。再一目的在提供,以有機Si系低介 電膜爲遮罩蝕刻被處理物之SiN部份時,可提高鈾刻率及相 對於有機Si系低介電膜之蝕刻選擇比的蝕刻方法。 本發明係其特徵爲:包括將含SiC部份之被處理物容納於 處理容器內之步驟,及供給蝕刻氣體於處理容器內並且將 蝕刻氣體電漿化,以該電漿化之鈾刻氣體蝕刻被處理物之 SiC部份的步驟,而供給於處理容器內之蝕刻氣體含CH2F2的 被處理物之蝕刻方法。 本發明係其特徵爲:包括將含Sic部份之被處理物容納於 處理容器內之步驟,及供給蝕刻氣體於處理容器內並且將 鈾刻氣體電漿化,以該電漿化之蝕刻氣體蝕刻被處理物之 SiC部份的步驟,而供給於處理容器內之蝕刻氣體含CH3F的 被處理物之蝕刻方法。 本發明係其特徵爲:包括將含SiN部份之被處理物容納於 處理容器內之步驟,及供給蝕刻氣體於處理容器內並且將 蝕刻氣體電漿化,以該電漿化之蝕刻氣體蝕刻被處理物之 SiN部份的步驟,而供給於處理容器內之蝕刻氣體含CH2F2及 〇2的被處理物之蝕刻方法。 本纸張尺度適用中國國家標率(CNS ) A4規格(2)〇X~297公釐) I . ^—0---- J——、玎------^9, (請先閱讀背面之注意事項再填寫本頁) 578221 A7 _B7_____ 五、發明説明(3 ) 圖面之簡單說明 (請先閲讀背面之注意事項再填寫本頁) 第1圖係用以實施本發明之蝕刻方法的乾式蝕刻裝置之 一例的槪略剖視圖。 第2圖(a)〜(c)係配線層上形成SiC膜,更於其上形成有機 Si系低介電膜之構造物,及該構造物之隨有機Si系低介電膜 後蝕刻SiC膜之狀態的剖視圖。 第3圖(a)〜(c)係配線層上形成SiN膜,更於其上形成有 機Si系低介電膜之構造物,及該構造物之隨有機Si系低介電 膜後蝕刻SiN膜之狀態的剖視圖。 第4圖係SiN膜之蝕刻中,Ar量及氣體壓力,與SiN膜之 蝕刻率及SiN膜相對於有機Si系低介電膜的蝕刻選擇比之關 係圖。 第5圖係用以實施本發明之蝕刻方法的磁控管電漿蝕刻 裝置之槪略剖視圖。 第6圖係用更於CH3F-〇2系蝕刻氣體添加N2氣體之蝕刻氣 體’以Si〇2膜爲遮罩蝕刻SiC膜時,N2氣體流量與SiC及SiCh 蝕刻率之關係圖。 經濟部智慧財產局員工消費合作社印製 符號說明 1蝕刻裝置 2處理室 3感受器 4支承構件 本紙張尺度適用中國國家標準(CNS ) A4規格(釐) 578221 A7 B7 五、發明説明(4 ) (請先閲讀背面之注意事項再填寫本頁) 5絕緣板 6支承台 7波紋管 8冷媒室 8a冷媒導入管 9氣體通路 11靜電吸盤 12電極 13直流電源 15集中環 16高通濾波器(HPF) 21淋灑頭 22絕緣材 23吐出孔 經濟部智慧財產局員工消費合作社印製 24淋灑頭的朝感受器3之面 26氣體導入口 27氣體供給管 28閥 30蝕刻氣體供給源 31排氣管 32閘閥 35排氣裝置 40偶極環磁石 4 0,5 0 局頻電源 本紙張尺度適用中國國家標準(cns ) A4規格(2]C,X 297公釐) 578221 A7 B7 ----—__________________ 五、發明説明(5 ) 41,51調整器 42低通濾波器(LPF) (請先閲讀背面之注意事項再填寫本頁) 60配線層 61 SiC膜 62有機Si系低介電膜;層間絕緣膜 63阻劑層 100電漿蝕刻裝置 W晶圓 發明之最佳實施形態 以下參照附加圖面詳細說明本發明之實施形態。 第1圖係用以實施本發明之乾式蝕刻裝置的槪略剖視圖 〇 該蝕刻裝置1係,電極板或上下平行相向,其一連結有 電漿形成用電源,構成電容結合型平行平板蝕刻裝置。 經濟部智慧財產局員工消費合作社印製 該蝕刻裝置1係有例如,表面經陶瓷噴鍍處理之鋁成形 爲圓筒狀之處理室2,該處理室2已作保護接地。上述處理 室2內,水平載置例如矽所成,其上形成有特定膜之半導體 晶圓(以下簡稱「晶圓」)W。具下部電極之功能的感受器 3係設置成支承於支承構件4之狀態。該支承構件4係墊以陶 瓷之絕緣板5,支承於圖未示之升降裝置的支承台6,利用 該升降機構感受器3即可升降。支承台6之下方中央的大氣 部份’係覆以波紋管7,使處理室2與大氣部份分開。 上述支承構件4內部設有冷媒室8,該冷媒室8內,有冷 本纸張尺度適用中國國家標準(CNS ) Μ規格(2丨0X297公釐) -8 - 578221 A7 B7 五、發明説明(6 ) 媒經冷媒導入管8a導入並循環,其冷熱經上述感受器3傳導 於上述晶圓W ’以此控制晶圓W之處理面於所欲之溫度。被 處理物晶圓W之背面’設有用以供給例如He氣等傳熱媒體之 氣體通路9,經該傳熱媒體感受器3之冷熱傳導至晶圓W,維 持晶圓W於特疋溫度。 上述感受器3係成其上中央部凸起之圓板狀,其上設絕 緣材之間夾有電極1 2而成之靜電吸盤11,自連結於電極1 2 之直流電源1 3施加直流電壓,以靜電吸起晶圓W。爲提升鈾 刻之均勻度,配置有環狀集中環1 5,以使上述感受器3之頂 端周緣圍住載置於靜電吸盤U上之晶圓W。 上述感受器3上方,與該感受器3平行相向設有作爲上 部電極之淋灑頭2 1。該淋灑頭2 1係墊以絕緣材2 2,固定於 處理室2上部,朝感受器3之面24有多數吐出孔23。而感受 器3與淋灑頭2 1之距離可藉上述升降機構調整。 上述淋灑頭21中央設有氣體導入口 26,並於該氣體導 入口 26連結有氣體供給管27,該氣體供給管27再經閥28連 結於蝕刻氣體供給源3 0。如此,特定蝕刻氣體即自蝕刻氣 體供給源30供給。 該鈾刻氣體供給源30係建構成可供給CH3F、CH2F2、 CF4、〇2及 Ar。 上述處理室2側壁底部附近連接有排氣管3 1,該排氣管 31連接到排氣裝置35。排氣裝置35具備渦輪分子泵等之真 空泵,藉此處理室2可抽真空至特定壓力。又,處理室2之 側壁設有閘閥32,於該閘閥32之開啓狀態下,晶圓W即可於 本纸張尺度適用中國國家標準(CNS ) Α4規格(2j〇X 297公| ^ -- -9 - I. -- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 578221 A7 B7 五、發明説明(7 ) 相鄰的裝載閘室(圖未示)間搬運。 具上部電極功能之淋灑頭2 1,係連結至高頻電源40, 其供電線上介有調整器41。該高頻電源4〇供給例如60百萬 赫之高頻。又’於淋灑頭2 1連接有低通濾波器(LPF ) 4 2。 具下部電極功能之感受器3連結有高頻電源5 0,其供電 線上介有調整器5 1。該高頻電源50供給例如2百萬赫之高頻 。又,該感受器3連接有高通濾波器(HPF ) 1 6。 其次說明利用上述蝕刻裝置蝕刻SiC膜之方法。其中, 如第2圖(a ),例如於Cu配線層60上形成作爲阻障層之SiC 膜61,其上形成有機Si系低介電膜所成之層間絕緣膜62的構 造物,以阻劑層63爲遮罩蝕刻層間絕緣膜62製作如第2圖( b )之構造後,以層間絕緣膜62爲遮罩鈾刻SiC膜。 在此,構成有機S i系低介電膜材料之典型例有,具下 示化學式之聚有機矽氧烷。 經濟部智慈財產局員工消费合作社印製 R— S — ο — I ο ο丨S·丨R I 〇 门 化學式中R係甲基、乙基、丙基等之烷基,其衍生物, 或苯基等之芳基或其衍生物。 該蝕刻之際,開啓閘閥32,將具有配線層60,SiC膜61 及SiC膜61上蝕刻成特定圖型之有機Si系低介電膜所成之層 間絕緣膜62的晶圓W搬入處理室2內,載置於感受器3上。然 後自直流電源1 3施加直流電壓於晶圖W,用靜電吸盤1 1以靜 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -10- 578221 A7 ——_______87 __ 五、發明説明(8 ) 電吸起晶圓w。然後關閉閘閥3 2,以排氣裝置3 5將處理室2 抽真空至特定真空度。 該狀態下由鈾刻氣體供給源3 0供給特定之蝕刻氣體於 處理室2內。之後,自高頻電源40施加特定頻率之高頻電力 於淋灑頭2 1,藉此,於作爲上部電極之淋灑頭2 1及作爲下 部電極的感受器3之間產生高頻電場,將蝕刻氣體電漿化, 如第2圖(c )作用於SiC膜61,進行SiC膜61之蝕刻。此時 ’自高頻電源50施加特定頻率之高頻電力於下部電極,感 受器3,電漿中之離子即被吸向感受器3側。 以主要成分係聚甲基矽氧烷之有機Si系低介電膜爲遮 罩’蝕刻SiC膜之結果說明於下。首先,使用第1圖之裝置 ’將處理室內之壓力調爲6.65帕,並將電漿形成用之60百萬 赫高頻電力供給於淋灑頭,供給離子吸引用之2百萬赫高頻 電力於感受器,使蝕刻氣體組成、流量及高頻電力作如表1 之種種變化,進行蝕刻。感受器與淋灑頭之間距係設爲3 5 毫米。 I......- - — ί I- I I — ml 1 flu (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - 578221
A B 五、發明説明(9 ) _____ 表 1 No. 氣體流量(xlO3公升/分鐘) 電力(瓦) 蝕刻率(奈米/分鐘) CH2F2 CHsF 〇2 Ar CF4 上部 下部 中央 邊緣 1 0 〇 10 100 0 1500 100 24.1 21.8 2 0 10 10 100 0 1500 100 20.8 25.0 3 0 20 10 100 0 1500 100 47.8 43.7 4 0 .30 10 100 0 1500 100 52.0 58.7 5 0 20 10 0 0 1500 100 54.2 54.2 6 0 20 10 200 0 1500 100 43.4 45.6 7 0 20 10 100 0 1500 300 84.8 89.0 8 0 30 10 100 0 1500 300 95.7 112.3 9 0 20 10 100 0 1500 100 85.3 83.2 10 10 0 10 100 5 1500 100 93.8 83.3 11 0 10 10 100 5 1500 100 72.8 64.5 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 結果如表1所示,使用含CH2F2或CHhF之蝕刻氣體時, 蝕刻率達20奈米/分鐘以上得以確認。並且其中任一相對於 有機Si系低介電膜之蝕刻選擇比,於有機Si系低介電膜之肩 部均在10以上。 又,鈾刻裝置使用如第5圖之形成磁場的電漿蝕刻裝置 100,將處理室內之壓力調爲9.98帕,供給13.56百萬赫之高 頻電力於感受器,蝕刻氣體僅用表1中No.5同樣之CH3F及〇2 氣體,這些氣體之流量及高頻電力如表2變化,進行鈾刻。 本纸張尺度適用中國國家標準(CNS ) A4規格(2]〇:< 297公釐) -12- 578221 ΑΊ Β7 五、發明説明(1〇 ) 感受器5與淋灑頭之間距係設爲27毫米。 表2 No. 氣體流量(xlO 3公升/分鐘) 電力 蝕刻率 選擇比 CH3F 〇2 (瓦) (奈米/分鐘) 12 20 30 200 130± 12% 13.5 13 20 30 300 141 ± 12% 13.3 14 30 30 300 181 ± 12% 11.0 15 30 60 300 165± 12% 10.7 l·-----^— (請先閱讀背面之注意事項再填寫本頁) 結果如表2所示,鈾刻率在130奈米/分鐘以上,蝕刻選 擇比達10.7以上。 其次藉第5圖說明磁控管電漿蝕刻裝置100。 第5圖之磁控管電漿蝕刻裝置1〇〇,具有例如表面經陶 瓷噴鍍之鋁成形爲圓筒狀之處理室2,該處理室2已接地。 上述處理室2內水平載置,例如矽所成之其上形成有特定膜 的半導體晶圓W,具下部電極之功能的感受器3係設置成支 承於支承構件4之狀態。該支承構件4係墊以陶瓷等之絕緣 板5,支承於圖未示之升降裝置的支承台6 ’藉該升降機構 感受器3即可升降。支承台6下方中央之大氣部份,因覆以 波紋管7,分隔成處理室2內及大氣部份。 上述支承構件4之內部設有冷媒室8,冷媒經冷媒導入 管8a導入該冷媒室8循環,其冷熱經上述感受器3傳導於上 述晶圓W,藉此控制晶圓W之處理面於所欲溫度。又’被處 理物晶圓W之背面設有供給傳熱媒體,例如H e氣體等之氣體 本紙張尺度適用中國國家標準(CNS ) A4規格(2iOX 297公釐) 訂 經濟部智慧財產局員工消費合作社印製 -13- 578221 Α7 Β7 五、發明説明(11 ) 通路9,感受器3之冷熱經該傳熱媒體傳導於晶圓W,維持晶 圓W於特定溫度。 上述感受器3係其上中央成形爲凸起之圓板狀’其上設 有絕緣材間夾有電極12所成之靜電吸盤11,自連結於電極 12之直流電源13施加直流電壓,以靜電吸起晶圓W。上述感 受器3上端周緣配置有,用以提升鈾刻均勻度之環狀集中環 1 5,以圍住載置於靜電吸盤11上之晶圓W。 上述處理室2之上部,設有淋灑頭21。該淋灑頭21之底 面24形成有多數之吐出孔23。而感受器3與淋灑頭21之距離 可藉上述升降機構調整。 上述淋灑頭21中央設有氣體導入口 26,而該氣體導入 口 26連接有氣體供給管27,且該氣體供給管27經閥28連接 至蝕刻氣體供給源30。如此,自鈾刻氣體供給源30供給特 定之蝕刻氣體。 該蝕刻氣體供給源30係建構成,蝕刻SiC膜時,可供給 CH3F、CH2F2、CF4、〇2及 Αι·。 上述處理室2側壁底部附近連接有排氣管3 1,該排氣管 31連接至排氣裝置35。排氣裝置35具備渦輪分子泵等之真 空泵,藉此處理室2內可抽真空至特定壓力。又,處理室2 之側壁設有閘閥32,於該閘閥32之開啓狀態下,晶圓W即可 於相鄰的裝載閘室(圖未示)之間搬運。 又’感受器3係經調整器51連結有高頻電源50。 另一方面,處理室2之上部周圍配置有偶極環磁石40。 偶極環磁石40係將多數之各向異性柱狀磁石排成環狀之磁 本紙張尺度適用中國國家標準(CNS ) A4規格(2;CX29?公釐) L------^II豐—— (請先閲讀背面之注意事項再填寫本頁)
、tT 經濟部智慧財產局員工消費合作社印製 -14 - 578221 Μ _ Β7 五、發明説明(.12 ) 性物,配置於表殼內側成環狀,這些多數各向異性柱狀磁 石之磁化方向各稍錯開,形成整體一致之水平磁場。 因此,如第5圖,藉該偶極環磁石40於感受器3與淋灑 頭2 1之間的空間形成水平磁場,以電源50形成垂直方向之 電場,故形成直交之電磁場,電子隨之起漂移運動,產生 高能量之磁控管放電。因此,可藉如此產生之高能量狀態 的處理氣體之電漿,以高效率蝕刻晶圓W上之膜。 構成偶極環磁石40之各向異性柱狀磁石,其形狀無特 殊限制’可採用圓柱狀或方柱狀物。而構成各向異性柱狀 磁石之磁石材料亦無特殊限制,可用例如稀土系磁石、純 粒鐵系磁石、鋁鎳銘磁石等種種磁石材料。 其次說明使用第1圖之蝕刻裝置蝕刻SiN膜的方法。在 此係用第2圖之構造,其上述SiC由SiN取代之膜。 第1圖中,蝕刻氣體係用CH2F2、〇2及Αι♦。隨Αι·量調整處 理室2內之氣體壓力,可維持高蝕刻率,同時提升s iN膜相 對有機Si系低介電膜之蝕刻選擇比。 具體而言,係得第4圖之關係。第4圖呈示,固定CH2F2 於0.01公升/分鐘,〇2於〇·〇1公升/分鐘之流量,使Al•流量對 應於Ai·/ ( CH2F2 + Ch )之成爲〇至15在0至0.3公升/分鐘之範圍 ,處理室內氣體壓力於較佳範圍1.3至12.0帕之間變動,蝕 刻SiN膜之結果。其中,於淋灑頭施加60百萬赫,1 500瓦之 高頻電力,於感受器加2百萬赫,100瓦之高頻電力。如該 圖,第4圖之斜線區域S iN膜之蝕刻率在1 0 0奈米/分鐘以上 ,相對於有機Si系低介電膜之蝕刻選擇比在1 〇以上。亦即 本紙張尺度適用中國國家標準(CNS ) A4規格(2】C、X297公釐) l·--------— (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 >15- 578221 A7 一 ____B7 五、發明説明(13 ) (請先閲讀背面之注意事項再填寫本頁) 可知Αι*流量在0至0.3公升/分鐘,處理室內氣體壓力在1.3至 1 2.0帕之範圍時,有隨Ar流量之恰當氣體壓力。並且,當 Ar流量在0.1公升/分鐘,處理室內壓力爲6 65帕時,蝕刻率 係中央232.5奈米/分鐘,邊緣250.0奈米/分鐘,鈾刻選擇比 於有機Si系低介電膜之肩部在1〇以上。 又,上部電極淋灑頭21之高頻電壓的峰至峰(peak to peak)値Vpp係以在3 00伏特以下爲佳。如此規定Vpp値,即 可提高相對於有機Si系低介電膜之蝕刻選擇比。 本發明不限於上述實施形態,可有種種變化。例如, 上述實施形態中係呈示形成爲有機Si系低介電膜之下層的 SiC膜之蝕刻,但不限於此,亦可構成相對於有機。系低介 電膜,選擇性蝕刻SiC膜。 經濟部智慧財產局員工消費合作社印製 如以上說明,根據本發明,以含CH2F2之氣體或含CH3F 之氣體鈾刻SiC,可得高蝕刻率。若用含ch2f2之氣體或ch3f 之氣體,以有機Si系低介電膜爲遮罩蝕刻s iC,可作蝕刻率 高,且相對於有機Si系低介電膜之蝕刻選擇比高之蝕刻。 而用含CH2F2及〇2之氣體,以有機Si系低介電膜爲遮罩蝕刻 SiN,可作鈾刻率高且相對於有機Si系低介電膜鈾刻選擇比 商之鈾刻。 其次詳細說明使用第5圖之磁控管電漿鈾刻裝置的SiC 膜鈾刻方法。在此係用弟2圖中取代有機s i系低介電膜之 SiCh膜。 第5圖中’蝕刻氣體係用CH3F、02及n2之混合氣體。此 時係以處理室內氣體壓力爲10帕,RF功率300瓦,CHsF氣體 本紙張尺度適用中國國家標準(CNS ) A4規袼(210X 297公釐) -16- 578221 A7 __ __B7 五、發明説明(14 ) k夏及0 2氣體流量之任一在0.0 3公升/分鐘之條件爲標準條 件。結果如第6圖,隨N2氣體之增加,SiC膜之蝕刻率遽升 ’另一方面,Si〇2之蝕刻率即使增加%氣體也幾乎不上升。 亦即,N2氣體量愈增多,相對於SiCh的SiC膜之蝕刻比上升 。又’用有機Si系低介電膜作爲層間絕緣膜時,將上述標 準條件加上N2氣體〇.〇1公升/分鐘之流量,作1〇〇奈米厚的 SiC膜之蝕刻時,蝕刻率達77奈米/分鐘,相對於有機Si系低 介電膜之蝕刻選擇比在10以上。如此得知,於CH3F-〇2系再 加上N2用作蝕刻氣體,可於極高蝕刻率蝕刻SiC膜,相對於 用作層間絕緣膜之3丨〇2膜及有機Si系低介電膜之蝕刻選擇比 可予提高。而因第1圖之裝置與第5圖之裝置的構造差異, 蝕刻率及選擇比之値亦有不同。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(2]0X 297公釐) -17 -

Claims (1)

  1. 578221 A8 B8 C8 D8 々、申請專利範_ 1 . 一種被處理物之蝕刻方法,其特徵爲:包括 將含SiC部份之被處理物容納於處理容器內之步驟,及 於處理容器內供給蝕刻氣體,並且將蝕刻氣體電漿化,以 該電漿化之鈾刻氣體鈾刻被處理物的SiC部份之步驟, 而供給於處理容器內之蝕刻氣體含CHiFa。 2 .如申請專利範圍第1項之被處理物的蝕刻方法,其 中蝕刻氣體更含〇2。 3 .如申請專利範圍第1或2項之被處理物的鈾刻方法, 其中蝕刻氣體更·含Ar。 . 4 . 一種被處理物之蝕刻方法,其特徵爲:包括: 將含SiC部份之被處理物容納於處理容器內之步驟,及 於處理容器內供給鈾刻氣體,並且將鈾刻氣體電漿化 ,以該電漿化之蝕刻氣體蝕刻被處理物的SiC部份之步驟, 而供給於處理容器內之蝕刻氣體含CH3F。 5 ·如申請專利範圍第4項之被處理物的蝕刻方法,其 中鈾刻氣體更含〇2。 6 ·如申請專利範圍第5項之被處理物的蝕刻方法,其 中蝕刻氣體更含N2。 7 ·如申請專利範圍第6項之被處理物的蝕刻方法,其 中蝕刻氣體內(CH3F + 〇2)之流量/N2之流量的比爲2至12。 8 .如申請專利範圍第1、2、4、5、6.、7項中任一項之 被處理物的蝕刻方法,其中被處理物有設於SiC部份上作爲 絕緣膜之SiCh膜, 以該SiCh膜爲遮罩蝕刻被處理物之SiC部份。 本紙張尺度適用中國®家橾準(CNS )入4綠(210父:2规笼) ~ 一 ---— (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 經濟部智慧財產局員工消費合作社印製 578221 A8 B8 C8 _______D8 六、申請專利範菌 9 ·如申請專利範圍第4至7項中任一項之被處理物的蝕 刻方法,其中鈾刻氣體更含cf4。 (請先閲讀背面之注意事項再填寫本頁) I 〇 ·如申請專利範圍第4至7項中任一項之被處理物的 蝕刻方法,其中蝕刻氣體更含Ar。 II ·如申請專利範圍第1或4項之被處理物的蝕刻方法 ’其中被處理物有設於SiC部份上作爲絕緣膜之有機Si系低 介電膜, 以該有機Si系低介電膜爲遮罩,蝕刻被處理物之SiC部 份。 . 1 2 . —種被處理物之蝕刻方法,其特徵爲:包括 將含SiN部份之被處理物容納於處理容器內之步驟,及 於處理容器內供給鈾刻氣體,並將鈾刻氣體電漿化, 以該電漿化之蝕刻氣體蝕刻被處理物之SiN部份的步驟, 而供給於處理容器內之蝕刻氣體含CH2F2及〇2, 其中被處理物有設於SiN部份上作爲絕緣膜之有機Si系 低介電膜, 以該有機Si系低介電膜爲遮罩,蝕刻被處理物之SiN部 份。 經濟部智慧財產局員工消費合作社印製 1 3 .如申請專利範圍第1 2項之被處理物的鈾刻方法, 其中蝕刻氣體更含M·。 1 4 ·如申請專利範圍第1 3項之被處理物的蝕刻方法, 其中蝕刻氣體內Ar之流量MCH2F2 + 00之流量的比爲〇至15。 本紙張尺度適用中國國家標準(CNS ) A4規格(21(^29¾¾ )
TW091118087A 2001-08-31 2002-08-12 Method for etching object to be processed TW578221B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001264500A JP2003077896A (ja) 2001-08-31 2001-08-31 エッチング方法
JP2001264501A JP2003077889A (ja) 2001-08-31 2001-08-31 エッチング方法

Publications (1)

Publication Number Publication Date
TW578221B true TW578221B (en) 2004-03-01

Family

ID=26621462

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091118087A TW578221B (en) 2001-08-31 2002-08-12 Method for etching object to be processed

Country Status (4)

Country Link
US (2) US7432207B2 (zh)
CN (2) CN100559554C (zh)
TW (1) TW578221B (zh)
WO (1) WO2003021652A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214336A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
GB201217712D0 (en) * 2012-10-03 2012-11-14 Spts Technologies Ltd methods of plasma etching
CN103903978B (zh) * 2012-12-27 2016-12-28 南亚科技股份有限公司 蚀刻方法
US9318696B2 (en) 2014-03-03 2016-04-19 Qualcomm Incorporated Self-aligned top contact for MRAM fabrication
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
CN110938434B (zh) * 2019-12-05 2021-02-02 中国科学院微电子研究所 内侧墙的刻蚀方法、刻蚀气体及纳米线器件的制备方法
CN112921403A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 碳化硅晶片的刻蚀方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3603725C2 (de) * 1986-02-06 1994-08-18 Siemens Ag Verfahren zur Strukturierung von Siliciumcarbid
CA2008464A1 (en) * 1990-01-24 1991-07-24 Jacobus N. Hanemaayer Window operator for use with awning window assembly
US5232184A (en) * 1992-09-24 1993-08-03 Pioneer Aerospace Corporation Self-staging parachute apparatus
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0855835A (ja) 1994-08-15 1996-02-27 Sony Corp プラズマエッチング装置およびプラズマエッチング方法
DE19503447A1 (de) * 1995-02-03 1996-08-08 Hoechst Trevira Gmbh & Co Kg Massenträger und Elektroden für galvanische Primär- und Sekundärelemente
JP3530676B2 (ja) * 1995-04-26 2004-05-24 キヤノン株式会社 光受容部材の製造方法、該光受容部材、該光受容部材を有する電子写真装置及び該光受容部材を用いた電子写真プロセス
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US5958793A (en) * 1997-12-24 1999-09-28 Sarnoff Corporation Patterning silicon carbide films
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6207544B1 (en) * 1998-12-09 2001-03-27 Advanced Micro Devices, Inc. Method of fabricating ultra thin nitride spacers and device incorporating same
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
TW429445B (en) * 1999-08-02 2001-04-11 Taiwan Semiconductor Mfg Fabricating method of floating gate for stacked-gate nonvolatile memory
JP2001068455A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法
JP2001127151A (ja) * 1999-10-26 2001-05-11 Fujitsu Ltd 半導体装置およびその製造方法
US6329290B1 (en) * 2000-02-24 2001-12-11 Conexant Systems, Inc. Method for fabrication and structure for high aspect ratio vias
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP2002110644A (ja) 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6448177B1 (en) * 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
JP4906278B2 (ja) * 2005-06-06 2012-03-28 エルピーダメモリ株式会社 半導体装置の製造方法
JP4293234B2 (ja) * 2006-12-05 2009-07-08 日本電気株式会社 シンクライアントにおける接続管理方法及び接続管理サーバ

Also Published As

Publication number Publication date
CN100559554C (zh) 2009-11-11
US20040206725A1 (en) 2004-10-21
WO2003021652A1 (en) 2003-03-13
CN101667536A (zh) 2010-03-10
US7507673B2 (en) 2009-03-24
US20070111530A1 (en) 2007-05-17
CN1650405A (zh) 2005-08-03
CN101667536B (zh) 2012-07-04
US7432207B2 (en) 2008-10-07

Similar Documents

Publication Publication Date Title
JP6484665B2 (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
TW561545B (en) Plasma processing system with dynamic gas distribution control
JP6154390B2 (ja) 静電チャック
US11380551B2 (en) Method of processing target object
KR20190040082A (ko) 고 압축/인장 휨 웨이퍼들 상의 두꺼운 텅스텐 하드마스크 막들의 증착
US9852922B2 (en) Plasma processing method
WO2001080297A1 (fr) Appareil de traitement au plasma
TWI392050B (zh) 電漿處理裝置
JP2016536792A (ja) 遠隔プラズマcvd技術を使用する低温窒化ケイ素フィルム
US20040040931A1 (en) Plasma processing method and plasma processor
TWI232243B (en) System and method for depositing inorganic/organic dielectric films
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20120000232U (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
US7507673B2 (en) Method for etching an object to be processed
CN107068557A (zh) 半导体器件的制造方法
WO2013187429A1 (ja) プラズマエッチング方法及びプラズマ処理装置
JP3820188B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI831824B (zh) 非uv高硬度低介電常數膜沉積
JP5580844B2 (ja) エッチング方法
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
KR100781474B1 (ko) 산화막 에칭 방법
TWI787239B (zh) 有機材料的蝕刻方法及設備
JP2003077896A (ja) エッチング方法
TW200302694A (en) Etching method and etching device
KR102489934B1 (ko) 식각 처리 장치 및 식각 처리 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees