TW556405B - Acoustic detection of dechucking and apparatus therefor - Google Patents

Acoustic detection of dechucking and apparatus therefor Download PDF

Info

Publication number
TW556405B
TW556405B TW091106000A TW91106000A TW556405B TW 556405 B TW556405 B TW 556405B TW 091106000 A TW091106000 A TW 091106000A TW 91106000 A TW91106000 A TW 91106000A TW 556405 B TW556405 B TW 556405B
Authority
TW
Taiwan
Prior art keywords
substrate
condition
semiconductor substrate
pin
sound
Prior art date
Application number
TW091106000A
Other languages
English (en)
Inventor
Andreas Fischer
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW556405B publication Critical patent/TW556405B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/04Analysing solids
    • G01N29/12Analysing solids by measuring frequency or resonance of acoustic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/02Indexing codes associated with the analysed material
    • G01N2291/028Material parameters
    • G01N2291/02827Elastic parameters, strength or force
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/26Scanned objects
    • G01N2291/269Various geometry objects
    • G01N2291/2698Other discrete objects, e.g. bricks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Acoustics & Sound (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

發明範喼 本發明相關一種偵測基;6 Ν丞板凡成脫離的方法及其裝置,例 如在真空室電漿製程等製程期卩卩 +女 衣狂/月間。此方法可用以偵測半導 體晶圓的脫離。用以偵測穿点、盼 , 疋成脫離的裝置可併入用以舉高 基板的舉高接腳裝置中,此類其 此韻基板如+導體晶圓或平面板 顯示器基板等。 發明背景 半導體製程中存在多種設備,如電漿蝕刻、離子植入、 濺鍍、快速熱製程(RTP)、微影技術、化學氣體沈積(c VD) 及平面板顯示器製造方法,其中實行蝕刻、阻抗剝落、鈍 化作用、沈積等等。此系統中,須由舉高接腳機構運送及/ 或支撐基板’此類舉高接腳機構在基板的傳送、加熱、化 學、光學及其他處理期間,可用以暫時支撐基板。 在多種此類半導體製造方法中使用電漿產生,電衆產生 設備包括如在共同持有美國專利第4,340,462號所揭露的那 種並列板反應爐;如在共同持有美國專利第5,200,232號所 揭露的那種電子離子加速諧振器(ECR)系統;及如在共同持 有美國專利第4,948,458號所揭露的那種感應耦合電漿系統 。在此電漿處理系統中,通常在部分電漿處理室内在基板 容器上支撐將處理的基板,此外亦常藉由機械式及/或靜電 鉗夾機構在基板容器上固定基板。在美國專利第4,615,755 號揭露機械鉗夾系統的例子,並在美國專利第4,554,611號 揭露靜電夾頭(ESC)裝置的例子。 為了傳送如晶圓類的基板進入基板處理室,通常利用機 556405 A7 B7 五、發明説明 械手臂及舉高接腳裝置,此類裝置如在美國專利第 4,43 1,473、4,790,258、4,842,683 及 5,215,619所揭露的型式 。為了將晶圓降至基板容器,通常使用舉高接腳裝置,如 在美國專利第4,431,473號所揭露的型式,其中將四個舉高 接腳以晶圓形式的基板為中心作成圓形配置。 美國專利第5,948,986號揭露一種在一 ESC以靜電钳住基板 之前,利用聲波偵測基板存在的技術;美國專利第 6,182,5 10 B1揭露一種在晶圓處理期間,利用聲波測量晶圓 溫度的裝置,例如使用舉高接腳作為接腳轉換器,將聲波 傳送至晶圓。美國專利第5,872,694號揭露一種裝置,用以 在晶圓内判定扭曲並提供一具ESC的最優夾壓。一旦完成晶 圓製程,已有多種技術提出用以脫離晶圓及/或判定何時已 充分釋放鉗夾力的建議,而容許安全移動晶圓,請參見美 國專利第 5,117,121 ' 5,491,603、5,790,365、5,8 18,682、 5,900,062、5,956,837及 6,057,244號。 雖然已提出用以監控/預測何時已完成脫離的技術,但此 類技術不足以判定何時已充分減少在基板上的鉗夹力,並 藉由舉高接腳或其他傳送機構,容許基板從固定表面移動 。如此看來,此藝中需要一種更準確的技術,以判定何時 已充分解開基板,並容許基板由鉗位表面傳送。 發明總結 本發明提供一種可用以偵測脫離靜電夾頭的方法及裝置 ’裝置包括一基板支撐,基板支撐包括靜電夾頭,適於以 靜電將半導體基板甜夾在其支樓表面上;一聲音信號產生
裝 訂
556405 A7 B7 五、發明説明(4) ^ ^ 場在基板的下表面產生電荷,基板與高壓電極的電荷互相 作用,結果在基板與ESC間有一淨吸力。當電漿蝕刻、沈積 等製程完成時,須將基板由真空室送出,俾能以相同方式 處理下一個基板。進行此項步驟,必須在以舉高接腳裝置 等裝置舉南基板前,先將基板與先將基板與ESC間的鉗夾力 減少至一門樓值。 本發明透過脫離技術提供改良方法及裝置,此脫離技術 依賴經驗判斷,以估算何時已發生充分的脫離。惟基板型 式間的差異及/或經過時間後E S C效能的改變,在判斷何時 已發生充分的脫離上會導致不正確的情形。本發明已提供 克服此問題的方法,藉由使用基板的饋回,能更正確判斷 何時將基板安全地從ESC移開。根據本發明一較佳方法是利 用聲波信號,以監控基板的狀況並判斷何時可安全移動基 根據第一較佳實例,將一聲音信號傳送至基板,並使用 聲音偵測器之類的偵測器監控由基板反射的聲音信號。因 為將基板夾在固定體時,比較基板與固定體間的鉗夾力降 至某一門檻以下,所反射的聲音信號表現不同,所以可偵 測何時已將鉗夾力減至足以安全地移動基板的程度。 傳送聲音信號至基板的技術係使用一舉高接腳裝置的至 少一舉高接腳,將聲音信號耦合至基板。可選擇與基板機 械諧振頻率匹配的聲波頻率,因為只要基板未完全脫離ESc ’基板與ESC將形成一耦合系統。由於耦合系統更形混亂, 其諧振頻率將比基板本身的頻率為低。由於此耦合效應, 本紙張尺度適用中g g家標準(CNS) A4規格(⑽^97公董) 556405 A7 ____B7 _ 五、發明説明) ' ' ----- 基板不會吸收舉高接腳或接腳所傳送的聲波,反而會反射 出去。另一方面,當基板完全未與ESC耦合,所傳送的聲波 將與基板的機械特徵頻率成諧振,而由基板所吸收。 可使用舉高接腳底部的聲音偵測裝置監控聲波的振幅, 發生吸收時,振幅將由於諧振放大率而明顯變高,因此, 感應到振幅增加時,可從控制器送出舉高信號至接腳舉高 機構,而使舉高接腳將基板昇高而離開ESC ,然後可使用機 械手臂將基板從真空室移開。 圖1根據本發明說明一併入聲音監裝置的裝置,此裝置包 括聲θ發射器/接收器,接在在一舉高接腳底座14的一 軸12。舉南接腳底座14包括延伸入固定體18開口的舉高接 腳16,固定體在其上部包括一 ESC,用以將基板2〇以靜電鉗 夾在固定體18的上表面。一氣動圓筒22有效地藉由垂直移 動的軸12將基板20昇高及降下,打開閥26時,即經線路24 將壓縮氣體供應至圓筒22,例如,可使用控制器3〇監控來 自發射器/接收器的饋回信號,並在發射器/接收器指示諧振 放大率已增至門檻值以上時,即操作閥26以昇高舉高接腳 16 ’該門檻值係對應至何時已充分脫離基板,並容許藉由 接腳舉高機構安全地將基板移開。 上述典型實例意圖說明本發明所有概念,但非用以侷限 本發明。因此熟諳此藝者可由此說明衍生的細節實作中做 出許多變化,而將所有此類變化與改良視為在本發明後附 申請範圍所界定的範疇與精神之内。 -8 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 556405 m 92. ?. 21 第091106000號專利申請案 中文申請專利範圍替換本(92年7月) 六、申請專利範圍 一種用以偵測脫離靜電夾頭之裝置,包括: 基板支撐,包括一靜電夾頭,適於將半導體基板以 靜電夾在其支撐表面上; 一聲音信號產生器,適於將聲音信號傳送至半導體基 板; 一偵測裝置,適於偵測半導體基板之第一及第二狀況 、,當以靜電夾住半導體基板即㈣到第—狀況,當所有 半導體基板未以靜電夾住時,偵測裝置㈣測到第二狀 況。 如申請專利範圍第1項之裝置,其中基板支撐包括舉高 接腳’與基板支撐接觸;及聲音信號產生器,透過至少 -舉高接料送聲音㈣,舉高接腳安裝料導體基板 上。 如申請專利範圍第丨項之裝置,並 坐造Μ甘U T聲音#號產生器以 半導體基板機械式諧振頻率輪屮 貝丰輸出聲波,當偵測裝置偵測 到由基板吸附之聲波增加時,即偵測到第二狀況。 如申請專利範圍第丨項之步罟, ^ ^ 展置尚包括一接腳舉高機構 及一控制器,控制器由侈測# 鈐屮Μ ^ ^ 偵測裝置接收到指示第二狀況之 輸出k 5虎’並在偵測到第-你 以昇高基板。 W一狀况時,啟動舉高接聊機構 如申請專利範圍第4項之穿f,甘士血一 動操作,控制器藉由打開中舉南接腳機構為氣 筒,氣動圓筒即昇高—轴二^、應壓縮氣體至氣動圓 t ^ # μ r ρπ ^ 1 軸連接在舉高接腳基座。 戈口甲明專利靶圍第i項之步 乂 ,、中基板支撐位於一電 本紙張尺度適财a s緖準(CNS) 556405
    漿I虫刻室中。 7 j, ’如申請專利範圍第1項之裝置,其中基板支撐位於— CVD室中。 8·如申請專利範圍第1項之裝置,其中基板支撐包括數個 9開口’透過開口在基板與支撐表面間供應一熱傳送氣體。 T申請專利範圍第1項之裝置,其中半導體基板為一矽 曰曰圓,當晶圓吸收到聲音信號產生器所產生之聲波時, 即偵測到第二狀況。 種偵測脫離靜電夾頭之方法,包括: 在一基板支撐上支撐一半導體基板,包括一靜電夾頭 ,適於在其支撐表面以靜電夾住半導體基板; 以聲音信號產生器產生聲音信號,適於將聲音信號傳 送至半導體基板; 半導體基板之第一及第二狀況,當以靜電夾住半導體 基板時,即谓測到第一狀況,當半導體基板未以一門檻 夾住力以靜電夾住時,則偵測到第二狀況。 ⑴如中請專利範圍第H)項之方法,其中基板支樓包括舉高 接腳,其與基板支撐接觸;及聲音信號產生器透過至少 一舉高接腳傳送聲音信號,舉高接腳安裝於半導體基板 上。 12.如中請專利範圍第1G項之方法,其中聲音㈣產生器以 +導體基板機械式諧振頻率輸出聲波,當㈣裝置偵測 到由基板吸附之聲波增加時,即偵測到第二狀況。 13 ·如申清專利範圍第1 〇項之方決 貝l万忐尚包括一接腳舉高機構 ____ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公董~—
    及-控制n ’控制器由相裝置接收到指示第二狀況之 輸出信號,並在偵測到第二狀況時,啟動舉高接腳機構 以昇而基板。 14. 如申請專利範圍第13項之方法,其中舉高接腳機構為氣 動操作,控制器藉由打開一閥而供應壓縮氣體至氣動圓 15. 筒,氣動圓筒即昇高一軸,該軸連接在舉高接腳基座。 ,申清專利範圍第1G項之方法,其中基板支撐位於一電 水蝕刻至中,在半導體基板上蝕刻一層後,即偵測 —狀況。 16·如申,專利範圍第10項之方法,其中基板支撐位於一 CVD至中’在半導體基板上沈積一層後,即偵測到二 狀況。 一 17.如f請專利範圍第_之方法,其中基板支撐包括數個 開口透過開口在基板與支撐表面間供應一熱傳送氣體。 18·如申請專㈣圍第1G項之方法,其中半導體基板為一石夕 晶圓,當晶圓吸收到聲音信號產生器所產生之 , 即偵測到第二狀況。 ------- . -3 - 本紙張尺度相巾a _家標準(CNS) A4規格(21QX297公董)
TW091106000A 2001-03-27 2002-03-27 Acoustic detection of dechucking and apparatus therefor TW556405B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/817,162 US6403322B1 (en) 2001-03-27 2001-03-27 Acoustic detection of dechucking and apparatus therefor

Publications (1)

Publication Number Publication Date
TW556405B true TW556405B (en) 2003-10-01

Family

ID=25222467

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091106000A TW556405B (en) 2001-03-27 2002-03-27 Acoustic detection of dechucking and apparatus therefor

Country Status (7)

Country Link
US (2) US6403322B1 (zh)
EP (1) EP1374283A2 (zh)
JP (1) JP4153309B2 (zh)
KR (1) KR100830070B1 (zh)
CN (1) CN1329947C (zh)
TW (1) TW556405B (zh)
WO (1) WO2002078062A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230204449A1 (en) * 2021-12-29 2023-06-29 Semes Co., Ltd. Apparatus and method for inspecting gas leak

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3581303B2 (ja) * 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
US6481723B1 (en) * 2001-03-30 2002-11-19 Lam Research Corporation Lift pin impact management
US7073383B2 (en) * 2001-06-07 2006-07-11 Tokyo Electron Limited Apparatus and method for determining clamping status of semiconductor wafer
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
EP1475670B1 (en) * 2003-05-09 2008-10-29 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1475667A1 (en) * 2003-05-09 2004-11-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system
JP4553375B2 (ja) * 2005-06-16 2010-09-29 信越化学工業株式会社 静電チャックのデチャック特性の評価方法および評価装置
JP4836512B2 (ja) * 2005-07-29 2011-12-14 東京エレクトロン株式会社 基板昇降装置および基板処理装置
KR101296911B1 (ko) * 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
US7508494B2 (en) * 2006-12-22 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and a subtrate table for exciting a shockwave in a substrate
US20110164955A1 (en) * 2009-07-15 2011-07-07 Applied Materials,Inc. Processing chamber with translating wear plate for lift pin
US8797705B2 (en) * 2009-09-10 2014-08-05 Lam Research Corporation Methods and arrangement for plasma dechuck optimization based on coupling of plasma signaling to substrate position and potential
US20110060442A1 (en) * 2009-09-10 2011-03-10 Valcore Jr John C Methods and arrangement for detecting a wafer-released event within a plasma processing chamber
CN102110629B (zh) * 2009-12-23 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 静电夹持装置、减少残余电荷的方法及等离子体处理设备
CN101826452B (zh) * 2010-03-30 2011-09-07 东莞宏威数码机械有限公司 基片上载装置
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6180811B2 (ja) * 2013-06-19 2017-08-16 株式会社荏原製作所 基板処理装置
US10024825B2 (en) * 2014-12-26 2018-07-17 Axcelis Technologies, Inc. Wafer clamp detection based on vibration or acoustic characteristic analysis
JP6651994B2 (ja) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 基板処理装置、メンテナンス用治具、基板処理装置のメンテナンス方法及び記憶媒体
CN110199384B (zh) * 2017-01-31 2020-08-14 应用材料公司 处理基板的方法及用于保持基板的基板载体
JP6866830B2 (ja) * 2017-11-22 2021-04-28 株式会社島津製作所 材料試験機および把持力検出方法
US10663871B2 (en) * 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
KR20210063918A (ko) 2019-11-25 2021-06-02 삼성전자주식회사 리프트 장치 및 이를 포함하는 기판 처리 장치

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
GB2154365A (en) 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5220232A (en) 1991-09-03 1993-06-15 Allied Signal Aerospace Stacked magnet superconducting bearing
US5436790A (en) 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5858099A (en) * 1996-04-09 1999-01-12 Sarnoff Corporation Electrostatic chucks and a particle deposition apparatus therefor
US5788814A (en) * 1996-04-09 1998-08-04 David Sarnoff Research Center Chucks and methods for positioning multiple objects on a substrate
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
JP3436846B2 (ja) 1996-06-20 2003-08-18 シャープ株式会社 パケット順序情報を付与した通信方法
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5818682A (en) 1996-08-13 1998-10-06 Applied Materials, Inc. Method and apparatus for optimizing a dechucking period used to dechuck a workpiece from an electrostatic chuck
JP3245369B2 (ja) * 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
CA2272956A1 (en) 1996-11-22 1998-05-28 Autospect, Inc. Physical parameter measuring apparatus and method thereof
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6004752A (en) * 1997-07-29 1999-12-21 Sarnoff Corporation Solid support with attached molecules
JPH1154604A (ja) * 1997-08-08 1999-02-26 Sony Corp ウエハステージからのウエハ脱着方法
US5872694A (en) 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US5948986A (en) 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
JP3554778B2 (ja) 1998-01-23 2004-08-18 株式会社村田製作所 非線形誘電体素子
JPH11233603A (ja) * 1998-02-16 1999-08-27 Nissin Electric Co Ltd 静電チャック吸着力検知方法
JPH11260897A (ja) * 1998-03-12 1999-09-24 Matsushita Electric Ind Co Ltd 基板の取り扱い方法と装置、それに用いる吸着検査方法、装置
US6057244A (en) 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6326149B1 (en) * 1998-11-03 2001-12-04 Sarnoff Corporation Method for controlled electrostatic adherent deposition of particles on a substrate
US6307728B1 (en) * 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230204449A1 (en) * 2021-12-29 2023-06-29 Semes Co., Ltd. Apparatus and method for inspecting gas leak

Also Published As

Publication number Publication date
US6403322B1 (en) 2002-06-11
JP4153309B2 (ja) 2008-09-24
KR20030085572A (ko) 2003-11-05
WO2002078062A2 (en) 2002-10-03
US6578423B2 (en) 2003-06-17
US20020142492A1 (en) 2002-10-03
KR100830070B1 (ko) 2008-05-16
EP1374283A2 (en) 2004-01-02
CN1520607A (zh) 2004-08-11
CN1329947C (zh) 2007-08-01
JP2004534384A (ja) 2004-11-11
WO2002078062A3 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
TW556405B (en) Acoustic detection of dechucking and apparatus therefor
CN101189772B (zh) 用于等离子体反应器的具有智能升降销机构的静电卡盘
KR101876501B1 (ko) 인-시츄 제거 가능한 정전 척
US8628288B2 (en) Substrate transport apparatus with active edge gripper
US5956837A (en) Method of detaching object to be processed from electrostatic chuck
WO2002045137A3 (en) Reduced edge contact wafer handling system and method of retrofitting and using same
TWI686593B (zh) 基於振動或聲學特性分析的晶圓夾具偵測
US5948986A (en) Monitoring of wafer presence and position in semiconductor processing operations
JP2011515856A (ja) 静電容量感知機能を有する静電チャック組立体及びその動作方法
KR20100094416A (ko) 정전 척으로부터의 웨이퍼의 최적화된 제거 방법
US6684523B2 (en) Particle removal apparatus
US6779226B2 (en) Factory interface particle removal platform
KR20080040801A (ko) 정전력 측정장치 및 이를 이용한 정전력 측정방법
US20030037803A1 (en) Method for removing contamination particles from substrates
US20040182311A1 (en) Semiconductor processing apparatus having semiconductor wafer mounting
US11171030B2 (en) Methods and apparatus for dechucking wafers
JP2018078197A (ja) プラズマ処理装置およびプラズマ処理方法
JP2000031252A (ja) 静電チャックを備えた半導体製造装置および静電チャックからのウエハ離脱方法
CN207938584U (zh) 气锁及晶圆传送装置
JP2010245304A (ja) 静電チャックの再生方法
JP2007335657A (ja) プラズマ処置装置
TWI842046B (zh) 防止夾環脫離的基板移送裝置和處理裝置、及基板移送方法
KR102294889B1 (ko) 다이 픽업 모듈 및 이를 포함하는 다이 본딩 장치
TW202347575A (zh) 防止夾環脫離的基板移送裝置和處理裝置、及基板移送方法
JP2023066372A (ja) 基板テスト装置およびそれを用いるデチャック力の測定方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees