JP4153309B2 - デチャックの音響検出及びその装置 - Google Patents

デチャックの音響検出及びその装置 Download PDF

Info

Publication number
JP4153309B2
JP4153309B2 JP2002575998A JP2002575998A JP4153309B2 JP 4153309 B2 JP4153309 B2 JP 4153309B2 JP 2002575998 A JP2002575998 A JP 2002575998A JP 2002575998 A JP2002575998 A JP 2002575998A JP 4153309 B2 JP4153309 B2 JP 4153309B2
Authority
JP
Japan
Prior art keywords
semiconductor substrate
state
substrate
detected
acoustic signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002575998A
Other languages
English (en)
Other versions
JP2004534384A (ja
Inventor
アンドレアス フィッシャー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004534384A publication Critical patent/JP2004534384A/ja
Application granted granted Critical
Publication of JP4153309B2 publication Critical patent/JP4153309B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/04Analysing solids
    • G01N29/12Analysing solids by measuring frequency or resonance of acoustic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/02Indexing codes associated with the analysed material
    • G01N2291/028Material parameters
    • G01N2291/02827Elastic parameters, strength or force
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/26Scanned objects
    • G01N2291/269Various geometry objects
    • G01N2291/2698Other discrete objects, e.g. bricks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Acoustics & Sound (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

発明の分野
本発明は、基板のデチャック(dechucking)の完了を検出する方法及びそのための装置に関する。本発明は、真空チャンバ内のプラズマ処理等の処理中における半導体ウエハのデチャックを検出するのに有用である。デチャックの完了を検出するための装置は、半導体ウエハ又はフラットパネルディスプレイ基板等の基板を上昇させるためのリフトピン機構に組み込まれうる。
発明の背景
プラズマエッチング、イオン注入、スパッタリング、瞬時熱プロセス(rapid thermal processing;RTP)、フォトリソグラフィ、化学気相成長(CVD)及びフラットパネルディスプレイ製造プロセス等の半導体処理のための様々なタイプの装置が存在し、その中でエッチング、レジスト剥離、パッシベーション(passivation)、デポジション等が行われる。このようなシステムでは、リフトピン機構によって基板を搬送及び/又は支持する必要がある。そのようなリフトピン機構は、熱的、化学的、光学的及びその他の基板処理で搬送中に基板を一時的に支持するために用いられうる。
プラズマの発生は、このような様々な半導体製造プロセスに用いられる。プラズマ発生装置は、本願と同じ出願人による米国特許第4,340,462号に開示されたタイプ等の平行平板反応室、本願と同じ出願人による米国特許第5,200,232号に開示されたタイプ等の電子サイクロトロン共鳴(ECR)システム、及び本願と同じ出願人による米国特許第同4,948,458号に開示されたタイプ等の誘導結合プラズマシステムを含む。このようなプラズマ処理システムでは、従来では、プラズマ処理チャンバの一部の内部にある基板ホルダ上で処理すべき基板を支持する。さらに、従来では、機械的及び/又は静電気的クランピング機構(mechanical and/or electrostatic clamping mechanisms)によって基板ホルダ上に基板を保持する。機械的クランピングシステムの一例は、米国特許第4,615,755号に開示され、静電チャッキング(ESC)装置の一例は、米国特許第4,554,611号に開示されている。
基板処理チャンバ内にウエハ等の基板を搬送するために、従来では、米国特許第4,431,473号、同4,790,258号、同4,842,683号及び同5,215,619号に開示されたタイプ等のロボットアーム及びリフトピン機構を利用する。基板ホルダ上のウエハを下降させるために、従来では、米国特許第4,431,473号に開示されたタイプ等のリフトピン機構を用いており、この機構では、4つのリフトピンがウエハの形態で基板と同心の円形パターンで配置される。
米国特許第5,948,986号は、基板をESC上に静電気的にクランプする前に基板の有無を監視するための音波を利用する技術を開示している。米国特許第6,182,510 B1号は、ピントランスデューサー(pin transducers)等のリフトピンを用いてウエハに音波が伝達されるなどの、その処理中にウエハ温度を測定する音波を利用する装置を開示している。米国特許第5,872,694号は、ウエハ内のそり(warpage)を求めて、ESCに最適なクランピング電圧(clamping voltage)を与えるための装置を開示している。一旦、ウエハの処理が完了すると、ウエハを安全に移動させることができるように、ウエハをデチャックし、及び/又は、いつクランピング力(clamping forces)が十分にゆるくなった(released)かを決定するための様々な技術が提案されている。例えば、米国特許第5,117,121号、同5,491,603号、同5,790,365号、同5,818,682号、同5,900,062号、同5,956,837号、同6,057,244号を参照されたい。
ウエハのデチャックがいつ完了したかを監視/予測するための技術が提案されているが、このような技術は、基板上のクランピング力が、ピン又は他の搬送機構を上昇させることによってチャック表面から基板を移動させることができるのに十分に減少されるのがいつであるかを、適切に決定し得ない。したがって、基板がクランピング面からのその搬送ができるのに十分にデチャックされた(dechucked)のがいつであるかを決定するより正確な技術が技術的に必要とされている。
発明の要約
本発明は、静電チャックのデチャックを検出するための方法及び装置を提供する。本装置は、その支持面上に半導体基板を静電気的にクランプするように構成された静電チャックを含む基板支持体と、前記半導体基板に音響信号を伝達するように構成された音響信号発生器と、前記半導体基板の第1状態と第2状態とを検出するように構成された検出器と、を備え、前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板全体が静電気的にクランプされていないときに前記検出器によって検出される。好適な実施の形態によれば、前記基板支持体は、該基板支持体と接触するリフトピンを含み、前記音響信号発生器は、前記半導体基板と接触する該リフトピンの少なくとも1つを通して音響信号を伝達する。前記基板支持体は、前記基板上に材料の層を堆積するためにプラズマエッチングチャンバ又はCVDチャンバ等の真空チャンバ内に配置されうる。
好適な実施の詳細な説明
シリコンウエハ等の半導体基板が基板支持体に静電気的にクランプされる半導体プロセスでは、クランピング力が十分に減少するまで、支持体から基板を移動させることを遅らせるのが望ましい。クランピング力が十分に減少する前に基板を移動させると、基板にダメージを与えてしまう、及び/又は、基板を上昇させたり下降させたりするために用いられるリフトピンによって基板がESCから外れて、基板が処理されるチャンバ内の望ましくない位置に飛び出すことになり得る。本発明は、基板が十分にデチャックされて、その安全な移動が可能であるのはいつであるかを決定することができる技術を提供する。
ESCを用いた基板をクランピングする間は、基板の裏面近くの薄い半導体層(thin semi-conductive layer)に埋め込まれた1つ又は複数の高電圧電極が、静電クランピング力を発生させるために用いられうる。例えば、十分な電圧(直流で約1000ボルト)が電極に与えられ、次に、高電圧電極上の電荷に作用する基板下面上の電荷を生成する電界を作り出す。その結果、基板とESCとの間に正味の引力(net attractive force)が生じる。例えば、プラズマエッチング、デポジション等の処理が完了すると、基板は、次の基板が同様に処理されうるように、チャンバの外に搬送される必要がある。そうするためには、リフトピン機構等の装置によって基板が上昇させられる前に、基板とESCとの間のクランピング力をしきい値よりも下げる必要がある。
本発明は、十分なデチャックがいつ起こるのかを見積もるために、経験的な判定(empirical determination)を頼りにするデチャック技術を改善するものである。しかしながら、基板タイプ間の相違及び/又は長期に渡るESC性能のシフト間の相違によって、いつ十分なデチャックが起こったのかを決定することが不正確になりうる。本発明は、基板をESCから移動させるのが安全なのはいつであるかをより正確に決定するために、基板からのフィードバックを利用する方法を提供することによって、このような問題を解決する。本発明に係る好適な方法は、基板の状態を監視して、基板を移動させるのが安全なのはいつであるかを決定するために音響信号を用いる。
第1の好適な実施の形態によれば、音響信号は基板に送られ、音響検出器等の検出器が基板から反射された音響信号を監視するために用いられる。基板チャック本体に基板がクランプされているときと、基板とチャック本体との間のクランピング力が所定のしきい値より下に下降したときとでは、反射した音響信号が、異なる振る舞いをする(behave differently)ので、クランピング力が基板を安全に移動させるのに十分な程度に減少されるのがいつであるかを検出することができる。
基板に音響信号を与える技術の1つは、基板に音響信号を結合させる(couple)リフトピン機構の1つ又は複数のリフトピンを用いることである。音波の周波数は、基板の機械的な共振周波数と適合するように選択されうる。基板がESCから完全にデチャックされていない限りは、基板とESCとは結合システム(coupling system)を形成するからである。結合システムの質量の方が大きいため、その共振周波数は、基板自体の共振周波数よりもかなり低くなる。この結合効果(coupling effect)によって、リフトピン又はピンによって伝えられた音波は、基板によって吸収されず、その代わりに反射される。これに対し、基板がESCから十分にデカップリングされる(decoupled)と、供給された音波は、基板の機械的な“固有振動数”に共振して、基板に吸収される。
リフトピンのベースにある音響検出装置は、音波の振幅を監視するために用いられうる。吸収が起こると、振幅は共振増幅(resonant amplification)によってかなり高くなる。従って、振幅の増加が感知されると、“リフト”信号がコントローラからピンリフト機構に送られることによって、リフトピンがESCから基板を上昇させる。搬送機構のロボットアームは、次に、チャンバから基板を取り除くために用いられうる。
図1は、本発明に係る音響監視機構を組み込んだ構成を示す図である。この構成は、リフトピン台(lift pin pedestal)14のシャフト12に取り付けられた音響送信器/受信器10を含む。リフトピン台14は、チャック本体18の開口部内に延びるリフトピン16を含む。チャック本体は、チャック本体18の上面に基板20を静電気的にクランプするために、その上部にESCを含む。空気圧駆動式シリンダ(pneumatically actuated cylinder)22は、シャフト12を垂直に移動させることによって、基板20を昇降させるのに効果的である。バルブ26が開いているときには、加圧ガス(pressurized gas)がライン24を通ってシリンダ22に供給される。例えば、コントローラ30は、送信器/受信器からのフィードバック信号を監視して、基板が十分にデチャックされて、ピンリフト機構によってその安全な移動が可能であるときに対応するしきい値を超えて共振増幅が増加したことを送信器/受信器が示したときに、リフトピン16を上昇させるバルブ26を作動するために用いられうる。
上記の例示的な実施の形態は、本発明を制限するものではなく、あらゆる点において一例として示したものである。従って、本発明は、当業者によってここに含まれる説明から導き出せる詳細な実施において様々なバリエーション(variations)が可能である。このようなバリエーションは全て、特許請求の範囲によって定められた本発明の範囲及び思想を逸脱しない範囲で考えられる。
図面の簡単な説明
本発明の前述及び他の目的、特徴及び利点は、図面に関連した詳細な説明を参照すれば容易に理解できるであろう。
図1は、本発明に係る音響監視機構を組み込んだリフトピン機構を示す図である。

Claims (18)

  1. 静電チャックのデチャックを検出するための装置であって、
    その支持面上に半導体基板を静電気的にクランプするように構成された静電チャックと当該静電チャックと接触するリフトピンとを含む基板支持体と、
    前記半導体基板と接触する前記リフトピンの少なくとも1つを介して前記半導体基板に音を伝達するように構成された音発生器と、
    前記音波の振幅に従って前記半導体基板の第1状態と第2状態とを検出するように構成された検出器と、
    を備え、
    前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板全体が静電気的にクランプされていないときに前記検出器によって検出されることを特徴とする装置。
  2. 静電チャックのデチャックを検出するための装置であって、
    その支持面上に半導体基板を静電気的にクランプするように構成された静電チャックを含む基板支持体と、
    前記半導体基板に音響信号を伝達するように構成された音響発生器と、
    前記音響信号に従って前記半導体基板の第1状態と第2状態とを検出するように構成された検出器と、
    を備え、
    前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板全体が静電気的にクランプされていないときに前記検出器によって検出され、
    前記音響信号発生器は、前記半導体基板の機械的な共振周波数で音波を出力し、前記第2状態は、前記基板による前記音波の吸収の増加を前記検出器が検出したときに検出されることを特徴とする装置
  3. ピンリフト機構とコントローラとを更に備え、該コントローラは、前記第2状態を示す前記検出器からの出力信号を受信し、前記第2状態が検出されたときに前記基板を上昇させる前記リフトピン機構を作動させることを特徴とする請求項1に記載の装置。
  4. 前記リフトピン機構は、空気作動式であり、前記コントローラは、リフトピン台に取り付けられたシャフトを上昇させる空気圧シリンダに加圧ガスを供給するバルブを操作することによって、前記リフトピン機構を作動させることを特徴とする請求項に記載の装置。
  5. 前記基板支持体は、プラズマエッチングチャンバ内に配置されていることを特徴とする請求項1に記載の装置。
  6. 前記基板支持体は、CVDチャンバ内に配置されていることを特徴とする請求項1に記載の装置。
  7. 前記基板支持体は、開口部を有し、この開口部を通して伝熱ガスが前記基板と前記支持面との間に供給されることを特徴とする請求項1に記載の装置。
  8. 静電チャックのデチャックを検出するための装置であって、
    その支持面上に半導体基板を静電気的にクランプするように構成された静電チャックを含む基板支持体と、
    前記半導体基板に音響信号を伝達するように構成された音響発生器と、
    前記音響信号に従って前記半導体基板の第1状態と第2状態とを検出するように構成された検出器と、
    を備え、
    前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板全体が静電気的にクランプされていないときに前記検出器によって検出され、
    前記半導体基板は、シリコンウエハであり、前記第2状態は、前記音響信号発生器によって発生された音波が前記ウエハによって吸収されたときに検出されることを特徴とする装置
  9. 静電チャックのデチャックを検出する方法であって、
    基板支持体上に半導体基板を支持する工程であって、該基板支持体がその支持面上に該半導体基板を静電気的にクランプするように構成された静電チャックを含む工程と、
    前記半導体基板をリフトピンと接触させる工程と、
    前記半導体基板に音を伝達するように構成された音発生器を用いて音を発生する工程と、
    前記音波の振幅に従って前記半導体基板の第1状態と第2状態とを検出する工程と、を含み
    前記音波は、前記半導体基板と接触する前記リフトピンの少なくとも1つを介して伝達され、
    前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板が前記支持面に接触し、かつ、しきいクランピング力を超えて静電気的にクランプされていないときに検出されることを特徴とする方法。
  10. 静電チャックのデチャックを検出する方法であって、
    基板支持体上に半導体基板を支持する工程であって、該基板支持体がその支持面上に該半導体基板を静電気的にクランプするように構成された静電チャックを含む工程と、
    前記半導体基板に音響信号を伝達するように構成された音響信号発生器を用いて音響信号を発生する工程と、
    前記音響信号に従って前記半導体基板の第1状態と第2状態とを検出する工程と、を含み
    該第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板がしきいクランピング力を超えて静電気的にクランプされていないときに検出され、
    前記音響信号発生器は、前記半導体基板の機械的な共振周波数で音波を出力し、前記第2状態は、前記基板による前記音波の吸収の増加を前記検出器が検出したときに検出されることを特徴とする方法。
  11. 静電チャックのデチャックを検出する方法であって、
    基板支持体上に半導体基板を支持する工程であって、該基板支持体がその支持面上に該半導体基板を静電気的にクランプするように構成された静電チャックを含む工程と、
    前記半導体基板をリフトピンと接触させる工程と、
    前記半導体基板に音を伝達するように構成された音発生器を用いて音を発生する工程と、
    前記音波の振幅に従って前記半導体基板の第1状態と第2状態とを検出する工程と、を含み、
    前記音波は、前記半導体基板と接触する前記リフトピンの少なくとも1つを介して伝達され、
    前記第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板がしきいクランピング力を超えて静電気的にクランプされていないときに検出され、
    前記基板支持体は、ピンリフト機構備え、コントローラが、前記第2状態を示す前記検出器からの出力信号を受信し、前記第2状態が検出されたときに前記基板を上昇させる前記リフトピン機構を作動させることを特徴とする方法。
  12. 前記リフトピン機構は、空気作動式であり、前記コントローラは、リフトピン台に取り付けられたシャフトを上昇させる空気圧シリンダに加圧ガスを供給するバルブを操作することによって、前記リフトピン機構を作動させることを特徴とする請求項11に記載の方法。
  13. 前記基板支持体は、プラズマエッチングチャンバ内に配置され、前記第2状態は、前記半導体基板上の層をエッチングした後に検出されることを特徴とする請求項に記載の方法。
  14. 前記基板支持体は、CVDチャンバ内に配置され、前記第2状態は、前記半導体基板上に層を堆積した後に検出されることを特徴とする請求項に記載の方法。
  15. 前記基板支持体は、前記支持面に開口部を有し、伝熱ガスがこの開口部を通して前記基板と前記支持面との間の空間に供給されることを特徴とする請求項9に記載の方法。
  16. 静電チャックのデチャックを検出する方法であって、
    基板支持体上に半導体基板を支持する工程であって、該基板支持体がその支持面上に該半導体基板を静電気的にクランプするように構成された静電チャックを含む工程と、
    前記半導体基板に音響信号を伝達するように構成された音響信号発生器を用いて音響信号を発生する工程と、
    前記音響信号に従って前記半導体基板の第1状態と第2状態とを検出する工程と、を含み、
    該第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板がしきいクランピング力を超えて静電気的にクランプされていないときに検出され、
    前記基板支持体は、ピンリフト機構を備え、コントローラが、前記第2状態を示す前記検出器からの出力信号を受信し、前記第2状態が検出されたときに前記基板を上昇させる前記リフトピン機構を作動させ、
    前記半導体基板は、シリコンウエハであり、前記第2状態は、前記音響信号発生器によって発生された音波が前記ウエハによって吸収されたときに検出されることを特徴とする方法
  17. 静電チャックのデチャックを検出する方法であって、
    基板支持体上に半導体基板を支持する工程であって、該基板支持体がその支持面上に該半導体基板を静電気的にクランプするように構成された静電チャックを含む工程と、
    前記半導体基板に音響信号を伝達するように構成された音響信号発生器を用いて音響信号を発生する工程と、
    前記音響信号に従って前記半導体基板の第1状態と第2状態とを検出する工程と、を含み、
    該第1状態は前記半導体基板が静電気的にクランプされているときに検出され、前記第2状態は前記半導体基板がしきいクランピング力を超えて静電気的にクランプされていないときに検出され、
    前記基板支持体は、ピンリフト機構を備え、コントローラが、前記第2状態を示す前記検出器からの出力信号を受信し、前記第2状態が検出されたときに前記基板を上昇させる前記リフトピン機構を作動させ、
    前記第2状態は、前記音響信号発生器によって発生した音波が前記半導体基板によって吸収されたときに検出されることを特徴とする方法
  18. 静電チャックのデチャックを検出する方法であって、
    基板支持体上にシリコンウエハを支持する工程であって、該基板支持体がその支持面上に該シリコンウエハを静電気的にクランプするように構成された静電チャックを含む工程と、
    前記シリコンウエハに音響信号を伝達するように構成された音響信号発生器を用いて音響信号を発生する工程と、
    前記音響信号に従って前記シリコンウエハの第1状態と第2状態とを検出する工程と、を含み
    該第1状態は前記シリコンウエハが静電気的にクランプされているときに検出され、前記第2状態は前記音響信号発生器で発生した音波が前記シリコンウエハに吸収されて前記シリコンウエハがしきいクランピング力を超えて静電気的にクランプされていないことを示すときに検出されることを特徴とする方法。
JP2002575998A 2001-03-27 2002-03-21 デチャックの音響検出及びその装置 Expired - Fee Related JP4153309B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/817,162 US6403322B1 (en) 2001-03-27 2001-03-27 Acoustic detection of dechucking and apparatus therefor
PCT/US2002/006649 WO2002078062A2 (en) 2001-03-27 2002-03-21 Acoustic detection of dechucking and apparatus therefor

Publications (2)

Publication Number Publication Date
JP2004534384A JP2004534384A (ja) 2004-11-11
JP4153309B2 true JP4153309B2 (ja) 2008-09-24

Family

ID=25222467

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002575998A Expired - Fee Related JP4153309B2 (ja) 2001-03-27 2002-03-21 デチャックの音響検出及びその装置

Country Status (7)

Country Link
US (2) US6403322B1 (ja)
EP (1) EP1374283A2 (ja)
JP (1) JP4153309B2 (ja)
KR (1) KR100830070B1 (ja)
CN (1) CN1329947C (ja)
TW (1) TW556405B (ja)
WO (1) WO2002078062A2 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3581303B2 (ja) * 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
US6481723B1 (en) * 2001-03-30 2002-11-19 Lam Research Corporation Lift pin impact management
US7073383B2 (en) * 2001-06-07 2006-07-11 Tokyo Electron Limited Apparatus and method for determining clamping status of semiconductor wafer
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
EP1475670B1 (en) * 2003-05-09 2008-10-29 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1475667A1 (en) * 2003-05-09 2004-11-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system
JP4553375B2 (ja) * 2005-06-16 2010-09-29 信越化学工業株式会社 静電チャックのデチャック特性の評価方法および評価装置
JP4836512B2 (ja) * 2005-07-29 2011-12-14 東京エレクトロン株式会社 基板昇降装置および基板処理装置
KR101296911B1 (ko) * 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
US7508494B2 (en) * 2006-12-22 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and a subtrate table for exciting a shockwave in a substrate
US20110164955A1 (en) * 2009-07-15 2011-07-07 Applied Materials,Inc. Processing chamber with translating wear plate for lift pin
US8797705B2 (en) * 2009-09-10 2014-08-05 Lam Research Corporation Methods and arrangement for plasma dechuck optimization based on coupling of plasma signaling to substrate position and potential
US20110060442A1 (en) * 2009-09-10 2011-03-10 Valcore Jr John C Methods and arrangement for detecting a wafer-released event within a plasma processing chamber
CN102110629B (zh) * 2009-12-23 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 静电夹持装置、减少残余电荷的方法及等离子体处理设备
CN101826452B (zh) * 2010-03-30 2011-09-07 东莞宏威数码机械有限公司 基片上载装置
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6180811B2 (ja) * 2013-06-19 2017-08-16 株式会社荏原製作所 基板処理装置
US10024825B2 (en) * 2014-12-26 2018-07-17 Axcelis Technologies, Inc. Wafer clamp detection based on vibration or acoustic characteristic analysis
JP6651994B2 (ja) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 基板処理装置、メンテナンス用治具、基板処理装置のメンテナンス方法及び記憶媒体
KR101952718B1 (ko) * 2017-01-31 2019-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판을 프로세싱하는 방법 및 기판을 홀딩하기 위한 기판 캐리어
JP6866830B2 (ja) * 2017-11-22 2021-04-28 株式会社島津製作所 材料試験機および把持力検出方法
US10663871B2 (en) * 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
KR20210063918A (ko) 2019-11-25 2021-06-02 삼성전자주식회사 리프트 장치 및 이를 포함하는 기판 처리 장치
KR20230101216A (ko) * 2021-12-29 2023-07-06 세메스 주식회사 가스누출 검사장치 및 검사방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
GB2154365A (en) 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5220232A (en) 1991-09-03 1993-06-15 Allied Signal Aerospace Stacked magnet superconducting bearing
US5436790A (en) 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5788814A (en) * 1996-04-09 1998-08-04 David Sarnoff Research Center Chucks and methods for positioning multiple objects on a substrate
US5858099A (en) * 1996-04-09 1999-01-12 Sarnoff Corporation Electrostatic chucks and a particle deposition apparatus therefor
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
JP3436846B2 (ja) 1996-06-20 2003-08-18 シャープ株式会社 パケット順序情報を付与した通信方法
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5818682A (en) 1996-08-13 1998-10-06 Applied Materials, Inc. Method and apparatus for optimizing a dechucking period used to dechuck a workpiece from an electrostatic chuck
JP3245369B2 (ja) * 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
WO1998022814A1 (en) 1996-11-22 1998-05-28 Autospect, Inc. Method and system for processing measurement signals to obtain a value for a physical parameter
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6004752A (en) * 1997-07-29 1999-12-21 Sarnoff Corporation Solid support with attached molecules
JPH1154604A (ja) * 1997-08-08 1999-02-26 Sony Corp ウエハステージからのウエハ脱着方法
US5872694A (en) 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US5948986A (en) 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
JP3554778B2 (ja) 1998-01-23 2004-08-18 株式会社村田製作所 非線形誘電体素子
JPH11233603A (ja) * 1998-02-16 1999-08-27 Nissin Electric Co Ltd 静電チャック吸着力検知方法
JPH11260897A (ja) * 1998-03-12 1999-09-24 Matsushita Electric Ind Co Ltd 基板の取り扱い方法と装置、それに用いる吸着検査方法、装置
US6057244A (en) 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6326149B1 (en) * 1998-11-03 2001-12-04 Sarnoff Corporation Method for controlled electrostatic adherent deposition of particles on a substrate
US6307728B1 (en) * 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck

Also Published As

Publication number Publication date
CN1329947C (zh) 2007-08-01
WO2002078062A3 (en) 2003-03-13
KR100830070B1 (ko) 2008-05-16
EP1374283A2 (en) 2004-01-02
US6403322B1 (en) 2002-06-11
TW556405B (en) 2003-10-01
KR20030085572A (ko) 2003-11-05
CN1520607A (zh) 2004-08-11
US6578423B2 (en) 2003-06-17
US20020142492A1 (en) 2002-10-03
JP2004534384A (ja) 2004-11-11
WO2002078062A2 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
JP4153309B2 (ja) デチャックの音響検出及びその装置
CN101189772B (zh) 用于等离子体反应器的具有智能升降销机构的静电卡盘
US20190304825A1 (en) Dual temperature heater
US8363378B2 (en) Method for optimized removal of wafer from electrostatic chuck
US10069443B2 (en) Dechuck control method and plasma processing apparatus
JP2011515856A (ja) 静電容量感知機能を有する静電チャック組立体及びその動作方法
US20030037800A1 (en) Method for removing contamination particles from substrate processing chambers
US20090017228A1 (en) Apparatus and method for centering a substrate in a process chamber
JP2004531883A (ja) 半導体ウェハ持ち上げ装置およびその実装方法
TWI686593B (zh) 基於振動或聲學特性分析的晶圓夾具偵測
US6684523B2 (en) Particle removal apparatus
US6805137B2 (en) Method for removing contamination particles from substrates
US6779226B2 (en) Factory interface particle removal platform
US20040182311A1 (en) Semiconductor processing apparatus having semiconductor wafer mounting
US7585684B2 (en) Method and apparatus for detecting backside particles during wafer processing
JP2022520692A (ja) ピンリフター試験基板
JP2010283174A (ja) 半導体製造装置
JP4365300B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR20000039250A (ko) 정전척을 이용한 웨이퍼 가공방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080609

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080703

R150 Certificate of patent or registration of utility model

Ref document number: 4153309

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130711

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees