KR100830070B1 - 디척킹에 대한 음향 검출 및 그를 위한 장치 - Google Patents

디척킹에 대한 음향 검출 및 그를 위한 장치 Download PDF

Info

Publication number
KR100830070B1
KR100830070B1 KR1020037012430A KR20037012430A KR100830070B1 KR 100830070 B1 KR100830070 B1 KR 100830070B1 KR 1020037012430 A KR1020037012430 A KR 1020037012430A KR 20037012430 A KR20037012430 A KR 20037012430A KR 100830070 B1 KR100830070 B1 KR 100830070B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
substrate
acoustic signal
condition
detected
Prior art date
Application number
KR1020037012430A
Other languages
English (en)
Other versions
KR20030085572A (ko
Inventor
피셔안드레아스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030085572A publication Critical patent/KR20030085572A/ko
Application granted granted Critical
Publication of KR100830070B1 publication Critical patent/KR100830070B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/04Analysing solids
    • G01N29/12Analysing solids by measuring frequency or resonance of acoustic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/02Indexing codes associated with the analysed material
    • G01N2291/028Material parameters
    • G01N2291/02827Elastic parameters, strength or force
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/26Scanned objects
    • G01N2291/269Various geometry objects
    • G01N2291/2698Other discrete objects, e.g. bricks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Acoustics & Sound (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

진공 처리 챔버에서, 기판이 핀 리프터와 같은 전달 메카니즘에 의해 그에 대한 안전한 이동을 허용하도록 정전기적 클램프에 의해 충분히 디척킹된 때를 검출하기 위한 모니터링 설비가 개시된다. 상기 모니터링 설비는 기판의 공명 주파수에서 음향파를 출력하는 음향 발생기를 포함하며, 디척킹 조건은 음향파가 기판에 의해 흡수될 때 검출된다. 상기 설비는 플라즈마 식각 또는 화학 기상 증착과 같은 웨이퍼의 처리 동안에 사용될 수 있다.
디척킹, 음향 발생기, 음향파, 클램프

Description

디척킹에 대한 음향 검출 및 그를 위한 장치{ACOUSTIC DETECTION OF DECHUCKING AND APPARATUS THEREFOR}
본 발명은 기판의 디척킹 (dechucking) 의 완료를 검출하는 방법 및 그를 위한 장치에 관한 것이다. 이 방법은 진공 챔버내에서 플라즈마 공정과 같은 공정 동안에 반도체 웨이퍼의 디척킹을 검출하는 데 유용하다. 디척킹의 완료를 검출하기 위한 장치는 반도체 웨이퍼 또는 평판 표시 기판 (flat panel display substrate) 과 같은 기판을 리프팅하기 위한 리프트핀 설비에 결합되어질 수 있다.
플라즈마 식각, 이온주입, 스퍼터링, 급속열처리 (RTP), 포토리소그라피, 화학기상증착 (CVD) 등과 같은 반도체 공정 및 식각, 레지스트 스트립핑, 페시베이션, 증착 및 그와 유사한 것들이 수행되는 평판 표시장치 제조공정을 위해 여러가지 형태의 장비들이 존재한다. 이러한 시스템에서는 리프트핀 메카니즘에 의해 기판을 이송 및/또는 지지하는 것이 필요하다. 이러한 리프트핀 메카니즘은 기판의 이송 그리고 열적, 화학적, 광학적 및 기타 다른 처리 동안에 기판을 일시적으로 지지하기 위해 사용될 수 있다.
이러한 반도체 제조 공정의 여러 분야에서 플라즈마 발생이 이용된다. 플라즈마 발생 장비는 공동소유화된 미국 특허 제 4,340,462 호에 개시된 형태와 같은 평행판 반응기, 공동소유화된 미국 특허 제 5,200,232 호에 개시된 형태와 같은 전자 사이클로트론 공명 (ECR) 시스템, 공동소유화된 미국 특허 제 4,948,458 호에 개시된 형태와 같은 유도결합 플라즈마 시스템을 포함한다. 이러한 플라즈마 처리 시스템들에서, 플라즈마 공정챔버의 부분 내에 있는 기판 홀더상에서 처리되어질 기판을 지지하는 것은 일반적인 것이다. 또한, 기계적 및/또는 정전기적 클램핑 메카니즘들에 의해 기판 홀더상에서 기판을 지지하는 것은 일반적인 것이다. 기계적 클램핑 시스템의 일 예가 미국 특허 제 4,615,755 호에 개시되어 있으며, 정전척 (ESC) 설비의 일 예가 미국 특허 제 4,554,611 호에 개시되어 있다.
웨이퍼와 같은 기판을 기판 처리 챔버내로 이송시키기 위하여, 미국 특허 제 4,431,473 호, 제 4,790,258 호, 제 4,842,683 호 및 제 5,215,619 호에 개시된 형태와 같은 로봇 아암 및 리프트핀 설비를 사용하는 것이 일반적이다. 웨이퍼를 기판 홀더상으로 낮추기 위하여, 미국 특허 제 4,431,473 호에 개시된 형태와 같은 리프트핀 설비를 사용하는 것이 일반적이며, 여기서는 4 개의 리프트핀이 웨이퍼 형태의 기판을 중심으로 원형 패턴으로 배열되어 있다.
미국 특허 제 5,948,986 호는 정전척 (ESC) 상에 기판을 정전기적으로 클램핑 (clamping) 하기에 앞서 기판의 존재를 모니터링하기 위해 음향파 (acoustic wave) 를 사용하는 기술을 개시하고 있다. 미국 특허 제 6,182,510 B1 호는 그 공정 동안에 웨이퍼 온도를 측정하기 위해 음향파를 사용하는 장치를 개시하고 있으며, 예를 들어 핀 변환기로서 리프트핀을 사용하여 웨이퍼에 음향파가 전달되어진다. 미국 특허 제 5,872,694 호는 웨이퍼에서의 뒤틀림 (warpage) 을 측정하여 정전척 (ESC) 에 적절한 클램핑 전압을 제공하기 위한 장치를 개시하고 있다. 일단 웨이퍼에 대한 공정이 완료되면, 웨이퍼를 디척킹하기 위한 여러가지 기술 및/또는 웨이퍼의 안전한 이동을 허용하기 위해 클램핑력 (clamping force) 이 언제 충분히 제거되었는지를 결정하기 위한 여러가지 기술들이 제안되어 왔다. 예를 들어, 미국 특허 제 5,117,121 호; 제 5,491,603 호; 제 5,790,365 호; 제 5,818,682 호; 제 5,900,062 호; 제 5,956,837 호 및 제 6,057,244 호를 참조하라.
웨이퍼 디척킹이 언제 완료되었는지를 모니터링/예측하기 위한 기술들이 제안되어 왔지만, 이러한 기술들은 리프트핀 또는 다른 이송 메카니즘에 의해 척 표면으로부터의 기판의 이동을 허용하기 위해 기판에 대한 클램핑력이 언제 충분히 감소되었는지를 적절하게 결정하지 못할 수도 있다. 그리하여, 클램핑 표면으로부터의 이송을 허용하기 위해 기판이 언제 충분히 디척킹되었는 지를 결정하는 보다 정교한 기술들이 기술분야에서 필요하다.
본 발명은 정전척의 디척킹을 검출하기 위한 방법 및 장치를 제공한다. 장치는, 지지대 표면상에 반도체 기판을 정전기적으로 클램핑하도록 개조된 정전척을 포함하는 기판 지지대, 반도체 기판에 음향 신호를 전달하도록 개조된 음향 신호 발생기, 반도체 기판의 제 1 및 제 2 조건을 검출하도록 개조된 검출 소자를 포함하며, 제 1 조건은 반도체 기판이 정전기적으로 클램핑될 때 검출되며, 제 2 조건은 반도체 기판 전체가 정전기적으로 클램핑되지 않을 때 검출 소자에 의해 검출된다. 바람직한 실시예에 있어서, 기판 지지대는 기판 지지대와 접촉하는 리프트핀을 포함하며, 음향 신호 발생기는 반도체 기판과 접촉하는 적어도 하나의 리프트핀을 통하여 음향 신호를 전달한다. 기판 지지대는 기판상에 물질층을 증착하기 위한 CVD 챔버 또는 플라즈마 식각 챔버와 같은 진공 챔버내에 위치될 수 있다.
본 발명의 전술한 그리고 다른 목적들, 특징들 및 장점들이 도면들과 결합하여 후술되는 상세한 설명을 살펴봄으로써 보다 용이하게 이해되어질 것이다.
도 1 은 본 발명에 따라서 음향 모니터링 설비를 결합시킨 리프트핀 설비를 보여준다.
반도체 공정에서, 실리콘 웨이퍼와 같은 반도체 기판은 기판 지지대에 정전기적으로 클램핑되며, 클램핑력이 충분히 감소될 때까지 지지대로부터의 기판의 이동을 지연시키는 것이 바람직하다. 클램핑력이 충분히 감소되기 전에 기판을 이동시키는 것은 기판에 손상을 줄 수 있으며, 및/또는 기판을 상향 및 하향시키기 위해 사용된 리프트핀들이 기판이 처리되는 챔버내에서 기판을 정전척으로부터 바람직하지 않은 위치로 튀어나오게 할 수도 있다. 본 발명은 기판의 안전한 이동을 허용하기 위해 기판이 언제 충분히 디척킹되었는지를 결정할 수 있는 기술을 제공한다.
정전척으로 기판을 클램핑하는 동안에, 기판의 뒷면에 근접한, 얇은 반도전층에 내장된 하나 이상의 고전압 전극이 정전기적 클램핑력을 발생시키기 위해 사용될 수 있다. 예를 들어, 고전압 전극상에서 전하들과 상호반응하는, 기판의 하부 표면상에 전하를 발생시키는 전기장을 교대로 생성시키는 전극들에 대하여 충분한 전압 ( ∼1000 V DC) 이 인가될 수 있다. 그 결과는 기판과 정전척 사이에서의 순 흡인력이다. 플라즈마 식각, 증착 또는 이와 유사한 공정이 완료되면, 후속 기판이 유사한 방식으로 처리될 수 있도록 기판은 챔버의 외부로 이송될 필요가 있다. 그리하여 리프트핀 설비와 같은 장치에 의해 기판이 리프트되기 전에 기판과 정전척 사이의 클램핑력을 문턱값 이하로 감소시킬 필요가 있다.
본 발명은 충분한 디척킹이 발생한 때를 평가하기 위하여 실험적 결정에 의존하는 디척킹 기술에 대한 개선을 제공한다. 그러나, 시간에 따른 정전척 성능에 있어서 기판 형태 및/또는 축사이의 차이는 충분한 디척킹이 발생한 때를 결정하는 데 있어서 부정확하게 될 수 있다. 본 발명은 기판으로부터의 피드백이 정전척으로부터 기판을 이동시키기에 안전한 때를 보다 정확히 결정하기 위해 사용될 수 있는 방법을 제공함으로써 이러한 문제점을 극복한다. 본 발명에 따른 바람직한 방법은 기판의 조건을 모니터링하고, 기판을 이동시키기에 안전한 때를 결정하기 위해 음향 신호를 사용한다.
바람직한 제 1 실시예에 따르면, 음향 신호가 기판으로 전달되고, 음향 검출기와 같은 검출기가 기판으로부터 반사된 음향 신호를 모니터링하기 위해 사용된다. 반사된 음향 신호는 기판과 척 몸체 사이의 클램핑력이 일정한 문턱값 미만으로 떨어질 때에 비하여, 기판이 척 몸체에 클램프될 때 다르게 행동하기 때문에, 클램핑력이 기판을 안전하게 이동시키기에 충분한 범위까지 감소된 때를 검출하는 것이 가능하다.
기판으로 음향 신호를 전달하기 위한 일 기술은, 음향 신호를 기판내로 결합시키기 위해 리프트핀 배열의 하나 이상의 리프트핀을 사용하는 것이다. 기판이 정전척으로부터 완전히 디척킹되지 않는 한 기판과 정전척은 결합된 시스템을 형성하기 때문에, 음향파의 주파수는 기판의 기계적 공명 주파수와 매치되도록 선택될 수 있다. 결합된 시스템의 훨씬 커진 질량으로 인하여, 그 공명 주파수는 기판 자체의 공명 주파수 보다도 상당히 낮아질 것이다. 결합 효과로 인하여, 리프트핀 또는 핀에 의해 전달된 음향파는 기판에 의해 흡수되지 않고 대신에 반사될 것이다. 한편, 기판이 정전척으로부터 충분히 분리되면, 전달된 음향파는 기판의 기계적인 "고유 주파수 (eigen-frequency)" 와 공명하게 될 것이며, 기판에 의해 흡수될 것이다.
리프트핀의 기초에서의 음향 검출 소자가 음향파의 진폭을 모니터링하기 위해 사용될 수 있다. 흡수가 발생되면, 진폭은 공명 증폭에 기인하여 상당히 높아질 것이다. 따라서, 진폭의 증가가 감지되면 "리프트" 신호가 콘트롤러로부터 핀 리프트 메카니즘으로 전달되어 리프트핀으로 하여금 기판을 정전척으로부터 들어올릴 수 있도록 한다. 그 때, 이송 메카니즘의 로봇 아암이 챔버로부터 기판을 제거하기 위해 사용될 수 있다.
도 1 은 본 발명에 따라서 음향 모니터링 장치를 결합시킨 설비를 나타낸다. 설비는 리프트핀 페데스탈 (14) 의 축 (12) 에 결합된 음향 송신기/수신기 (10) 를 포함한다. 리프트핀 페데스탈 (14) 은 척 몸체 (18) 내의 개구부내로 연장된 리프트핀 (16) 을 포함한다. 척 몸체는 척 몸체 (18) 의 상부 표면상에 기판 (20) 을 정전기적으로 클램핑하기 위하여 그 상부 부분에 정전척을 포함한다. 축 (12) 을 수직적으로 이동시킴으로써 기판 (20) 을 상승 및 하강시키는 데 공기 구동 실린더 (22) 가 효과적이다. 밸브 (26) 가 개방되면 압축 가스가 라인 (24) 을 통하여 실린더 (22) 로 공급된다. 예를 들어, 송신기/수신기로부터의 피드백 신호를 모니터링하고, 기판이 핀 리프트 메카니즘에 의한 안전한 이동을 허용하기에 충분히 디척킹되어진 때에 대응하는 문턱값을 초과하여 공명 증폭이 증가하였음을 송신기/수신기가 지시할 때 리프트핀 (16) 을 상승시키도록 밸브 (26) 를 구동시키기 위해 콘트롤러 (30) 가 사용될 수 있다.
전술한 예시적인 실시예들은 본 발명을 한정하기 보다 모든 점에서 예시적인 의도로 된 것이다. 따라서 본 발명은 당업자에 의해 여기서 포함된 설명으로부터 얻어질 수 있는 여러 가지 변형들이 보다 구체적인 완성에 있어서 가능하다. 모든 이러한 변형 및 수정들은 이하의 청구범위에 의해 한정되는 본 발명의 사상 내의 것으로 생각할 수 있다.

Claims (20)

  1. 정전척의 디척킹 (dechucking) 을 검출하는 장치로서,
    지지대 표면상에 반도체 기판을 정전기적으로 클램프하도록 개조된 상기 정전척을 포함하는 기판 지지대;
    상기 반도체 기판에 음향 신호를 전달하도록 개조된 음향 신호 발생기; 및
    상기 반도체 기판의 제 1 및 제 2 조건을 검출하도록 개조된 검출 소자를 포함하고, 상기 제 1 조건은 상기 반도체 기판이 정전기적으로 클램프될 때 검출되며, 상기 제 2 조건은 상기 반도체 기판 전체가 정전기적으로 클램프되지 않을 때 상기 검출 소자에 의해 검출되는, 정전척의 디척킹 검출 장치.
  2. 제 1 항에 있어서,
    상기 기판 지지대는 상기 기판 지지대와 접촉하는 리프트핀을 포함하며, 상기 음향 신호 발생기는 상기 반도체 기판과 접촉하는 적어도 하나의 상기 리프트핀을 통하여 상기 음향 신호를 전달하는, 정전척의 디척킹 검출 장치.
  3. 제 1 항에 있어서,
    상기 음향 신호 발생기는 상기 반도체 기판의 기계적 공명 주파수에서 음향파를 출력하고, 상기 검출 소자가 상기 기판에 의한 상기 음향파의 흡수의 증가를 검출할 때 상기 제 2 조건이 검출되는, 정전척의 디척킹 검출 장치.
  4. 제 1 항에 있어서,
    리프트 핀 메카니즘 및 콘트롤러를 더 포함하며,
    상기 콘트롤러는 상기 제 2 조건을 지시하는 상기 검출 소자로부터의 출력 신호를 수신하고, 상기 제 2 조건이 검출될 때 상기 리프트 핀 메카니즘을 구동시켜 상기 기판을 상승시키는, 정전척의 디척킹 검출 장치.
  5. 제 4 항에 있어서,
    상기 리프트 핀 메카니즘은 공기로 작동되며, 상기 콘트롤러는, 리프트 핀 페데스탈에 결합된 축을 상승시키는 공기 실린더로 압축 가스를 공급하는 밸브를 개방시킴으로써 상기 리프트 핀 메카니즘을 구동시키는, 정전척의 디척킹 검출 장치.
  6. 제 1 항에 있어서,
    상기 기판 지지대는 플라즈마 식각 챔버내에 위치되는, 정전척의 디척킹 검출 장치.
  7. 제 1 항에 있어서,
    상기 기판 지지대는 CVD 챔버내에 위치되는, 정전척의 디척킹 검출 장치.
  8. 제 1 항에 있어서,
    상기 기판 지지대는 열전달 가스가 개구부를 통하여 상기 기판과 상기 지지대 표면 사이에 공급되도록 하는 상기 개구부를 포함하는, 정전척의 디척킹 검출 장치.
  9. 제 1 항에 있어서,
    상기 반도체 기판은 실리콘 웨이퍼이며, 상기 제 2 조건은 상기 음향 신호 발생기에 의해 발생된 음향파가 상기 웨이퍼에 의해 흡수된 때 검출되는, 정전척의 디척킹 검출 장치.
  10. 정전척의 디척킹을 검출하는 방법으로서,
    지지대 표면상에 반도체 기판을 정전기적으로 클램프하도록 개조된 상기 정전척을 포함하는 기판 지지대상에 상기 반도체 기판을 지지하는 단계;
    상기 반도체 기판에 음향 신호를 전달하도록 개조된 음향 신호 발생기로 상기 음향 신호를 발생시키는 단계; 및
    상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계로서, 상기 제 1 조건은 상기 반도체 기판이 정전기적으로 클램프될 때 검출되며, 상기 제 2 조건은 상기 반도체 기판이 상기 지지대 표면과 접촉하고 문턱 클램핑력을 초과하여 정전기적으로 클램프되지 않을 때 검출되는, 상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계를 포함하는, 정전척의 디척킹 검출 방법.
  11. 제 10 항에 있어서,
    상기 기판 지지대는 상기 기판 지지대와 접촉하는 리프트핀을 포함하며, 상기 음향 신호 발생기는 상기 반도체 기판과 접촉하는 적어도 하나의 상기 리프트핀을 통하여 상기 음향 신호를 전달하는, 정전척의 디척킹 검출 방법.
  12. 정전척의 디척킹을 검출하는 방법으로서,
    지지대 표면상에 반도체 기판을 정전기적으로 클램프하도록 개조된 상기 정전척을 포함하는 기판 지지대상에 상기 반도체 기판을 지지하는 단계;
    상기 반도체 기판에 음향 신호를 전달하도록 개조된 음향 신호 발생기로 상기 음향 신호를 발생시키는 단계; 및
    상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계로서, 상기 제 1 조건은 상기 반도체 기판이 정전기적으로 클램프될 때 검출되며, 상기 제 2 조건은 상기 반도체 기판이 문턱 클램핑력을 초과하여 정전기적으로 클램프되지 않을 때 검출되는, 상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계를 포함하며,
    상기 음향 신호 발생기는 상기 반도체 기판의 기계적 공명 주파수에서 음향파를 출력하고, 상기 제 2 조건은 검출 소자가 상기 기판에 의한 상기 음향파의 흡수의 증가를 검출할 때 검출되는, 정전척의 디척킹 검출 방법.
  13. 정전척의 디척킹을 검출하는 방법으로서,
    지지대 표면상에 반도체 기판을 정전기적으로 클램프하도록 개조된 상기 정전척을 포함하는 기판 지지대상에 상기 반도체 기판을 지지하는 단계;
    상기 반도체 기판에 음향 신호를 전달하도록 개조된 음향 신호 발생기로 상기 음향 신호를 발생시키는 단계; 및
    상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계로서, 상기 제 1 조건은 상기 반도체 기판이 정전기적으로 클램프될 때 검출되며, 상기 제 2 조건은 상기 반도체 기판이 문턱 클램핑력을 초과하여 정전기적으로 클램프되지 않을 때 검출되는, 상기 반도체 기판의 제 1 및 제 2 조건을 검출하는 단계를 포함하며,
    상기 기판 지지대는, 리프트 핀 메카니즘과 콘트롤러를 포함하며, 상기 제 2 조건을 지시하는 검출 소자로부터의 출력 신호를 수신하고, 상기 제 2 조건이 검출될 때 상기 리프트 핀 메카니즘을 구동시켜 상기 기판을 상승시키는, 정전척의 디척킹 검출 방법.
  14. 제 13 항에 있어서,
    상기 리프트 핀 메카니즘은 공기로 작동되며, 상기 콘트롤러는 리프트 핀 페데스탈에 결합된 축을 상승시키는 공기 실린더로 압축 가스를 공급하는 밸브를 개방시킴으로써 상기 리프트 핀 메카니즘을 구동시키는, 정전척의 디척킹 검출 방법.
  15. 제 10 항에 있어서,
    상기 기판 지지대는 플라즈마 식각 챔버내에 위치하며, 상기 제 2 조건은 상기 반도체 기판상의 층을 식각한 후 검출되는, 정전척의 디척킹 검출 방법.
  16. 제 10 항에 있어서,
    상기 기판 지지대는 CVD 챔버내에 위치하며, 상기 제 2 조건은 상기 반도체 기판상의 층을 증착한 후 검출되는, 정전척의 디척킹 검출 방법.
  17. 제 10 항에 있어서,
    상기 기판 지지대는 상기 기판 지지대내에 개구부를 포함하고, 열전달 가스가 상기 개구부를 통하여 상기 기판과 상기 지지대 표면 사이의 공간으로 공급되도록 하는, 정전척의 디척킹 검출 방법.
  18. 제 10 항에 있어서,
    상기 반도체 기판은 실리콘 웨이퍼이며, 상기 제 2 조건은 상기 음향 신호 발생기에 의해 발생된 음향파가 상기 웨이퍼에 의해 흡수될 때 검출되는, 정전척의 디척킹 검출 방법.
  19. 제 10 항에 있어서,
    상기 제 2 조건은 상기 음향 신호 발생기에 의해 발생된 음향파가 상기 반도체 기판에 의해 흡수될 때 검출되는, 정전척의 디척킹 검출 방법.
  20. 정전척의 디척킹을 검출하는 방법으로서,
    지지대 표면상에 실리콘 웨이퍼를 정전기적으로 클램프하도록 개조된 상기 정전척을 포함하는 기판 지지대상에 상기 웨이퍼를 지지하는 단계;
    상기 웨이퍼에 음향 신호를 전달하도록 개조된 음향 신호 발생기로 음향 신호를 발생시키는 단계; 및
    상기 웨이퍼의 제 1 및 제 2 조건을 검출하는 단계로서, 상기 제 1 조건은 상기 웨이퍼가 정전기적으로 클램프될 때 검출되며, 상기 제 2 조건은, 상기 음향 신호 발생기에 의해 발생된 음향파가 상기 웨이퍼에 의해 흡수될 때 검출되며, 상기 웨이퍼가 문턱 클램핑력을 초과하여 정전기적으로 클램프되지 않음을 지시하는, 상기 웨이퍼의 제 1 및 제 2 조건을 검출하는 단계를 포함하는, 정전척의 디척킹 검출 방법.
KR1020037012430A 2001-03-27 2002-03-21 디척킹에 대한 음향 검출 및 그를 위한 장치 KR100830070B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/817,162 US6403322B1 (en) 2001-03-27 2001-03-27 Acoustic detection of dechucking and apparatus therefor
US09/817,162 2001-03-27
PCT/US2002/006649 WO2002078062A2 (en) 2001-03-27 2002-03-21 Acoustic detection of dechucking and apparatus therefor

Publications (2)

Publication Number Publication Date
KR20030085572A KR20030085572A (ko) 2003-11-05
KR100830070B1 true KR100830070B1 (ko) 2008-05-16

Family

ID=25222467

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037012430A KR100830070B1 (ko) 2001-03-27 2002-03-21 디척킹에 대한 음향 검출 및 그를 위한 장치

Country Status (7)

Country Link
US (2) US6403322B1 (ko)
EP (1) EP1374283A2 (ko)
JP (1) JP4153309B2 (ko)
KR (1) KR100830070B1 (ko)
CN (1) CN1329947C (ko)
TW (1) TW556405B (ko)
WO (1) WO2002078062A2 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3581303B2 (ja) * 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
US6481723B1 (en) * 2001-03-30 2002-11-19 Lam Research Corporation Lift pin impact management
WO2002101377A1 (en) * 2001-06-07 2002-12-19 Tokyo Electron Limited Apparatus and method for determining clamping status of semiconductor wafer
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
EP1475670B1 (en) * 2003-05-09 2008-10-29 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1475667A1 (en) * 2003-05-09 2004-11-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system
JP4553375B2 (ja) * 2005-06-16 2010-09-29 信越化学工業株式会社 静電チャックのデチャック特性の評価方法および評価装置
JP4836512B2 (ja) * 2005-07-29 2011-12-14 東京エレクトロン株式会社 基板昇降装置および基板処理装置
KR101296911B1 (ko) * 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
US7508494B2 (en) * 2006-12-22 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and a subtrate table for exciting a shockwave in a substrate
US20110164955A1 (en) * 2009-07-15 2011-07-07 Applied Materials,Inc. Processing chamber with translating wear plate for lift pin
US20110060442A1 (en) * 2009-09-10 2011-03-10 Valcore Jr John C Methods and arrangement for detecting a wafer-released event within a plasma processing chamber
US8797705B2 (en) * 2009-09-10 2014-08-05 Lam Research Corporation Methods and arrangement for plasma dechuck optimization based on coupling of plasma signaling to substrate position and potential
CN102110629B (zh) * 2009-12-23 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 静电夹持装置、减少残余电荷的方法及等离子体处理设备
CN101826452B (zh) * 2010-03-30 2011-09-07 东莞宏威数码机械有限公司 基片上载装置
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6180811B2 (ja) * 2013-06-19 2017-08-16 株式会社荏原製作所 基板処理装置
US10024825B2 (en) * 2014-12-26 2018-07-17 Axcelis Technologies, Inc. Wafer clamp detection based on vibration or acoustic characteristic analysis
JP6651994B2 (ja) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 基板処理装置、メンテナンス用治具、基板処理装置のメンテナンス方法及び記憶媒体
CN110199384B (zh) * 2017-01-31 2020-08-14 应用材料公司 处理基板的方法及用于保持基板的基板载体
JP6866830B2 (ja) * 2017-11-22 2021-04-28 株式会社島津製作所 材料試験機および把持力検出方法
US10663871B2 (en) * 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
KR20210063918A (ko) 2019-11-25 2021-06-02 삼성전자주식회사 리프트 장치 및 이를 포함하는 기판 처리 장치
KR20230101216A (ko) * 2021-12-29 2023-07-06 세메스 주식회사 가스누출 검사장치 및 검사방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
EP0822590A2 (en) 1996-07-31 1998-02-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from an electrostatic chuck
KR20010076392A (ko) * 2000-01-21 2001-08-11 조셉 제이. 스위니 정전 척으로부터 제품을 디척킹하는 방법 및 장치

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
GB2154365A (en) 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5220232A (en) 1991-09-03 1993-06-15 Allied Signal Aerospace Stacked magnet superconducting bearing
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5858099A (en) * 1996-04-09 1999-01-12 Sarnoff Corporation Electrostatic chucks and a particle deposition apparatus therefor
US5788814A (en) * 1996-04-09 1998-08-04 David Sarnoff Research Center Chucks and methods for positioning multiple objects on a substrate
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
JP3436846B2 (ja) 1996-06-20 2003-08-18 シャープ株式会社 パケット順序情報を付与した通信方法
US5818682A (en) 1996-08-13 1998-10-06 Applied Materials, Inc. Method and apparatus for optimizing a dechucking period used to dechuck a workpiece from an electrostatic chuck
JP3245369B2 (ja) 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
WO1998022814A1 (en) 1996-11-22 1998-05-28 Autospect, Inc. Method and system for processing measurement signals to obtain a value for a physical parameter
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6004752A (en) * 1997-07-29 1999-12-21 Sarnoff Corporation Solid support with attached molecules
JPH1154604A (ja) * 1997-08-08 1999-02-26 Sony Corp ウエハステージからのウエハ脱着方法
US5872694A (en) 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US5948986A (en) 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
JP3554778B2 (ja) 1998-01-23 2004-08-18 株式会社村田製作所 非線形誘電体素子
JPH11233603A (ja) * 1998-02-16 1999-08-27 Nissin Electric Co Ltd 静電チャック吸着力検知方法
JPH11260897A (ja) * 1998-03-12 1999-09-24 Matsushita Electric Ind Co Ltd 基板の取り扱い方法と装置、それに用いる吸着検査方法、装置
US6057244A (en) 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6326149B1 (en) * 1998-11-03 2001-12-04 Sarnoff Corporation Method for controlled electrostatic adherent deposition of particles on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
EP0822590A2 (en) 1996-07-31 1998-02-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from an electrostatic chuck
KR20010076392A (ko) * 2000-01-21 2001-08-11 조셉 제이. 스위니 정전 척으로부터 제품을 디척킹하는 방법 및 장치

Also Published As

Publication number Publication date
CN1520607A (zh) 2004-08-11
CN1329947C (zh) 2007-08-01
WO2002078062A3 (en) 2003-03-13
JP2004534384A (ja) 2004-11-11
JP4153309B2 (ja) 2008-09-24
US6578423B2 (en) 2003-06-17
WO2002078062A2 (en) 2002-10-03
US20020142492A1 (en) 2002-10-03
TW556405B (en) 2003-10-01
US6403322B1 (en) 2002-06-11
KR20030085572A (ko) 2003-11-05
EP1374283A2 (en) 2004-01-02

Similar Documents

Publication Publication Date Title
KR100830070B1 (ko) 디척킹에 대한 음향 검출 및 그를 위한 장치
US11133210B2 (en) Dual temperature heater
US8363378B2 (en) Method for optimized removal of wafer from electrostatic chuck
KR101876501B1 (ko) 인-시츄 제거 가능한 정전 척
CN101189772B (zh) 用于等离子体反应器的具有智能升降销机构的静电卡盘
US5868848A (en) Plasma processing apparatus
US10069443B2 (en) Dechuck control method and plasma processing apparatus
US20090017228A1 (en) Apparatus and method for centering a substrate in a process chamber
KR20110128895A (ko) 디척킹 동안 전압 전위 스파이크의 감소를 위한 장치 및 방법
WO2000068986A1 (en) Method and apparatus for vacuum treatment
US6684523B2 (en) Particle removal apparatus
US20040182311A1 (en) Semiconductor processing apparatus having semiconductor wafer mounting
US6805137B2 (en) Method for removing contamination particles from substrates
KR20010062039A (ko) 반도체 웨이퍼 공정 모니터링 방법 및 장치
US20030037400A1 (en) Factory interface particle removal platform
US6725564B2 (en) Processing platform with integrated particle removal system
US7712370B2 (en) Method of detecting occurrence of sticking of substrate
JP2010283174A (ja) 半導体製造装置
JP3118497B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20030039087A1 (en) Substrate support apparatus to facilitate particle removal
KR20000039250A (ko) 정전척을 이용한 웨이퍼 가공방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130424

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140424

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150427

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160426

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170426

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180426

Year of fee payment: 11