TW546707B - Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method - Google Patents

Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method Download PDF

Info

Publication number
TW546707B
TW546707B TW091109508A TW91109508A TW546707B TW 546707 B TW546707 B TW 546707B TW 091109508 A TW091109508 A TW 091109508A TW 91109508 A TW91109508 A TW 91109508A TW 546707 B TW546707 B TW 546707B
Authority
TW
Taiwan
Prior art keywords
cleaning
processing chamber
processing
item
patent application
Prior art date
Application number
TW091109508A
Other languages
English (en)
Inventor
Brett C Richardson
Vincent Wong
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW546707B publication Critical patent/TW546707B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/06Cleaning involving contact with liquid using perforated drums in which the article or material is placed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Description

546707
【相關申請案的參照】 本申請案係關於(1)美國專利申請案第 境 (代理人擋案第LAM2P285號),提申於2002年一5—月一3一號—厂^名 為「利用光學放射光譜以測定無晶圓自動清潔法中的製程 殘留物終點」;(2 )美國專利申請案第一_________號(代理 人檔案第LAM2P287號),提申於2 002年5月^虎—命名為 「蝕刻應用的高壓無晶圓自動清潔法」。這些申請案特此 【發明領域】 本發明係關於一種清潔 留物的設備和方法。特別是 其他使用兩步驟清潔程序之 潔方法。 先前累積於處理室内表面之殘 ’本發明係關於除去在内壁或 元件上殘留物的無晶圓電漿清 【背景說明】 、,習用電漿處理中,使用活性氣體類型來活化電漿, =便能從基板上生成或除去想要的薄膜。化學活性類型包 離子、中性原子和分子。當參與例如電漿蝕刻的化學$ ^ $程’活性類型與待蝕刻材料間產生物理或化學反應。 化學活性類型經過慎選,以便專用於將反應的基: 又而S ’由一或多個處理參數控制電漿,例如: 二人處理至内表面溫度、壓力、反應氣體流速、反應氣體 此ϋ、反應氣體濃度、氣體注入分佈、電漿離子濃度和偏
第5頁 546707 五、發明說明(2) 電壓。這類處 佳化。為了以 執行處理參數 雖然謹慎 内表面多半沈 沈積在處理室 不但使過程不 缺陷的基板。 移往基板之上 導致處理室環 此,處理設備 出和較佳性能 改善設備 處理室裡任何 式清潔。在前 引入一或多種 在處理室内表 潔處理氣體反 應部分一同排 始。 乾式蝕刻 代方法為破壞 面的濕式清潔 被原位清潔步
第6頁 ?參數通常為了晶圓樣本上的反應物類型最 ^設計(更常稱為_)形式的專用過程最 的最佳化和化學活性類型的選擇。 = 程,在發生處理的處理室 ::不希望仵到的殘留%。此殘留物不幸地 可周。處理室内部過長的殘留物積聚, ::::移基準’ 1導致以瑕疵形式惡化、 吊清潔的步驟’⑨留物 :,0殘留物積聚造成處理性能失;: m刻率或沈積率會隨時改變。因 ,且為了夫Ϊ下二二疋為了 §前產品的高產 产报: 代產品的發展。 :穑ί 體品質和效率的重要技術為清潔 者清潔操作中,處理ί:”蝕刻清潔和濕 處理清潔氣體。施CfS中排出而 面任一殘留物反應b里以促進軋體和積聚 應,形成氣態副ί物:殘留物和清 出處理室。於、青、:和清滅處理氣體未反 於π各過程之後標準過程重新開 例如處理室保持宗私 處理室的真空密封勺原位清潔步驟,其替 乂驟。通常執行濕式清内表 驟除去,且隨時間籍:4步驟除去未完全 、曰積歙的殘留物。有時使用 546707 五、發明說明(3) 旦清潔了、,處理室密封而繼續 溶劑來溶解這些殘留物 標準過程。 的利Ϊ幸:如^ 3作在種種方面影響基板處理系統 執行濕式^潔時;:=操作的間減少了系統利用。當 甚至造成更多停產時;二ϋ”察拭處理室内表面 定。處理室環境的重J穩:”f衣兄必須隨後重新穩 理室回到未濕前清潔;^而,處理許多晶圓,以調節處 積聚。 ' 处理室狀態’而無額外的殘留物 圖1係除去所有處理室沈 潔程序的流程圖。此方>\尤4積/產物之復合单一步驟清 圓以確認處理程序就緒:#作10開始,在此處理樣本晶 品晶圓。接著進展二後前s至操作12,纟此處理產 碳基化合物的蝕刻:人“以J此]以去除矽基化合物和 所示本以晶圓從操作12至14再跑-次。圖1 一步驟(復合的)益曰狀態下執行,在此被視為單 例子中可能是彡月潔(WAC)過程。儘管在某些 基化合物些所有步驟仍是重複復合(石夕 清潔(WAC)過程"。。物兩者的去除)單一步驟無晶圓自動 保不少或否的優點,但不能確 半導體為主的‘物:事匕以碳基的有機殘留物和以 去除石夕的反應傾向主要以I基化學為主,;ί
$ 7頁 546707 五、發明說明(4) 除緣主要、 矽和炉:氧基化學為主。當氟基和氡基化學反應混合, 加至^丄移除率不是都在理想標準。當混合物中氧濃度增 m _ "" 界限之上’矽移除率變成飽和且實質上降低。盥 碳移除率!在單一步驟復合方法中妥協“直接: 有^銘队,此單步驟方法不能達到石夕和碳的沈積物最 蕞雷极I率。以前,電漿清潔用來清潔處理室裡有晶圓覆 曰門带將蝕刻反應器和沈積物反應器,但現在更常執行無 曰 7 /月緘。此導出無晶圓自動清潔(Wac)的使用。 使用移除矽和碳之獨立蝕刻氣體的特定混合物,用以 :去矽和碳基化合物的復合WAC方法時,受非最佳化較低 $秒和碳沈積副產物移除率之害。換言之,單一步驟復合 WAC方法未被調整至達到矽和碳的副產物完全移除。 ^,單一步驟復合WAC方法折衷副產物移除效果以便使^ 早-完全包含WAC化學。因此,復合WK方法可能以除去立 -副產物太多而另-不足的中等移除率,來移除:、 處理f會ϊ未完全清潔而導致材料積聚,或是過渡 /月冻而導致處理至零件退化及壽命縮短。此外,告 WAC方法使用纟氣清潔氣體’處理室内表面形成非田〜 氣化銘化合物的積聚。這些化合物的積聚造成處 操作的變化。最、终,積聚物會制落而沈積在處理; 頻繁清潔操作的另一缺點為,當過渡清 命縮短時,有增加處理室零件磨損的傾向。依 7件奇 品花費增加。因此,需要清潔半導體處理室的改_方消耗 546707 五、發明說明(5) 二=,當減少或消除清潔殘留物之後的形成物 留物:4 和設備應能去除在基板處理操作時產生的殘 的半;所需者;裝配有效地移除碳和石夕基化合物 的丰導體處理室,以致有效率地移除矽基化· J境提供給每個連續處理的半導體基板一致的處理 【發明概述】 本發明提供一種各清潔特定副產物步驟 步驟清潔程序,以清潔♦和碳沈積副產 ^ 兩步驟清潔程序的第一步驟為最佳化以去=::: =第二步驟為嘬佳化以去除碳沈積副產二^ 發明可以許多方式施行,包括設備、系統、本 法。以下敘述本發明的各個發明實施例。、 5 括汽ί;實施=無晶圓自動清潔過程(WAC)方法,包 括/瓜入第一虱癌成分以清潔處理室,此匕 ,少約75 %化學式為XyFz的含I化合物 亂,成刀包含 =成分形成第1刻電衆,其由處理室接内者表面自去: 石室U物:I:步驟包括流入第二氣態成分於同-處Ϊ ^ 第一氣I成分包含至少約5 〇 %化學式A 〇的氦 :“匕第二氣態成分形成第二蝕刻電漿,::處二接 表面去除碳和碳基化合物。 /、由地里至内 在本發明另一實施例中,晶圓處理首先使用包含至少 546707 五、發明說明(6) 約7 5 %化學式為、匕且最佳 物電漿,來清潔處理室内 ,苴' 和矽化合物的氟化 %〇2且最佳化以去除碳和 物的人用包含至少約50 室内表面。在第一和第二生变的氣電漿,來清潔處理 内處理。 一 /月潔耘序之後,晶圓可於處理室 清潔理宣内表面的*序。 入含有化學式為XyFz且最 口、 6、处理步驟,包括首先流 物的蝕刻氣體。& 2著,自人以〃去除矽和矽化合物之氟化 漿。處理室内部壓力飨&认氟化物的餘刻氣體形成電 理室内表上的石夕;約2,〇毫托爾範圍内。對處 準。 合物監控製程,以確定達到預定標 清潔碳和碳化合物的 刻氣體’及可選擇之微:二:包括首先流入含氧的蝕 便氟化合物自兩步驟程成^予式為的含氟化合物,以 化合物。由含氧蝕刻氣形别步驟中最佳化以去除矽和矽 10-100毫托爾的壓力範圍〉成的電漿在處理室中維持於 碳化合物,以確定達到 K i控著處理室内表上移除碳和 ^ ^ 4頂定標準。 在本發明另一實施例 位清潔程序的電漿處理系,友1供一種用以執行兩步驟原 清潔氣體和第二清潔氣=統。此電漿系統包含一流入第一 去除沈積在處理室内表面的入口’最佳化第一清潔氣體以 氣體以去除沈積在處理室的矽基化合物,最佳化第二清潔 亦包括一頂端電極,其在内表面的碳基化合物。此處理室 、 執行原位清潔程序第一步驟中, 546707 五、發明說明(7) 用來從第一清潔氣體產生 完成後從第二清潔氣體產 流速之用以控制處理室内 可變電導器位於處理室之 夾持晶圓及晶圓溫度控制 位巧春程序之各步驟終點 内。此0ES與處理室相通< 驟間抽空處理室的抽氣系 其他本發明的實施態 例方式就本發明之原理所 【較佳實施 本發明 以充分除去 而’顯而易 全部相關細 眾所皆知的 景說明」部 電漿蝕 惰性原子氣 選擇此餘刻 類型,且其 處理室除去 序’可能因 例的詳細說明 敘述一種有效 積在半 對熟習 實踐本 種種沈 見地, 部仍能 程序步 分。 刻的基 體製造 氣體, 和被蝕 。單獨 此於六 驟,以 本概念 化學活 以便生 刻氣體 以化學 步驟中 第〜電漿,以及接著在第一夕驟 生第二電漿。一獨立於處理氣體 壓力的可變電導器包括在内。此 一出口上。具有靜電夾頭,用以 ° —用以探測處理室内兩步驟原 的光學放射光譜儀(0ES)包含在 在兩步驟清潔程序之每^^少 統包含在内。 樣和優點,從以下參照附圖以舉 作之洋細說明將更加清楚。] 的兩步驟無晶圓自動清潔方法, 导體處理室壁上之副產物。然 2技術者而言,即使欠缺部分威 &明。在其他實例中,並未詳述 免模糊本發明。圖1敘述於「背 ^為直接。利用輝光放電從相對 、類型(中性原子、根和離子)。 f ^待餘刻材料產生化學反應的 施r; i成物為易揮發性,以便從 阶·除材料的理想乾式蝕刻程 、1)電聚中生成活性類型,
第11頁 546707 五、發明說明(8) 2 )攻些類型擴散至被蝕刻材料表面,此 附,4)揮發性副產物形成伴隨著化學反庳,5)=:= Π放敏?被釋放的類型擴散至氣體内。若這些任-ϊί 時貝;-二:二"ί環終止。然而’當副產物從表面釋ί 時,可能沈積在處理室内表面。 =枰孜 著時間積聚一層副產物而污汍 地至内表面會隨 ㈢叫座物而巧染被處理的晶圓。 在電漿姓刻程序中搞舍μ m η 電。輝光放電主要用ί高倉,ϋ二極體來建立輝光放 起喷射。換言<,輝光放擊目標表面且引 所關注表面。在電類型用以化學地钱刻 Z頻率用於應用RF電源,此頻率夠高以 需ί數個RF循環以越過大量電聚區和晶圓二 的、因ί 4 $於電激颠H统内電壓分饰的瞭解是有益 的,因為粒子的衝盤姑為 電漿電壓決定離子用面=於電壓分佈。此外’ .L ^ ^ 衝擊處理至其他表面的能量,且這 it#、衝擊會引起噴射和隨之發生的被喷射材料重 留物通常形成於製程操作的内表面上, 顧用二:圓的沈積和蝕刻操作。此外,當衝擊光阻蝕刻 形成裝置圖案之遮罩,碳基的殘留物也會形成於内 表面上0 冰接ί發明的兩步驟無晶圓自動清潔(WAC)有效率地清潔 ^ ^Ήί/U、’&且提供各個蝕刻操作之初始一致的基準環境。兩 〇 “水有效地和石夕基殘留物反應,形成可從室内移除的
546707 五、發明說明(9) ^ : : ^二ί 一電漿程序之後,胃二電漿從餘刻流入處理 可從室内移除的“L 地和碳基殘留物反應’形成 時間於破保至中矽殘留物較為普遍,此兩步驟方法可多花 以:二美:1基化合物的移除,較少時間於碳基化合物, 步驟二二;k r物過度清潔。因此,兩步驟程序和復合單— 1 = 會顯著影響系統之晶圓製程生產率。此 格杜、兩步驟程序提供各個操作間更一致的室内環境 =t L因此’由於籍由兩步驟WAc技術提供處理室内一致 内ΐΐ隨刻操作的反覆量增大。換言t,處理室 副產物,在提供穩定/可重複的晶圓 曰1蝕』率/和延長的清潔間距(MTBC)下,實質上消除了。 雷將依據本發明一實施例,執行兩步驟清潔程序之 括2:1先的簡化典型概要圖。示範的電聚蝕刻系統包 〇y versys無晶圓自動清潔系統,亦稱 Γ:入:™ 9 400PTX都為申請人所有。電漿罐統 雒姓V數個構件,例如抽氣以減壓的蝕刻室102、建立並 1 n R、;、低之壓力的抽氣系統1 0 4、監控室内壓力的壓力計 6二獨立控制蝕刻室中壓力和流速之位於抽氣系統ι〇4和 哭二η至〇 2間的可變電導器1 〇 8。無線電頻率(RF )電源供應 :產生輝光放電。氣體處理器1 1 2測量並控制蝕刻氣體 :。電極114可用於衝擊電漿。光學放射光譜儀(0ES)監 =器116監控蚀刻室102沈積物移除與室内沈積物移除蝕刻 蜊的特定波長。控制溫度和夾住晶圓的靜電夾亦包含在
IH 第13頁 546707 五、發明說明(ίο) 内1所應注意者為,在以前電漿清潔用以清潔附有曰圓在 、生:f。t卜墓# & 反應 但現已普遍使用無晶圓電漿 :4 =導致無晶圓自動清潔(WAC)的使用。在一實施例 中,J:腦控制之操作自動地啟始無 晶圓處理間距。例如,WAC葙床π拈—从电/,月,糸於Λ疋之 ^ ^ 二;ΓΓ=理參數視為一種方法輸入,且處:參數 =。控制糸、、“制,例如連接反應室的可程式化邏輯控制 wac程序習知地使用復合單一步驟方法,專注於所有 =尤積副產物包括用以去除矽基化合物和碳基化合物之 刻氣體的移除。然而’石夕和碳復合WAC方法受非最 佳化較低的矽和碳沈積副產物移除率之害。此外, 驟方法留下的氟化鋁化合物不利地影響蝕刻操作。 在為:f ί中所白,矽基殘留物形成於例如矽晶圓沈積 面。*製程中’由於常用作形成裝 置圖案之遮罩的石厌基光Jt且,碳基沈積物亦形成於室内。一 般而言,矽基副產物和碳基副產物的百分比不是丨:i,因 為石夕基副產物發現的量比碳基副產物更多。熟習此技術 將明瞭,於多重矽蝕刻設備中矽基化合物為主要的室内 積類型。本發明提供-種方法,藉由自特定有效於去除畐: 產物之蝕刻氣體形成電漿,以清潔半導體處理室内表面。 換言之,矽基化合物的清潔程序有效率地除去矽基化合 物,而碳基化合物的清潔程序有效率地除去碳基化合物。 第14頁 1 546707 五、發明說明(11) 在貫加例中,目標的副產物薅险紹广& 第一步驟使用SI?6或其他 為兩步驟程序,其 的)副產物。第二步驟使用^ ,來除去石夕(無機 的)副產物。清潔程庠f 4 2)化予從室壁去除碳(有機 使用任何適宜v=。執 助清潔敦,以防止銘處 12的氧基化學協 WAC技術之方4:3施^執行兩步驟副產物移除 操作“2啟始此方法從去除石夕基化合物的 個晶圓製程後,或Λ作142可執行於單一或多 氣體流入室内且衝擊_ f:J作之後。在此’氟蝕刻 (無機的"產物=接==理室内表面除去硬 ;之操:144。在此, =有 :去除特定的副產物。如前所得以最佳化 時’各個程序步驟可最有效4。積類型 WAC過程的清潔時間不會大大辦加、。、、§丑立。因此,復合 操作可執行於指定長短之 9, °、主、^主意者為,這些 之軟體控制。 、a ’或4潔操作可由偵測終點 理室作"6,在此處理產品晶圓於處 圓。執行於以圓處理多個或單-晶 夕閘門、淺溝絕緣(STI)應用和其他會在處理室
I 第15頁 546707 五、發明說明(12) 内壁上沈積材料之適宜的半導 行至決定日《1余 亍等體慝理細作。此方法接著進 ^至f ^曰曰®處理是否完成的決策操作148。若進 畢。若剌宕声饰、 私序重複至所有晶圓處理完 带右判疋處理完成則此方法停止。 圖 室 Γ方係Λ3之移除石夕基化合物方法操作的較詳細流程 :匕=於操作162 ’其中含氣氣體混合物流入處理 的餘理室參考圖2所描述。銳用作移除石夕化合物 實施例中,氣银刻劑為包含至少約75%化 氟化合物的氣態混合物,且流入如圖2所示 動清潔mc)程序的反應室。氟餘刻劑移除 矽基化合物的方法,最佳化於處理參數例如:溫度、壓 力、反應氣體流速、變壓器連接電漿功率、和偏$壓, 從處理室内表面移除最多之矽和矽基化合物。底下表〗提 供依據本發明一實施例,處理參數的操作範圍。所應注意 者為,所提供之範圍可能隨著不同處理室配置而異。再。 者,表1之範圍為例如23 00多重無晶圓自動清潔系統或Tcp 9 4 0 0 P T X蝕刻系統的最佳化範圍。如下所示,在本發明一 實施例中,操作1 6 2之含氟氣態混合物即SFb,可變動從每 分鐘50標準立方公分(sccm)至約4〇〇sccm。較佳流速範圍 在約50sccm至約lOOsccm之間。
546707 五、發明說明(13) 表1 參數 最佳範圍 中等範圍 較寬範圍 壓力 3mT 2-5 mT < lOOmT TCP功率 1000 W 800-1000 W 800_1500 W sf6流速 50 seem 50-100 seem 50-400 seem 、本方法接著進行至操作164,其自含氟氣態混合物生
成電漿。處理參數參考表1所提供。特別是,壓力可變動 於約0毫托爾(mT)至約i〇〇mT,而較佳範圍位於約2ιητ至約 5mT之間。變壓器連接電漿(TCP)功率位於約8〇〇瓦特(w)'、和 約1 50 0W之間’而較佳範圍位於約8〇〇w至約1〇〇〇w之間。熟 習此技術者將瞭解,處理室可裝配作電容連接室或電導連' 接室。對電容連接室,最低功率最好設為〇。此外,含氟 氣體能包含SFe和NFs氣體。在一實施例中,混合物為丨:1的 SFe和評3氣體。相反地,肝3可取代SFe。在另一實施例中, 氣體混合物可以包含少量百分比之〇2以協助分離I。在
此’ 〇2流速為SFe或NF3之約0 %至約1 〇 %之間。最好是沒有 〇2流速。 圖4之方法接著進行至操作丨66,其執行矽基化合物移 除的WAC步驟。在此矽清潔步驟,如前所闡釋,以先前所 述參考圖1之處理參數設定來執行。此方法接著進行至決 策操作1 68,其判定矽基化合物是否已移除。在一實施例
第17頁 546707
五、發明說明(14) 中,終點由光學放射光譜儀(〇Es) 〇ES監視器116。 判疋,例如於圖2中藉由 圖5係圖3之移除碳基化合物方 圖。此方法始於操作172,其中含氧(〇呆”的較詳細流程 半導體處理室。所應注意者為,〇、、/ 21乳態混合物流入 八, U2成可能有盔句合少詈百 刀比之含氟氣體,例如前述來昭 …、 ^ Μ ^ Α ^ 4^圖4之含氟蝕刻氣體。氧 蚀刻劑移除碳基化合物的方法,最佳化於處理來數例如: 、^度、壓力、反應氣體流速、TCP功率、和偏電廢,以從 处理室内表面移除最多之碳和碳基化合物。下表2提供依 據本發明一實施例,清潔碳之處理參數的操作範圍,其中 微量含氟氣體為可取捨的。所應注意者為,所提供之範圍 可能隨著不同處理室配置而異。再者,表2之範圍為例如 230 0多重無晶圓自動清潔系統之電漿蝕刻系統的最佳化範 圍。如下所示,操作1 7 2之含氧氣態混合物,可變動從每 分鐘100標準立方公分(seem)至約600sccm,而較佳流速範 圍約為lOOsccm。 表2
參數 最佳範圍 中等範圍 較寬範圍 壓力 10 mT 10-40 mT <100mT TCP功率 1000 W 800-1000 W 800-1500 W 〇2流速 100 seem 100-500 seem 100-600 seem SF6流速(最大至 10%〇2流速) 10 seem (最大至0-10% o2 流速) (最大至0-10% 〇2 流速)
第18頁 546707 發明說明(15) 、圖5之方法接著進行至操作i 74,其自含氧氣態混合物 生成電漿。處理參數參考表2所提供。例如,壓力可變動 於約0毫托爾(mT)至約i〇〇mT,而較佳壓力約為1〇mT。變壓 器連接電漿(TCP)功率位於約800瓦特和約15〇〇w之間, 而較佳範圍位於約80 0W至約1 00 0W之間。熟習此技術者將 瞭解,處理室可裝配作電容連接室或電導連接室。對電容 ,接室]最低功率最好設為0。含氟氣體流入之流速.為含 乳氣體最大流速之約〇 %至約丨〇 %之間。熟習此技術者將 瞭解’儘官SFe列為含氣氣體,其他例如龍3的含氟氣體可 ^替之。在一貫施例中,含氧氣體和一惰性氣體一同流入 處理室。例如,含氧氣體可和氮、氬、氮等等混合。在此 實施例中,惰性氣體流速在含氧氣體最大流 約20 %之間。 ° & 圖5之方法接著進行至操作176,其執行礙基化合物移 除的WAC步驟。在此碳清潔步驟,如前所闡釋,以先前所 述參考圖2或圖3之處理參數設定來執行。此方法 至決策操作178,其判定碳基化合物是否已移除。在一仃 施例中,終點由光學放射光譜儀(〇ES) 藉由0ES監視器116。 』疋例如於圖2中
第19頁 546707 五、發明說明(16) 例,只使用一含氧氣體來生成電漿。·所應注意者為,除了 · 表3排除了含氣氣體,表3提供之範圍大致和之前表2相 似。 表3 參數 最佳範圍 中等範圍 較寬範圍 壓力 10 mT 10-40 mT < 100mT TCP功率 1000 W 800-1000 W 800-1500 W 〇2流速 100 seem 100-500 seem 100-600 seem 最好先執行兩步驟程序中的矽清潔程序,再執行礙清 潔程序。然而,步驟順序可顛倒。在碳清潔步驟中限制含 氟氣體數量,以便含氧氣體能有效地防止氟化鋁化合物在 碳清潔步驟中,於處理室内表面積聚。單一步驟WAC方法 在室内表面上留下氟化鋁的沈積物。 如上所論’可使用光學放射光谱儀(0ES)監控室内移 除沈積物和移除反應物的特定波長,以判定矽清潔步驟和 碳清潔步驟的終點。含氟化合物的特定波長是,S i F以 30 9nm為代表、S iF2為390 nm、反應物氟(化學式f )為 680nm。初始基線制定記錄於乾淨室内狀態之波長,用作 0ES強度之門檻或例行數值。特定波長的強度以為時間函 數且具斜率而著名。當特定波長的強度曲線為零斜率,表 示沒有額外的清潔發生,且反應物或產物濃度之相對濃声
第 20 頁" '·^ ---- 546707 五 、發明説明(17) 無變化。在〜實施例中,當建議之波長(39〇nm、3〇9四或 6 8 0nm)隨時間顯示初始乾淨室内強度和零斜率之強度曲 線,即達到矽基化合物WAC終點時間。含氧化合物(如一氧 特Λ波長Λ520 ηιη。因此,當波長隨時 間顯不初始乾淨至内強度和零斜傘 基化合物WAC終點時間。在碳化人、強度曲線’即達到碳 化合物之強度斜率,因為蝕刻Ό、物^實施例中,紀錄含氧 為,當碳清潔中包括含氟化1鉍以^為主。所應注意者 監控以判定終點。 ° ,、彳上所全列之波長可被 表4概述依據本發明之—杳a μ从1 μ 實施例的兩步驟WAC方法。如 f口乾淨室内狀態之基線訊號互相比^ ^所述,根據0ES監控器訊號,可利定石夕清潔和碳清潔的 冬點時間。裝配0ES監控器以偵測適當波長,而接著訊號 照
546707 五、發明說明(18) 表4 步驟編號 2 2 3A 3B 步驟類型 穩定 矽清潔-1 碳清潔-2 (只有〇2) 碳清潔-2 (〇2+氣化物) 壓力 3mT 3mT 10 mT 10 mT TCP功率 0 1000 W 1000 W 1000 W 偏電壓 0 0 0 0 〇2 N/A N/A 100 seem 100 seem sf6 50 seem 50 seem 10 seem 10 seem 惰性氣體 N/A N/A 20 seem N/A 完成之根據 穩定 時間 時間 時間 時間(秒) 30 17 6 6
熟習本項技術之人士將瞭解,穩定步驟調節了室内環 境,因而於開始矽清潔步驟時環境穩定且一致。如前所 述,單獨用含氧化合物,或使用含氧化合物和含氟化合物 兩者,可執行碳清潔步驟。此外,在步驟編號3 A或3B中惰 性氣體可與含氧化合物一同流入。表4僅為示範而非限 制。除了變動於處理室設計的處理參數之外,也可以表
第22頁 546707 五、發明說明(19) 1-3所提供之參數值範圍代替。 如表4所示,矽清潔步驟和碳.舟 依處理類型修改。換言之,若_ 糸/驟袼疋的時間可 物於壁上,則設置矽清潔步驟’非過度产夕矽基化合 清潔地除去沈積副產物。依序,部_又β ’’、亦P非未徹底 大致上消除因室内環境變動導致:姓的,境:以 沒有比晶圓更大之= 反故二 =乍時再也 地,因為碳基化合物趨向少於石夕基化合二之物累類型旦。同樣主 潔步驟指定的時間可縮短以有几里,妷 >月 4提供各步驟特定時間可田相'無顯著差異。儘管表 控器,偵測終點以控制各步驟。在此,0ES/ 終點和輸出信號以觸發個別清潔步驟的完成皿“ d貞測 此圖6係依據本發明一實施例,描述WAC程序在蝕刻率性 肥上之影響的圖表。已知乾淨室内之初始蝕刻率較低,直 Ϊ ΐ之調節晶圓被用於穩定依次緩慢漂移清潔間距 ( )循裱之基線的蝕刻率。線段2 00代表WAC以不同時間 間距執行之氧化物蝕刻率。各個晶圓在點2〇2之後執行無 晶圓自動清潔。接著,5裸晶圓在點202之後處理而不執行 WACS\如所示,各晶圓之後無WAC和有WAC相較,樣本氧化 ,曰曰圓上之氧化物#刻率約有2 7 %增加。換言之,在5裸 晶圓=無WAC處理後,蝕刻晶圓增加27 %的蝕刻深度。所 應注意者為,在點204,各晶圓之後重新開始WAC。 546707
再參照圖6,線段20 6代表之光阻飿刻劑(pR)的蝕刻 率,相似地受影響於各晶圓處理後無或有執行wac。換言 之,在處理5裸晶圓的點208與點21〇之間,pR蝕刻率約有 25 %增加。同樣地,一旦在點21〇重新開籠,曰曰曰圓蝕刻 率便穩定。因此,各週期後執行WAC提供了各蝕刻操作固 定之出發點,由此使晶圓間蝕刻率變化為最小。所應注意 者為’ WAC使各個連續之蝕刻操作得以在狹小範圍内重複u 圖7係使用多閘門釋放方法的蝕刻率反覆圖形,其依 據本發明一實施例,WAC執行於各個晶圓之後。線段212、 214、21 6和2 18各代表多重主蝕刻、多重過度蝕刻、氧化 物主蝕刻和光阻蝕刻劑主蝕刻。當WAC於初始與各晶圓處 理後執行,量測自第i至第25個晶圓蝕刻率之重複性及穩 =性。執行WAC於各晶圓間之此25個晶圓,其蝕刻率之重 後性及穩定性範圍為,多重主蝕刻〇 , 7 %,多重過度蝕刻 2,6 %,氧化物主蝕刻3.丨%,光阻蝕刻劑主蝕刻&. 6 %。 因此,藉由設置晶圓間一致的環境,和使用設計以有效移 除矽與,基化合物之WAC來標準化初始條件,達成蝕刻率 =k制嚴格。依次,蝕刻程序中定義之臨界範圍被控制於 適宜的範圍内。 總結之:兩步驟清潔方法有效地提供多種副產物處理 二,之一致環境。此一致環境考慮到晶圓間最小變動之處 喿作的重複性與再生性。儘管為了清晰地理解前述發明 而以某些細節洋述之’顯然地,於附加申請專利範圍内可
546707 五、發明說明(21) 實行某些改變和修改。因此,本實施嗍係說明性而非限制 性,且本發明不被此中所舉之細節限制,而可於隨附之申 請專利範圍或均等物内作修改。
第25頁 546707
一步驟清 圖式簡單說明 圖1係移除所有處理室沈積副產物之 时 潔程序的方法操作流程圖。 设s早 圖2係依據本發明一實施例,執 電漿触刻系統的簡化典型概要圖。 λ *驟π潔程序之 圖3係依據本發明一實施例, WAC技術之方法操作流程圖。 兩ν驟副產物移除 圖4係圖3之移除矽基化合物方法 圖。 細作的較詳細流程 圖5係圖3之移除碳基化合物 圖。 保作的較評細流程 圖6係依據本發明一實施例, 能上之影響的圖表。 述WAC程序在蝕刻率性 圖7係依據本發明_眚姑也丨 #用容Μ η# 於各個晶圓之後執行WAC、 使用夕閘門釋放方法的触刻率再。 【符號說明】 102 蝕刻室 1 04 抽氣系統 106 壓力計 108 可變電導器 110 RF電源供應器 112 氣體處理器 114 電極 116 監視器

Claims (1)

  1. 546707 六、申請專利範圍 1. 一種處理室之清潔方法,包含: 流入第一氣態成分至處理室,該第一氣態成分包含至 少約75 %化學式為XyFz的含氟化合物; 自此第一氣態成分形成一電漿,以提供一第一#刻電 漿,其由處理室内表面去除矽和矽基化合物; 流入第二氣態成分至處理室,該第二氣態成分包含至 少約5 0 % 02 ;及 自此第二氣態成分形成一電漿,以提供一第二蝕刻電 漿,其由處理室内表面去除碳和碳基化合物。 2. 如申請專利範圍第1項之處理f之清潔方法,其中 該含氟化合物係由主要組成元素為NF3與8?6之群組中選 出。 3. 如申請專利範圍第2項之處理室之清潔方法,其中 該含氟化合物為S F 6。 4. 如申請專利範圍第2項之處理室之清潔方法,其中 該第一氣態成分至少約9 0 %為該含氟化合物。 5. 如申請專利範圍第4項之處理室之清潔方法,其中 該第一氣態成分之主要組成元素為該含氟化合物。 6. 如申請專利範圍第1項之處理室之清潔方法,其中 該第二氣態成分至少約7 5 %為02。 7. 如申請專利範圍第6項之處理室之清潔方法,其中 該第二氣態成分至少約9 0 %為02。 8. 如申請專利範圍第6項之處理室之清潔方法,其中 該第二氣態成分包含化學式為Xy Fz的含氟化合物。
    546707 六、申請專利範圍 9. ^如申請專利範圍第8項之處理室之清潔方法,立中 iSF 成分的該含氟化合物係由主要組成元素為肝3 與sf6之群組所選出。 73 φ笛W ΪΓ請專利範圍第8項之處理室之清潔方法’其 τ弟一亂態成分的該含,敦化合物為sF6。 η·,,請專利範圍第10項之處理室之清潔方法,其 氣態成分為約90%02*1〇%SF6。 如申請專利範圍第6項之處理室之清潔方法,其 氣態成分主要組成元素為〇2。 ’、 一種晶圓處理方法,包含: 潔程序’使用氟化物電漿來清潔處理室内表 中該第-12. 中該第-13· 第- 面,該氟化物電漿包含至少約75 %化學式為含 合物’且最佳化該氟化物電漿以去除矽和石夕化z人物. Μ雷ΪΪΪ潔程序―,使用氧電椠來清潔處理室二表面’該 含ί少約賴2,’最佳㈣氧電聚以去除碳和 圓。在該第一和第二清潔程序之後,》該處理室中處理晶 14.如申請專利範圍第13項之晶圓處理方法,i 含氟化合物係由主要組成元素為Νρ3與81? …、τ邊 1 Γ" 6〜邱?且所撰ψ η •如申請專利範圍第I 4項之晶圓處理方法,、 一清潔程序持續約丨7秒而第二清潔程序持續約^秒’。其中第 1 6 ·如申請專利範圍第I 4項之晶圓處理方y。 一清潔程序之方法操作更包含: '’ /、中第
    第28頁 546707 六、申請專利範圍 :持室内壓力於約2 —5毫托爾範圍内; 使用約1 0 00瓦之TCP功率; 2 ”弋標準立方公分(sccm)至約·ccm的流 將含亂化合物流入處理室。第…& 11 圍第15項之晶圓處理方法’其中該 第-r成:主要組成元素為該含1化合物。 申:專利範圍第13項之晶圓處理方法,其中該 ‘乳態成分為至少約75 %〇2。=·如申印專利範圍第i 8項之晶圓處理方》,其中該 '軋態成分為至少約9 〇 % 〇2。 ·如申清專利範圍第1 9項之晶圓處理方法,其中該 、氣態成分包含化學式為\1的含氣化合物。 ^ '如申凊專利範圍第2 〇項之晶圓處理方法,其中該 —氟態、成分之該含氟化合物係由主要組成元素為評 心之群組所選出。 2j.如申請專利範圍第21項之晶圓處理方法,其中該 ‘氣態成分之該含氟化合物為。 2>3.如申請專利範圍第21項之6晶圓處理方法,其中該 k氣態成分為約90%〇2*i〇%SF6。 ·如申睛專利範圍第2 2項之晶圓處理方法,其中該 •氣態成分之主要組成元素為〇2。 25· 一種處理室内表面的清潔方法,包含: 首先流入含有化學式為Xy Fz之含a化合物的蝕刻處理 礼體’最佳化該含氟化合物以去除石夕與石夕化合物; 速 第 第 第 第 第 第 第
    546707 六、申請專利範圍 由該蝕刻處理氣體 維持處理室壓力於 監控該程序,以確 到預定標準。 2 6.如申請專利範 法,其中該含氟化合物 組所選出。 2 7· 一種處理室内 首先流入含氧的蝕 去除碳化合物,及可選 最佳化該含氟化合物以 從該蝕刻處理氣體 維持處理室壓力於 監控該程序,以確 到預定標準。 2 8.如申請專利範 法,其中該可選擇之含 與SF6之群組所選出。 2 9·如申請專利範 法’更包含: 形成~電聚; 約2 — 5毫托爾.範圍内;及 疋该處理室内表上矽和矽化合物達 =項之處理室内表面的清潔方 ,、主要組成元素為與SF6之群 表面的清潔方法,包含·· 刻處理氣體,最佳化該處理氣體以 擇之化學式為XyFz的含氟化合物, 去除矽和矽化合物; 形成一電漿; 約1 0 -1 〇 〇毫托爾範圍内;及 疋该處理室内面表上之碳化合物達 圍第2 7項之處理室内表面的清潔方 氟化合物係由主要組成元素為nf3 圍第2 7項之處理室内表面的清潔方 以約母分鐘1 〇 〇標準立方公分(s c c m )至約6 〇 〇 s c c m的流 速’將含氧之蝕刻處理氣體流入處理室;及 使用約8 0 0瓦至約1 5 0 0瓦之TCP功率。 30·如申請專利範圍第29項之處理室内表面的清潔方
    第30頁 546707 六、申請專利範圍 法’其中該含氧之蝕刻處理氣體為至少約90 %〇2。 31 · 一種電漿處理系統,用以執行兩步驟原位$潔程 序’該電漿處理系統包含·· 一處理室,具有: 一 一氣體入口,用以導入第一清潔氣體和第二清潔 氡體’最佳化該第一清潔氣體以去除沈積在處理室内表面 的石夕基副產物,最佳化該第二清潔氣體以去除沈積在處理 室内表面的碳基副產物;及 一頂端電極,用以在執行原位清潔程序第一步驟 中,用來從第一清潔氣體產生第一電漿,及接著在第一步 驟完成後從第二清潔氣體產生第二電漿; 一可變電導器,用以獨立於處理氣體之流速之外而控 制處理室之内的壓力,該可變電導器位於處理室之一出口 上; 一光學放射光譜儀(0ES ),用以探測於處理室内執行 之兩步驟原位清潔程序之各步驟的終點,此〇ES與處理室 相通;及 一抽氣系統,在兩步驟清潔程序之各步驟間抽空處理 室。 32·如申請專利範圍第31項之電漿處理系統,其中第 一清潔氣體為含氟化合物,第二清潔氣體為含氧化合物。 33 ·如申請專利範圍第3 1項之電漿處理系統,其中 0ES監視器配置為以偵測原位清潔程序之第一步驟之矽基 化合物與第二步驟之碳基化合物的對應波長。
    546707
TW091109508A 2001-05-04 2002-05-06 Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method TW546707B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US28867801P 2001-05-04 2001-05-04

Publications (1)

Publication Number Publication Date
TW546707B true TW546707B (en) 2003-08-11

Family

ID=23108156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091109508A TW546707B (en) 2001-05-04 2002-05-06 Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method

Country Status (5)

Country Link
US (2) US7028696B2 (zh)
KR (1) KR100881045B1 (zh)
CN (1) CN100410421C (zh)
TW (1) TW546707B (zh)
WO (1) WO2002090615A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7581549B2 (en) 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
TWI550706B (zh) * 2013-06-28 2016-09-21 日立國際電氣股份有限公司 清潔方法,製造半導體裝置的方法,基板處理設備,以及記錄媒體
US10056236B2 (en) 2016-09-21 2018-08-21 Hitachi High-Technologies Corporation Plasma processing method

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7175875B2 (en) * 2002-02-15 2007-02-13 Hitachi, Ltd. Method and apparatus for plasma processing
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
DE10241590A1 (de) * 2002-09-05 2004-03-18 Infineon Technologies Ag Verfahren zur Bestimmung des Endpunktes beim Reinigungsätzen
JP4385086B2 (ja) * 2003-03-14 2009-12-16 パナソニック株式会社 Cvd装置のクリーニング装置およびcvd装置のクリーニング方法
US20040261815A1 (en) * 2003-06-27 2004-12-30 Texas Instruments, Incorporated Three-step chamber cleaning process for deposition tools
US7112546B2 (en) * 2003-09-02 2006-09-26 Texas Instruments Incorporated Method of manufacturing semiconductor devices comprising a deposition tool cleaning process having a moving plasma zone
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7635418B2 (en) * 2004-12-03 2009-12-22 Nordson Corporation Plasma processing apparatus and methods for removing extraneous material from selected areas on a substrate
US20060201910A1 (en) * 2004-12-22 2006-09-14 Nordson Corporation Methods for removing extraneous amounts of molding material from a substrate
US7842223B2 (en) * 2004-12-22 2010-11-30 Nordson Corporation Plasma process for removing excess molding material from a substrate
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
WO2007015050A1 (en) * 2005-08-04 2007-02-08 Aviza Technology Limited A method of processing substrates
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7884026B2 (en) 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
CN101123214B (zh) * 2006-08-07 2011-03-16 联华电子股份有限公司 双镶嵌结构的制作方法
KR100785443B1 (ko) 2006-08-11 2007-12-13 삼성전자주식회사 반도체 제조용 챔버의 세정 장치 및 세정 방법
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
KR100896863B1 (ko) * 2007-12-11 2009-05-12 주식회사 동부하이텍 Sti 공정을 위한 챔버 세정 장치 및 방법
CN101459039B (zh) * 2007-12-13 2012-01-25 中芯国际集成电路制造(上海)有限公司 等离子体刻蚀的终点监测方法
CN101562122B (zh) * 2008-04-16 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 干法蚀刻方法以及硅片蚀刻方法
US8911559B2 (en) * 2008-09-22 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method to pre-heat and stabilize etching chamber condition and improve mean time between cleaning
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
JP2010199475A (ja) * 2009-02-27 2010-09-09 Tokyo Electron Ltd プラズマ処理装置のクリーニング方法及び記憶媒体
CN101859689B (zh) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 干法清洗时间的确定方法、装置及等离子体处理设备
JP5364514B2 (ja) * 2009-09-03 2013-12-11 東京エレクトロン株式会社 チャンバ内クリーニング方法
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US8906164B2 (en) 2010-08-05 2014-12-09 Lam Research Corporation Methods for stabilizing contact surfaces of electrostatic chucks
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
EP2698808B1 (en) * 2011-04-11 2018-12-05 Shindengen Electric Manufacturing Co., Ltd. Method for manufacturing a silicon carbide semiconductor device
CN102420100B (zh) * 2011-05-23 2013-12-04 上海华力微电子有限公司 一种刻蚀腔体清除记忆效应的方法
CN103071647A (zh) * 2012-01-21 2013-05-01 光达光电设备科技(嘉兴)有限公司 喷淋头的清洗方法
CN102899635B (zh) * 2012-09-26 2015-12-02 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
CN102899636B (zh) * 2012-09-26 2015-12-09 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
CN103219226B (zh) * 2013-04-09 2015-12-02 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US20180332339A1 (en) * 2017-05-12 2018-11-15 Enseo, Inc. Set-Top Box with Enhanced Functionality and System and Method for Use of Same
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
CN104465292B (zh) * 2014-11-28 2017-05-03 上海华力微电子有限公司 一种离子注入机的预处理方法
WO2016171845A1 (en) * 2015-04-23 2016-10-27 Applied Materials, Inc. In-situ etch rate determination for chamber clean endpoint
CN104779153A (zh) 2015-05-06 2015-07-15 京东方科技集团股份有限公司 一种干刻蚀方法
US20170287791A1 (en) * 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
KR101934985B1 (ko) * 2016-09-30 2019-01-04 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
CN106373851B (zh) * 2016-10-24 2018-06-26 上海华力微电子有限公司 一种优化晶圆环状缺陷的方法
CN106449345B (zh) * 2016-11-09 2018-08-28 上海华力微电子有限公司 一种延长刻蚀腔体开腔保养时间间隔的保养方法
JP2018107264A (ja) * 2016-12-26 2018-07-05 東京エレクトロン株式会社 消耗判定方法及びプラズマ処理装置
JP6832171B2 (ja) * 2017-01-24 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置のチャンバ本体の内部のクリーニングを含むプラズマ処理方法
KR102490700B1 (ko) * 2017-03-27 2023-01-26 주식회사 히타치하이테크 플라스마 처리 방법
WO2018204500A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
CN109962001A (zh) * 2017-12-26 2019-07-02 中微半导体设备(上海)股份有限公司 一种等离子体腔室的运行方法和等离子反应器
KR102527232B1 (ko) * 2018-01-05 2023-05-02 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
KR102163252B1 (ko) * 2018-05-03 2020-10-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20200048162A (ko) * 2018-10-29 2020-05-08 삼성전자주식회사 박막 증착 챔버의 세정 방법
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
CN110299285B (zh) * 2019-06-19 2021-10-15 上海华力集成电路制造有限公司 一种改善晶圆表面缺陷的方法
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
TW202300243A (zh) * 2021-04-09 2023-01-01 南韓商周星工程股份有限公司 基板處理方法及基板處理設備

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US562526A (en) * 1896-06-23 William w
DE4202158C1 (zh) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
US5709772A (en) * 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
JPH10144668A (ja) 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
TW411527B (en) 1996-11-14 2000-11-11 Tokyo Electron Ltd Cleaning method for plasma processing system and plasma processing method
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7581549B2 (en) 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
TWI550706B (zh) * 2013-06-28 2016-09-21 日立國際電氣股份有限公司 清潔方法,製造半導體裝置的方法,基板處理設備,以及記錄媒體
US10056236B2 (en) 2016-09-21 2018-08-21 Hitachi High-Technologies Corporation Plasma processing method
TWI650813B (zh) * 2016-09-21 2019-02-11 日商日立全球先端科技股份有限公司 電漿處理方法

Also Published As

Publication number Publication date
US20030000546A1 (en) 2003-01-02
WO2002090615A9 (en) 2002-12-27
US20060130873A1 (en) 2006-06-22
CN1507502A (zh) 2004-06-23
CN100410421C (zh) 2008-08-13
KR20040007533A (ko) 2004-01-24
WO2002090615A1 (en) 2002-11-14
US7028696B2 (en) 2006-04-18
KR100881045B1 (ko) 2009-01-30

Similar Documents

Publication Publication Date Title
TW546707B (en) Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method
TWI740979B (zh) 使用蝕刻劑膜循環乾蝕刻之方法
TWI541062B (zh) 乾式剝離硼-碳膜的方法
KR100887906B1 (ko) 에칭 적용을 위한 고압력 웨이퍼리스 자동 세정
JP2020533809A (ja) 多積層をエッチングするための化学的性質
KR101285750B1 (ko) 플라즈마의 처리방법 및 플라즈마 처리장치
KR102476934B1 (ko) 챔버 세정 및 반도체 식각 기체
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
KR20150048646A (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
JP2006148095A (ja) 六フッ化硫黄リモートプラズマ源洗浄
KR101930577B1 (ko) 퇴적물 제거 방법
US20150104648A1 (en) Method and Apparatus of Growing Metal-free and Low Stress Thick Film of Diamond-like Carbon
TW201037765A (en) Dry etching method
Kim et al. SiO2 etching in inductively coupled plasmas using heptafluoroisopropyl methyl ether and 1, 1, 2, 2-tetrafluoroethyl 2, 2, 2-trifluoroethyl ether
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
Yun et al. Effects of various additive gases on chemical dry etching rate enhancement of low-k SiOCH layer in F2/Ar remote plasmas
CN113614891A (zh) 干蚀刻方法及半导体装置的制造方法
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
US20220351979A1 (en) Systems and methods for selective metal compound removal
US10128086B1 (en) Silicon pretreatment for nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
Kim et al. Dry etching of germanium using inductively coupled Ar/CCl 2 F 2/Cl 2 plasma
US11682560B2 (en) Systems and methods for hafnium-containing film removal
TW201606904A (zh) 用於蝕刻和腔室清洗之方法及用於該方法之氣體
Fuller Plasma etching

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent