TW522514B - Method of forming metal contact in semiconductor device - Google Patents

Method of forming metal contact in semiconductor device Download PDF

Info

Publication number
TW522514B
TW522514B TW090130502A TW90130502A TW522514B TW 522514 B TW522514 B TW 522514B TW 090130502 A TW090130502 A TW 090130502A TW 90130502 A TW90130502 A TW 90130502A TW 522514 B TW522514 B TW 522514B
Authority
TW
Taiwan
Prior art keywords
layer
bit line
contact window
dielectric layer
inner dielectric
Prior art date
Application number
TW090130502A
Other languages
English (en)
Inventor
Yoon-Soo Chun
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW522514B publication Critical patent/TW522514B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

522514 8 6 0 7i d o c / 0 0 8 A7 B7 五、發明説明(ί) 本發明是有關於一種形成半導體元件的方法,且特別 是有關於一種用金屬接觸墊在半導體元件中形成金屬接觸 窗的方法。 爲了增加半導體元件的積集度以及記憶胞的電容量, 像是電容器形成在電晶體上的動態隨機存取記憶體(DRAM) 一樣,電容器的高度會被增加。一層內層介電層會被蝕刻 以形成暴露出下層結構表面的接觸窗開口,藉以與一個金 屬內連接線接觸,藉以形成數條金屬內導線。但是當內層 介電層變厚時,鈾刻會不均勻,結果可能無法暴露下層結 構的表面。 經濟部中央標準局貝工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 因此,爲了解決此問題,就使用了一種使用金屬接觸 墊以形成金屬接觸窗的技術。根據此技術,會形成一個導 線插塞,此導電插塞會穿過下層內層介電層,並短路下層 結構,藉以形成一個接觸墊。在導電插塞上會有一個蝕刻 阻擋層。另外會形成有一個接觸窗開口穿過上層內層介電 層以暴露出蝕刻阻擋層。暴露出來的蝕刻阻擋層被蝕刻而 形成一個接觸窗開口,其暴露出導電插塞。在此技術中, 蝕刻阻擋層的形成是爲了減少內層介電層被蝕刻的一深 度,其深度原本預計是與金屬接觸墊的厚度相等。因此不 均勻的蝕刻可以被避免,且下層的表面會被暴露出來。 但是,通常在半導體元件中會同時形成複數個金屬接 觸窗,舉例來說,一個直接連接一主動區域的主動金屬接 觸窗,以及直接連接到一位元線的位元線金屬接觸窗會同 時形成。在此情況中,上層內層介電層會被蝕刻用以形成 4 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐) 522514 A7 B7 8607pif.doc/008 五、發明説明(> ) (請先閲讀背面之注意事項再填寫本頁) 一個接觸窗開口,暴露出〜個蝕刻阻擋層與一個位元線蓋 層。在主動金屬接觸窗區域內的蝕刻阻擋層以及在位元線 接觸窗區域內的位元線蓋層會同時被蝕刻。但是,通常位 元線蓋層會比蝕刻阻擋層厚,因此當在主動金屬接觸窗區 域內的蝕刻阻擋層已經完全被鈾刻掉的時候,位元線蓋層 還在蝕刻,結果下層的內層介電層可能會被過度蝕刻,因 而造成金屬接觸窗與閘極導電層之間的短路。在此情況 下,半導體元件可能無法適當地運作。 有鑑於此,本發明的目的之一在於提供一種形成半導 體元件之金屬接觸窗的方法,透過此方法對不準以及過度 蝕刻的情況可以有效的避免。 經濟部中央標準局貝工消費合作社印裝 根據本發明上述與其他目的,本發明提供一種形成半 導體元件之金屬接觸窗的方法,此半導體元件具有一主動 金屬接觸區域以及一位元線接觸區域。在此方法中,在半 導體基底上會形成一個閘極堆疊結構,然後在閘極堆疊結 構的側壁上會形成閘極間隙壁。有一層下層的內層介電層 會覆蓋閘極堆疊結構與閘極間隙壁。然後形成第一接觸窗 開口穿過下層的內層介電層,並暴露出半導體基底的主動 區域。在第一接觸窗開口中塡入一層金屬阻障層與一個導 電插塞。將金屬阻障層的上部分移除以在導電插塞的上側 周圍形成凹槽。在這些凹槽中塡入一個蝕刻阻擋層。此下 層的內層介電層會被蝕刻,所以蝕刻阻擋層會突出於下層 內層介電層上。接著在位元線接觸窗區域的導電插塞上依 序形成一位元線導電層以及一位元線蓋層以形成一個位元 本紙張尺度適用中國國家榡隼(CNS ) A4規格(210X297公釐) 522514 A7 B7 經濟部中央標準局員工消費合作社印製 8607pif.doc/008 發明説明(々) 線堆疊結構。在主動金屬接觸窗區域內的導電插塞上,以 及在位元線接觸窗內的位元線堆疊結構側壁的位元線間隙 壁上會形成有一層蝕刻阻擋層,然後形成一層上層的內層 介電層覆蓋蝕刻阻擋層、位元線堆疊結構、以及位元線間 隙壁上。一部份的上層內層介電層會被蝕刻,以形成第二 接觸窗開口暴露出蝕刻阻擋層以及位元線蓋層的表面。蝕 刻阻擋層以及位元線蓋層暴露出來的區域會被移除,以形 成第三接觸窗開口暴露出在主動金屬接觸窗區域內的導電 插塞以及在位元線接觸窗區域內的位元線導電層,再用一 層導電層塡入第三接觸窗開口。 在一實施例中,依序堆疊閘極介電層圖案、閘極導電 層圖案、金屬矽化物層圖案、以及閘極蓋層圖案,以形成 閘極堆疊結構。 在一實施例中,在閘極堆疊結構上方的下層內層介電 層的厚度爲1500-1700埃。 在一實施例中,在導電插塞上面側邊的凹槽之深度爲 500-700 埃,寬度爲 30-40nm。 在塡滿凹槽的蝕刻阻擋層使用之材質比如相對於下層 內層介電層具有高的蝕刻選擇比之材質,下層內層介電層 可以是氧化矽層,而蝕刻阻擋層可以是氮化矽層。 爲了形成蝕刻阻擋層與位元線間隙壁,蝕刻阻擋層會 形成在下層內層介電層上,藉以覆蓋在主動金屬接觸窗區 域內的導電插塞以及在位元線接觸窗區域內的位元線堆疊 結構,接著在主動金屬接觸窗區域內的蝕刻阻擋層上覆蓋 6 訂 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X29*7公釐) 522514 A7 B7 8607pif.doc/〇〇8 五、發明説明(e ) ' 一層罩幕層圖案,以罩幕層圖案作爲一個蝕刻罩幕,以非 等向性蝕刻對蝕刻阻擋層進行蝕刻,然後再將罩幕層 移除。 在一實施例中,在主動金屬接觸窗區域內的触亥卩且P 層會突出在下層的內層介電層上。 $ 蝕刻阻擋層可以用對上層內層介電層有高蝕刻選 的材料,上層內層介電層可以是一層氧化矽,而軸刻阻擋 層可以是一層氮化砂層。 蝕刻阻擋層的厚度爲300-600埃,而位元線蓋層圖案 的厚度爲1000-2000埃。 根據本發明的另一較佳實施例,提供一種形成半導_ 元件之金屬接觸窗之方法,其中半導體元件具有一個主重力 金屬接觸窗區域以及一個位元線接觸窗區域。在此方法 中,在半導體基底上會形成一個閘極堆疊結構,在閘極堆 疊結構的側壁會形成閛極間隙壁。接著形成一層下層內層 介電層覆蓋閘極堆疊結構以及閘極間隙壁。然後形成第一 接觸窗開口穿過下層內層介電層並暴露出半導體基底的主 動區域。用金屬阻障層與導電插塞塡入第一接觸窗開口。 金屬阻障層的上面部分被移除,以暴露出導電插塞的上 邊。因爲下層的內層介電層會被蝕刻,所以導電插塞暴露 出來的上部分會突出下層內層介電層。接著在位元線接觸 窗區域內的導電插塞上依序堆疊位元線導電層圖案以及位 元線蓋層圖案以形成一個位元線堆疊結構。在主動金屬接 觸窗區域內的導電插塞上形成一層蝕刻阻擋層,並在位元 7 --------------、玎------Φ (請先閲讀背面之注意事項再填寫本頁} 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標隼(CNS ) Α4規格(210Χ297公釐) 經濟部中央標準局貝工消費合作社印製 522514 8607pif.doc/008 五、發明説明(G ) 線接觸窗S域內的位兀線堆暨結構的側壁上形成位元線間 隙壁。然後形成一層上層內層介電層覆蓋蝕刻阻擋層、位 兀線堆暨結構以及位兀線間隙壁。蝕刻一部份的上層內層 介電層以形成第一接觸窗開口暴露出蝕刻阻檔層以及位元 線蓋層。此蝕刻阻擋層以及位元線蓋層圖案被暴露出來的 部分會被移除,而形成第三接觸窗開口暴露出主動金屬接 觸窗區域內的導電插塞以及位元線接觸窗區域內的位元線 導電層。之後在弟二接觸窗開口中塡入導電層。 在一實施例中,爲了形成蝕刻阻擋層以及位元線間隙 壁’會在下層內層介電層上形成一層蝕刻阻擋層以覆蓋主 動金屬接觸窗區域內的導電插塞以及位元線接觸窗區域內 的位元線堆疊結構。在主動金屬接觸窗區域內的蝕刻阻擋 層上會覆蓋一層罩幕層圖案,利用罩幕圖案作爲一蝕刻罩 幕對飩刻阻擋層進行非等向性蝕刻,之後再移除罩幕_ 案。 在主動金屬接觸窗區域內的鈾刻阻擋層會突出下層內 層介電層。 蝕刻阻擋層的材料可以使用對上層內層介電層有高倉虫 刻選擇比的材料’上層內層介電層可以是一層氧化矽,而 蝕刻阻擋層可以是一層氮化矽層。 蝕刻阻擋層的厚度爲300-600埃,而位元線蓋層圖案 的厚度爲1000-2000埃。 爲讓本發明之上述目的、特徵、和優點能更明顯易懂, 下文特舉較佳實施例,並配合所附圖式,作詳細說明如下: 本紙張尺度適用中國國家標準(CNS ) A4相:
U Z (請先閲讀背面之注意事項再填寫本頁) 522514 A7 8607pif.doc/008 ΌΠ D / 五、發明説明(G ) 圖式之簡單說明: 第1圖至第7圖繪示爲根據本發明一實施例之一種形 成半導體元件之金屬接觸窗的方法之流程剖面圖; 第8圖至第10圖繪示爲根據本發明另一實施例之一種 形成半導體元件之金屬接觸窗的方法之流程剖面圖。 圖示標記說明: 100 半導體基底 110 閘極堆疊結構 120 閘極間隙壁 111 閘極絕緣層圖案 112 閘極導電層圖案 113 金屬氮化物層圖案 114 閘極蓋層圖案 130 下層內層介電層 140, 250, 260 接觸窗開口 150 金屬阻障層 160 導電插塞 170 凹槽 180, 200 氮化矽層 190 位元線堆疊結構 191 位元線阻障層圖案 192 位元線導電層圖案 193 位元線蓋層圖案 210 光阻圖案 220 位元線間隙壁 230 氮化矽層圖案 240 上層內層介電層 實施例 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 以下將參考圖示以一較佳實施例詳細說明本發明,相 同的標號會被用來表示相同或相似的部分。在圖示中,爲 了淸楚的說明,結構層的厚度或區域會被放大。另外當提 到一個結構層是形成在另一個結構層或基底”上”的時候, 此結構層可以是直接形成在另一個結構層或基底上,或是 有其他的結構層介入其中。 9 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 522514 A7 8 6 0 7 pif . doc/ 0 0 8 B7 五、發明説明()) 第1圖至第7圖繪示爲根據本發明一實施例之一種形 成半導體元件之金屬接觸窗的方法之流程剖面圖。在第1 圖中,閘極堆疊結構110會形成在一個半導體基底1〇〇上。 在閘極堆疊結構110的側壁上會形成閘極間隙壁120,其 中雖然圖示沒有繪示出來,但是在半導體基底100中會有 隔離區域定義出主動區域,隔離區域係用溝渠隔離的方式 形成,但並不限於用此方式。用來作爲源極區或是汲極區 的摻雜區會形成在主動區內。閘極堆疊結構110的形成係 透過依序堆疊閘極絕緣層圖案111、閘極導電層圖案112、 金屬矽化物層圖案113、以及閘極蓋層圖案114而成。閘 極間隙壁120與閘極蓋層圖案114是用氮化矽形成,閘極 導電層圖案112是用多晶矽形成,而金屬矽化物層圖案113 是用矽化鎢形成。在形成閘極間隙壁120以後,會形成一 層下層內層介電層130覆蓋半導體基底1〇〇、閘極堆疊結 構110的閘極蓋層圖案114之表面、以及閘極間隙壁120。 閘極蓋層圖案114表面到下層內層介電層130頂端之間的 距離巾較佳爲1500-1700埃。 經濟部中央標準局貝工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 請參照第2圖,形成接觸窗開口 140穿過下層內層介 電層130。在每一個接觸窗開口 140內塡入金屬阻障層150 與導電插塞160。在此會用一般的微影製程之光學曝光與 顯影的方法在下層內層介電層130上形成一層光阻層圖案 (未顯示),此光阻層圖案具有一個開口暴露出一部份的下 層內層介電層130。用光阻層圖案作爲蝕刻罩幕,蝕刻下 層內層介電層130暴露的區域,以形成接觸窗開口 14〇暴 10 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X 297公釐) 522514 522514 經濟部中央標準局貝工消費合作社印製 8607pif.doc/008 g? 五、發明説明Θ ) 露出半導體基底100的主動區域。然後移除光阻層圖案, 在每一個接觸窗開口 14〇中形成一層金屬阻障層wo,然 後形成一層金屬層完全塡滿接觸窗開口 140。此金屬阻障 層15〇爲Ti/TiN,而金屬層爲鎢。利用平坦化或回蝕刻的 方法移除在內層介電層130上方的金屬層,以在每個接觸 窗開口 140內形成導電插塞160,此導電插塞16〇係用以 作爲一個接觸墊,會透過金屬阻障層15〇與半導體基底1〇〇 的主動區域作電性連接。 請參照第3圖,移除每個接觸窗開口 mo中一預定量 的金屬阻障層150,以在每一個導電插塞^0的周圍形成 凹槽170。每個金屬阻障層15〇的一部份會在〜條件下被 蝕刻’其條件爲下層內層介電層130與導電插塞16〇相對 於金屬阻障層150具有高蝕刻選擇比。凹槽17〇的深度& 與寬度分別爲500-700埃以及30-40nm。 請參照第4圖,在第3圖所示的整個結果表面上形成 一層厚度約爲300-400埃的氮化砂層180作爲触刻阻擋 層。利用平坦化或是回蝕刻移除下層內層介電層13〇上方 表面上的氣化砍層180。接著,如圖所示氮化砂層會 塡入桌3圖中的凹槽170內,而暴露出下層內層介電層13〇 與每一個導電插塞160。因爲在接下來形成金屬接觸窗開 口的飩刻步驟中可能會對不準,氮化矽層18〇可以避免金 屬阻擋層15〇被過度蝕刻。 請參照第5圖,下層內層介電層13〇會被部分蝕刻掉, 所以被氮化砂層180圍繞的導電插塞16〇會突出下層內層 ------、ΤΓ------^ (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格公釐 522514 8607pif.doc/008 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(Q ) 介電層130。在此下層內層介電層π〇在一蝕刻條件下被 蝕刻,其即是於氮化矽層180以及導電插塞對於下層內層 介電層13 0有局蝕刻選擇比的情況下被鈾刻。下層內層介 電層130被移除的厚度約爲500-700埃。 請參照第6圖,在一個導電插塞16〇上形成一個位元 線堆疊結構190。此位元線堆疊結構19〇係透過依序堆疊 一位元線金屬阻障層圖案191、一位元線導電層圖案192、 以及一位兀線蓋層圖案193而形成。位元線金屬阻障層圖 案丨91、位兀線導電層圖案192、以及位元線蓋層圖案193 分別由氮化鈦、鎢與氮化砂所形成。位元線蓋層圖案193 的厚度約爲1000-2000埃。在形成位元線堆疊結構19()的 過程中,一層位元線金屬阻障層、一層位元線導電層、以 及一層位元線蓋層會依序被堆疊在下層內層介電層13〇、 氮化矽層180、以及導電插塞160上。接著透過一個罩幕 層圖案定義位元線蓋層、位元線導電層、以及位元線金屬 阻障層,藉以完成位元線堆疊結構190。然後移除此罩幕 層圖案。在形成有位元線堆叠結構190的整個結果表面上 形成一層厚度約爲300-600埃的氮化矽層200,藉以形成 位元線間隙壁與蝕刻阻擋層,在主動金屬接觸窗區域內的 氮化矽層2〇0的部分區域上會覆蓋一層光阻層圖案210。 請參照第7圖,以光阻層圖案210作爲蝕刻罩幕,進 行回蝕刻藉以形成位元線間隙壁22〇,而且同時在主動金 屬接觸窗區域內形成一個氮化矽層圖案230作爲蝕刻阻檔 層。氮化砂層圖案230會延伸一個寬度” α”以覆蓋一部份 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、言 Γ 522514 8607pif.doc/008 A7 B7 五、發明説明((b ) (請先閲讀背面之注意事項再填寫本頁) 的下層內層介電層130與導電插塞160的表面。在整個結 果表面上形成一層上層內層介電層240。然後形成一個用 來作爲主動金屬接觸窗的接觸窗開口 250(用虛線表示), 並形成一個用來作爲位元線接觸窗的接觸窗開口 260(用虛 線表示),開口穿過上層內層介電層240。爲了形成接觸窗 開口 250與260,會用一個罩幕層圖案作爲蝕刻罩幕,移 除一部份的上層內層介電層240。接著暴露在主動金屬接 觸窗區域內作爲蝕刻阻擋層的氮化矽層圖案230之表面, 以及在位元線接觸窗區域內的位元線蓋層圖案193之表 面。此罩幕層圖案係利用一般的光學微影製程形成,其中 對不準的容許度可以透過氮化矽層圖案230的寬度”α,,來 確定。
經濟部中央標準局員工消費合作社印II 氮化矽層圖案230與位元線蓋層圖案193會被蝕刻, 以形成接觸窗開口暴露出導電插塞160以及位元線導電層 192。在此,因爲氮化矽層圖案230與位元線蓋層圖案193 的厚度不一樣,在主動金屬接觸窗區域內的氮化矽層圖案 230移除的速度會比在位元線接觸窗區域內的位元線蓋層 圖案193快。結果當在位元線接觸窗區域內的位元線蓋層 圖案193正在被移除蝕時,在主動金屬接觸窗區域內的金 屬阻障層150與下層內層介電層130可能會被過度蝕刻。 但是氮化矽層180可以避免在主動金屬接觸窗區域內的金 屬阻障層150與下層內層介電層130被過度蝕刻。換句話 說,過度蝕刻的狀況可以被厚度爲”β”的氮化矽層180避 免。將一層金屬層塡入暴露出主動金屬接觸窗區域內的導 13 本紙張尺度適用中國國家榡隼(CNS ) Α4規格(210Χ297公釐) 522514 86〇7pif.d〇c/008 五、發明説明((丨) 電插塞16 0 ’以及位兀線接觸窗區域內的位元線導電層圖 案192的接觸窗開口中,藉以完成金屬接觸窗。 第8圖至第10圖繪示爲根據本發明另一實施例之一種 形成半導體元件之金屬接觸窗的方法之流程剖面圖。在本 實施例中,只有說明與第一實施例不一樣的步驟。 請參照第8圖,如配合第1圖至第3圖的敘述—樣, 凹槽17〇(繪示於第3圖中)會形成在導電插塞160周圍, 不塡滿這些凹槽170使其保持空的狀態。在本實施例中, 會省略用另一種材質塡滿凹槽17 0的步驟。蝕刻一部份的 下層內層介電層130,如此以使每一個被凹槽170暴露出 來的導電插塞160,會完全突出於上層內層介電層130。 如第9圖所示,形成一個位元線堆疊結構19〇。在已 形成有位元線堆疊結構190的整個表面上,形成一層氮化 矽層200,藉以形成位元線間隙壁以及蝕刻阻擋層。然後 形成一層光阻層圖案210覆蓋一部份的氮化矽層200。在 此如前所述,光阻層圖案210會覆蓋在主動金屬接觸窗區 域內部分的氮化矽層200。 經濟部中央標準局貝工消費合作社印褽 (請先閱讀背面之注意事項再填寫本頁) 如第10圖所示,在主動金屬接觸窗區域內形成一個氮 化矽層圖案230作爲蝕刻阻擋層,此時在位元線接觸窗區 域內會形成位元線間隙壁220。在本實施例中,因爲圍繞 導電插塞160的凹槽170並沒有被塡滿,所以氮化矽層圖 案230會圍繞每一個導電插塞160。在接下來蝕刻位元線 蓋層193以及氮化矽層圖案230的步驟中,導電插塞160 上的氮化矽層圖案230可能會被完全移除。但是在導電插 14 本紙張尺度適用準(CNs ) A4規格(210X297公釐) 522514 A 7 8 6〇7pif · d〇c/008 _________B7 五、發明説明((丄) 塞160側邊的氮化矽層圖案230,可以避免金屬阻障層150 與下層內層介電層Π0被過度蝕刻。 如上所述,根據本發明提供之形成半導體元件之金屬 接觸窗之方法,導電插塞會以一預定高度,突出於下層內 層介電層。形成的金屬接觸墊會由下層內層介電層的上方 延伸有一預定長度,並覆蓋導電插塞,藉以增加在光學微 影過程中對不準的容許度。此外,圍繞在導電插塞的金屬 阻障層之部分,會被移除以形成氮化矽層作爲蝕刻阻擋 層。因此氮化矽層可以避免在主動金屬接觸窗區域內的金 屬阻障層與下層內層介電層被過度蝕刻。 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之精 神和範圍內,當可作各種之更動與潤飾,因此本發明之保 護範圍當視後附之申請專利範圍所界定者爲準。 ---------------ίτ------· (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標率局貝工消費合作社印褽 Λ
II

Claims (1)

  1. 522514 A8 B8 C8 D8 8607pif.doc/008 六、申請專利範圍 1.一種形成一半導體元件之一金屬接觸窗的方法,該 半導體元件具有一主動金屬接觸區域以及一位元線接觸區 域,該方法包括下列步驟: 形成複數個閘極堆疊結構於一半導體基底上; 形成複數個閘極間隙壁於該些閘極堆疊結構之複數個 側壁上; 形成一下層內層介電層,覆蓋該些閘極堆疊結構與該 些閘極間隙壁; 形成複數個第一接觸窗開口,穿過該下層內層介電層, 並暴露出該半導體基底之一主動區域; 以一金屬阻障層與一金屬插塞塡入該些第一接觸窗開 口內; 移除該金屬阻障層之一上部分,以在該導電插塞之上 側周圍形成複數個凹槽; 形成一蝕刻阻擋層塡入該些凹槽中; 蝕刻該下層內層介電層,使該蝕刻阻擋層突出於該下 層內層介電層; 依序形成一位元線導電層以及一位元線蓋層,以在該 位元線接觸區域內的該導電插塞上,形成一位元線堆疊結 構; 在該主動金屬接觸窗區域內的該導電插塞上形成一蝕 刻阻擋層,以及在該位元線接觸窗內的該位元線堆疊結構 側壁上形成複數個位元線間隙壁; 形成一上層內層介電層,覆蓋該蝕刻阻擋層、該位元 (請先閱讀背面之注意事項再填寫本頁) 訂---------線‘ 涇齊^i曰g材查笱員!.消費合作狂印製 本紙張&度適用中國國家標準(CNSM4規格(2]0 X 297公坌) ABCD 六 522514 8607pif.doc/008 申請專利範圍 線堆疊結構、以及該些位元線間隙壁; 蝕刻該上層內層介電層之一部份,以形成複數個第二 接觸窗開口暴露出該蝕刻阻擋層以及該位元線蓋層之表 面; 移除該蝕刻阻擋層以及該位元線蓋層被暴露出來之該 部分,以形成複數個第三接觸窗開口,暴露出在該主動金 屬接觸窗區域內的該導電插塞以及在該位元線接觸窗區域 內的該位元線導電層;以及 在該些第二接觸窗開口內塡入一導電層。 2. 如申請專利範圍第1項所述之方法,其中該些閘極 堆疊結構的形成係透過依序堆疊複數個閘極介電層圖案、 複數個閘極導電層圖案、複數個金屬矽化物層圖案、以及 複數個閘極蓋層圖案而形成。 3. 如申請專利範圍第1項所述之方法,其中在該些閘 極堆疊結構上的該下層內層介電層的厚度爲1500-1700 埃。 4. 如申請專利範圍第1項所述之方法,其中在該導電 插塞上面側邊的該些凹槽之深度爲500-700埃,寬度爲 30-40nm 〇 5. 如申請專利範圍第1項所述之方法,其中塡滿該些 凹槽的該蝕刻阻擋層使用之材質相對於該下層內層介電層 具有高的蝕刻選擇比。 6. 如申請專利範圍第5項所述之方法,其中該下層內 層介電層爲一氧化矽層,而該餓刻阻擋層爲一氮化砂層。 --------------------訂----I----IAW. (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標進(CNS)A4規格(2】〇χ」97公坌) 522514 A8 RS C8 D8 86〇7pif.doc/〇〇8 六、申請專利範圍 7. 如申請專利範圍第1項所述之方法,其中形成該蝕 刻阻擋層以及該些位元線間隙壁的步驟包括: 形成一蝕刻阻擋層於該下層內層介電層上,藉以覆蓋 在該主動金屬接觸窗區域內的該蝕刻阻擋層與該導電插塞 以及在該位元線接觸窗區域內的該蝕刻阻擋層與該位元線 堆疊結構; 形成一罩幕層圖案覆蓋在該主動金屬接觸窗區域內的 該蝕刻阻擋層; 以該罩幕層圖案作爲一蝕刻罩幕,用非等向性蝕刻對 該蝕刻阻擋層進行蝕刻;以及 移除該罩幕層圖案。 8. 如申請專利範圍第1項所述之方法,其中該主動金 屬接觸窗區域內的該飩刻阻擋層會突出於該下層內層介電 層。 9. 如申請專利範圍第1項所述之方法,該蝕刻阻擋層 之材質對該上層內層介電層有一高蝕刻選擇比。 10. 如申請專利範圍第9項所述之方法,該上層內層介 電層爲一氧化矽,而鈾刻阻擋層爲一氮化矽層。 11. 如申請專利範圍第1項所述之方法,其中該蝕刻阻 擋層的厚度爲300-600埃,而該位元線蓋層圖案的厚度爲 1000-2000 埃。 12. —種形成一半導體元件之複數個金屬接觸窗之方 法,其中該半導體元件具有一主動金屬接觸窗區域以及一 位元線接觸窗區域,該方法包括下列步驟: (請先閱讀背面之注意事項再填寫本頁) --------訂------- ——線息 涇齊邹皆i材查笱員!.消費合阼f£印梵 本紙張尺度適用中國國家標準(CNS)A4規格(210 X二)97公望) 522514 A8 B8 CS D8 8607pif.doc/008 六、申請專利範圍 形成複數個閘極堆疊結構於一半導體基底上; 形成複數個閘極間隙壁於該些閘極堆疊結構之側壁; 形成一下層內層介電層覆蓋該些閘極堆疊結構與該些 閘極間隙壁; 形成複數個第一接觸窗開口穿過該下層內層介電層, 並暴露出該半導體基底之一主動區域; 以一金屬阻障層與一金屬插塞塡入該些第一接觸窗開 口內; 移除該金屬阻障層之一上部分,以暴露出該導電插塞 之上側周圍; 蝕刻該下層內層介電層,使該導電插塞之上部分突出 該下層內層介電層; 依序形成一位元線導電層以及一位元線蓋層,以在該 位元線接觸區域內的該導電插塞上形成一位元線堆疊結 • 構; 在該主動金屬接觸窗區域內的該導電插塞上形成一飩 刻阻擋層,以及在該位元線接觸窗內的該位元線堆疊結構 側壁之上形成複數個位元線間隙壁; 形成一上層內層介電層覆蓋該蝕刻阻擋層、該位元線 堆疊結構、以及該些位元線間隙壁; 蝕刻該上層內層介電層之一部份,以形成複數個第二 接觸窗開口暴露出該蝕刻阻擋層以及該位元線蓋層; 移除該蝕刻阻擋層以及該位元線蓋層暴露出來之區 域,以形成複數個第三接觸窗開口,暴露出在該主動金屬 --------------------訂—-----AW. (請先閱讀背面之注意事項再填寫本頁) 經齊郎智g材Ϊ局員X.消費合作社印妓 本紙張&度適用中國國家標準(CNS)yV4規格(2]〇 X二)97公坌) 522514 A8 RS C8 D8 8607pif.doc/008 六、申請專利範圍
    捧觸窗區域內 接觸窗區域內的該導電插塞以及在該位 的該位元線導電層;以及 (請先閱讀背面之注意事項再填寫本頁) 在該些第三接觸窗開口內塡入一導電_^ 1 13·如申請專利範圍第12項所述之方胃其中形成該 蝕刻阻擋層以及該些位元線間隙壁的步 在該下層內層介電層上形成一蝕刻阻擋層,以覆蓋該 主動金屬接觸窗區域內的該導電插塞以及該位元線接觸窗 區域內的該位元線堆疊結構; 形成一罩幕層圖案覆蓋該主動金屬接觸窗區域內的該 蝕刻阻擋層; 以該罩幕層圖案作爲一蝕刻罩幕,對該蝕刻阻擋層進 行一非等向性蝕刻;以及 移除該罩幕層圖案。 14·如申請專利範圍第12項所述之方法,其中在該主 動金屬接觸窗區域內的該蝕刻阻擋層會突出該下層內層介 電層。 I5·如申請專利範圍第12項所述之方法,其中該蝕刻 阻擋層爲一材料,對該上層內層介電層有一高蝕刻選擇 比。 經齊邹智慧財i局員1L消費合作社印裝 16·如申請專利範圍第15項所述之方法,其中該上層 內層介電層爲一氧化矽,而該蝕刻阻擋層爲一氮化矽層。 17·如申請專利範圍第12項所述之方法,其中該蝕刻 阻擋層的厚度爲300-600埃,而該位元線蓋層圖案的厚度 爲 1000-2000 埃。 20 本紙張尺度適用中國國家標準(CINS)A4規格UK) X 297公坌)
TW090130502A 2001-04-09 2001-12-10 Method of forming metal contact in semiconductor device TW522514B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0018641A KR100382738B1 (ko) 2001-04-09 2001-04-09 반도체 소자의 메탈 컨택 형성 방법

Publications (1)

Publication Number Publication Date
TW522514B true TW522514B (en) 2003-03-01

Family

ID=19707998

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130502A TW522514B (en) 2001-04-09 2001-12-10 Method of forming metal contact in semiconductor device

Country Status (4)

Country Link
US (1) US6566241B2 (zh)
JP (1) JP4064674B2 (zh)
KR (1) KR100382738B1 (zh)
TW (1) TW522514B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100363556B1 (ko) * 2000-04-24 2002-12-05 삼성전자 주식회사 콘택 플러그와 상부 배선을 갖는 반도체 장치의 배선 구조체 및 그 제조방법
DE10059935A1 (de) * 2000-11-28 2002-06-06 Infineon Technologies Ag Dicht gepackte Halbleiterstruktur und Verfahren zum Herstellen einer solchen
US6645846B2 (en) * 2001-10-24 2003-11-11 Micron Technology, Inc. Methods of forming conductive contacts to conductive structures
KR20040061817A (ko) * 2002-12-31 2004-07-07 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
US20050101124A1 (en) * 2003-11-07 2005-05-12 Nanya Technology Corporation Via contact forming method
KR100722988B1 (ko) * 2005-08-25 2007-05-30 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
US8446012B2 (en) * 2007-05-11 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures
KR101248943B1 (ko) 2007-10-23 2013-03-29 삼성전자주식회사 반도체 장치 및 그 제조방법
JP2009176819A (ja) 2008-01-22 2009-08-06 Elpida Memory Inc 半導体装置及びその製造方法
KR20100087915A (ko) * 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
KR20120094208A (ko) * 2011-02-16 2012-08-24 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
CN103165812B (zh) * 2011-12-16 2015-02-04 上海华虹宏力半导体制造有限公司 氧化钨阻变存储器的制造方法
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
CN104377132A (zh) * 2013-08-13 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
KR102199851B1 (ko) * 2014-10-21 2021-01-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9275901B1 (en) 2014-11-21 2016-03-01 International Business Machines Corporation Semiconductor device having reduced contact resistance
US9780025B2 (en) * 2014-12-30 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
CN106206714B (zh) * 2015-04-30 2020-06-30 联华电子股份有限公司 半导体器件
US20170162444A1 (en) * 2015-12-02 2017-06-08 International Business Machines Corporation Contact resistance reduction for advanced technology nodes
KR102572127B1 (ko) 2016-06-01 2023-08-30 삼성전자주식회사 반도체 메모리 장치
KR102678907B1 (ko) 2016-12-20 2024-06-26 삼성전자주식회사 비휘발성 메모리 장치
US10892224B2 (en) 2018-02-26 2021-01-12 Micron Technology, Inc. Apparatuses comprising protective material along surfaces of tungsten-containing structures
KR102598117B1 (ko) 2018-05-25 2023-11-02 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법
US10867943B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
KR20210025156A (ko) * 2019-08-26 2021-03-09 삼성전자주식회사 반도체 장치 및 그 제조방법
KR20220045314A (ko) 2020-10-05 2022-04-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20220143253A (ko) 2021-04-16 2022-10-25 주식회사 디비하이텍 알에프 스위치 소자
KR20220153832A (ko) 2021-05-12 2022-11-21 주식회사 디비하이텍 알에프 스위치 소자 및 제조방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900003618B1 (ko) * 1986-05-30 1990-05-26 후지쓰가부시끼가이샤 반도체장치 및 그 제조방법
JPH08204012A (ja) * 1994-07-29 1996-08-09 Nec Corp 半導体装置及びその製造方法
JPH08153782A (ja) * 1994-11-30 1996-06-11 Mitsubishi Electric Corp 半導体装置
DE69533823D1 (de) * 1994-12-29 2005-01-05 St Microelectronics Inc Elektrische Verbindungsstruktur auf einer integrierten Schaltungsanordnung mit einem Zapfen mit vergrössertem Kopf
KR0183826B1 (ko) * 1996-03-04 1999-05-01 김광호 연마공정 후처리용 세정 용액 및 그를 이용하는 세정 방법
KR980011885A (ko) * 1996-07-24 1998-04-30 김광호 반도체 장치의 금속 배선 콘택 형성방법
US5776833A (en) * 1996-09-04 1998-07-07 Mosel Vitelic Inc. Method for forming metal plug
KR100239442B1 (ko) * 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
US5805494A (en) * 1997-04-30 1998-09-08 International Business Machines Corporation Trench capacitor structures
KR19990061135A (ko) * 1997-12-31 1999-07-26 김영환 반도체 소자의 콘택 형성방법
KR100292943B1 (ko) * 1998-03-25 2001-09-17 윤종용 디램장치의제조방법
KR100304962B1 (ko) * 1998-11-24 2001-10-20 김영환 텅스텐비트라인형성방법
US6022776A (en) * 1999-04-07 2000-02-08 Worldwide Semiconductor Manufacturing Corporation Method of using silicon oxynitride to improve fabricating of DRAM contacts and landing pads
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6414377B1 (en) * 1999-08-10 2002-07-02 International Business Machines Corporation Low k dielectric materials with inherent copper ion migration barrier
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
KR100331568B1 (ko) * 2000-05-26 2002-04-06 윤종용 반도체 메모리 소자 및 그 제조방법
US6350649B1 (en) * 2000-10-30 2002-02-26 Samsung Electronics Co., Ltd. Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof

Also Published As

Publication number Publication date
JP2002313955A (ja) 2002-10-25
US6566241B2 (en) 2003-05-20
KR100382738B1 (ko) 2003-05-09
JP4064674B2 (ja) 2008-03-19
US20020146899A1 (en) 2002-10-10
KR20020078310A (ko) 2002-10-18

Similar Documents

Publication Publication Date Title
TW522514B (en) Method of forming metal contact in semiconductor device
TW451321B (en) Methods of forming self-aligned contact structures in semiconductor integrated circuit devices
TW559913B (en) Method of forming self-aligned contact pad in a damascene gate process
TW413868B (en) Semiconductor memory device having SOI (silicon-on-insulator) structure and method for fabricating thereof
TW439202B (en) Method for forming a self aligned contact in a semiconductor device
KR100355236B1 (ko) 자기 정렬된 컨택 형성 방법 및 이를 이용한 반도체소자의 제조 방법
TW538534B (en) Cylindrical storage capacitor of a memory cell and method for fabricating the same
JP4860808B2 (ja) 写真工程の解像度を越えるトレンチを絶縁膜の内に形成する方法
TW466684B (en) Method for forming deep trench capacitor under shallow trench isolation structure
TW404013B (en) Method of forming self aligned contacts in a semiconductor device
TW380316B (en) Manufacturing method for fin-trench-structure capacitor of DRAM
KR100438782B1 (ko) 반도체 소자의 실린더형 커패시터 제조방법
TW395054B (en) Manufacturing method of the dynamic random access memory cell capacitor
TW448567B (en) Manufacture method of dynamic random access memory capacitor
US20060027852A1 (en) Bitline of semiconductor device having stud type capping layer and method for fabricating the same
TW479328B (en) Method for manufacturing a self-aligned stacked storage node DRAM cell
TW426961B (en) Fabrication method of node contact hole opening
KR100256057B1 (ko) 초미세 선폭의 비트라인 캡핑을 위한 반도체 소자 제조방법
KR100895375B1 (ko) 반도체 소자의 형성 방법
KR100735015B1 (ko) 셀프얼라인된 스토리지 노드를 구비한 반도체 장치의제조방법
TW473934B (en) Manufacturing method of DRAM cell
TW456000B (en) Method for making an 8-shaped storage node DRAM cell
TW432614B (en) Manufacturing method for self-aligned contact
KR101195261B1 (ko) 다마신 공정을 이용한 반도체 소자의 제조방법
KR101213941B1 (ko) 반도체 소자 및 그의 형성 방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees