TW478017B - Semiconductor manufacturing device, and method of heating wafer in semiconductor manufacturing device - Google Patents

Semiconductor manufacturing device, and method of heating wafer in semiconductor manufacturing device Download PDF

Info

Publication number
TW478017B
TW478017B TW089118877A TW89118877A TW478017B TW 478017 B TW478017 B TW 478017B TW 089118877 A TW089118877 A TW 089118877A TW 89118877 A TW89118877 A TW 89118877A TW 478017 B TW478017 B TW 478017B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
wafer
closed space
heating
Prior art date
Application number
TW089118877A
Other languages
English (en)
Inventor
Ichiro Kawai
Yuji Maeda
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW478017B publication Critical patent/TW478017B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Description

478017
五、發明說明(^ 經濟部智慧財產局員工消費合作社印製 【枝術領域】 本發明係提供-¾半導體製造裝置之基板加熱方法及 半導體製造裝置,係相關在半導體製造裝置中,對基板施 行加熱的基板加熱方法,以及半導體製造裝置。 【技術背景】 按,半導體裝置的熱處理裝置係具備有如處理室、設 置於該處理室内供承載該半導體晶圓且可旋轉的基板承載 元件、對承載於該基板承載元件上的半導體晶圓進行加熱 的加熱燈、以及供檢測半導體晶圓溫度的溫度偵測計。當 藉由此類熱處理裝置進行半導體圓的熱處理時,於半導體 晶圓承載於基板承載元件後,便供給處理氣體於處理室 内。然後,旋轉基板,利用溫度偵測計監視半導體晶圓的 /JZL度’利用加熱燈將半導體晶圓加熱至一定溫度。 惟,在上述熱處理裝置中,當溫度測量的穩定性劣化 時,便有損熱處理條件的溫度重現性,結果將導致處理程 序穩定性的劣化。 有鑑於斯’本發明之目的在於提供一種可維持溫度測 量穩疋性之半導體製造裝置中的基板加熱方法,以及半導 體製造裝置。 【發明開示】 本發明經深入鑽研結果,發現當基板在進行熱處理 時,將產生由基板向外擴散的情形,並使該擴散物質附著、 沉積於溫度偵測計的測量端子上,導致溫度測量穩定性的 劣化。同時,本發明再經更深入一層鑽研,發現在進行某 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) •2 I I I I I I I ι· l»v I I · I —. — — — — — — — I · — — ·義 (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(2) 板熱處理時,原先為控制基板電阻,而對基板所添加的如 磷、砷、硼等摻質,在基板表面上所形成如一氧化矽(Si〇) 自然氧化膜將產生昇華現象。因為此種現象的發現,終致 完成本發明之基本精神。 本發明所提供之基板加熱方法,係一種對具備有處理 室、設置於該處理室内供承載基板用的基板承載元件、以 及對承載於該基板承載元件上的基板施行加熱的加熱元 件,且當基板承載於基板承載元件時,在基板背面形成實 質封閉空間之半導體裝置的基板加熱處理方法,其步驟包 含有: 當將基板承載於該基板承載元件後,對處理室内之封 « 閉空間外部供給第1氣體的供給步驟; 當將基板承載於該基板承載元件後,對封閉空間内供 給第2氣體的供給步驟;該第2氣體係含可在基板背面形成 變質層之變質層用氣體者;以及 利用加熱元件對承載於基板承載元件上的基板進行加 熱的加熱步驟。 在上述半導體裝置中,當基板承載於該基板承載元件 上時,即便對封閉空間内進行加壓的話,最好可藉由基板 本身重量而使封閉空間内仍維持實質上的封閉狀態。藉此 可降低該含有形成變質層用氣體的第2氣體由封閉空間内 外洩的情形,即便有漏亦屬微乎其微的量而已。 藉由對封閉空間内供給含形成變質層用氣體之第2氣 體,而在基板背面形成變質層,如此便可抑制Si〇昇華由 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) -3 --------It —^--------^--------- (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(3) 基板背面向外部擴散的不良情況。藉由變質層,可使添加 於基板上如填等摻雜不易由基板背面向外擴散。故,可降 低基板背面產生擴散物質的情況,俾維持溫度測量的穩定 性。 δ將第2氣體供給於封閉空間内時,最好以使供給於封 閉空間内之第2氣體的供給流量小於由封閉空間内的氣體 排放量方式,供給第2氣體。藉此,供給於處理室内封閉空 間外部之第1氣體的其中一部份,通過基板與基板承載元件 間所形成些微間隙等,而流入於封閉空間内,俾防止供給 於封閉空間内之形成變質層用氣體回流於基板表面上。 當將第2氣體供給於封閉空間内時,檢測由封閉空間内 所排放氣體的流S ’而將封閉空間的氣體排放量,與供給 於封閉空間内第2氣體供給流量間的差值,調整在一定範圍 内。藉此,不論產生何種原因,即使由封閉空間内所排放 氣體的流量有所改變,亦可藉由將供給於封閉空間内之第2 氣體流量的調整,而防止形成變質層用氣體回流於基板表 面處。 最好將由封閉空間内所排放出氣體中所檢測出形成變 質層用氣體濃度,若該形成變質層用氣體濃度未在一定範 圍内時,便停止對基板進行加熱。藉此使變質層用氣體回 流於基板表面上,而不致對基板表面處理產生不良影響, 同時亦可防止基板背面所形成變質層厚度不足的不良狀
處理室内由封閉空間外部所排放氣體中所檢測出形成 張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) T --------♦—丨裝--------訂··--丨丨丨— (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消费合作社印製 A7 B7___ 五、發明說明(4) 變f層用氣體濃度,若該形成變質層用氣體濃度超過一定 值時,可停止對基板的加熱,俾防止形成變質層用氣體對 基板表面處理產生不良影響。 該形成變質層用氣體最好採用〇2氣體,俾形成氧化膜 的變質層。此時,在基板背面形成可防止Si0昇華的氧化 膜 Si02。 此外,該形成變質層用氣體亦可採用如NH3氣體、NO 氣體、ΝΑ氣體中任一者,俾形成氮化膜或氧氮化膜之變 質層。譬如當形成變質層用氣體採用NH3氣體時,便在基 板背面形成Si3N4。 第2氣體可採用形成變質層用氣體與第1氣體的混合氣 體’俾將所使用氣體量減縮至必要最小量,達降低成本之 功效。 該第2氣體亦可採用含有形成變質層用氣體與n2氣體 之混合氣體,俾降低第2氣體的成本。 半導體製造裝置係為熱處理裝置,俾藉由該熱處理裝 置進行穩定的熱處理程序。 此外’本發明亦提供一種基板加熱方法,係一種對具 備有處理室、設置於該處理室内供承載基板用的基板承載 元件、以及對承載於該基板承載元件上的基板施行加熱的 加熱手段’且當基板承載於基板承載元件時,利用形成於 基板背面之實質封閉空間檢測基板溫度的偵測手段之半導 體裝置的基板加熱處理方法,其步驟包含有: 當將引進於處理室内的基板承載於該基板承載元件 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5 裝--------訂--------- 華 (請先閱讀背面之注意事項再填寫本頁) 478017 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(5) 上,而形成封閉空間的形成步驟; 將含有供在基板背面形成變質層的形成變質層用氣體 之氣體灌入封閉空間内的供給步驟。藉此可降低由上述基 板背面所產生的擴散物質,而可維持溫度測量的穩定性。 本發明亦提供一種基板加熱方法,係一種對具備有處 理至、没置於該處理室内供承載基板用的基板承載元件、 以及對承載於該基板承載元件上的基板施行加熱的加熱手 段,且當基板承載於基板承載元件時,在基板内面形成實 質封閉空間之半導體裝置,其步驟包含有: δ將第1氣體供給於處理室内之封閉空間外部的第1氣 體供給手段;及 將含有供在基板背面形成變質層之形成變質層用氣體 之第2氣體供給於封閉空間内的第2氣體供給手段。 藉由如上述第2氣體供給手段的設計,可在基板背面形 成變質層’俾降低由基板背面產生如Si〇昇華或礙等所謂 擴散物質的不良情況,而可維持溫度測量的穩定性。 該第2氣體供給手段最好具備供調整由封閉空間内排 放氣體流量的調整闊手段,利用調整法手段使灌入封閉空 間内之第2氣體供給流量,小於由封閉空間内氣體排放流 量’俾防止形成變質層用氣體回流於基板表面的不良狀況 產生。 該第2氣艘供給手段,最好具備控制供給於封閉空間内 之第2氣體流量的供給流量控制手段,俾藉由操作該供給流 量控制手段,而使供給於封閉空間内之第2氣體流量,少於 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) -6- (請先閱讀背面之注意事項再填寫本頁) ·裝 訂---- S! 478017 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明(6) 由封閉空間所排放氣體流量。 此外,最好更進一步具備檢測出由封閉空間内排放氣 體流量的流量檢測手段’及根據該流量檢測手段的檢測 值,而將由封閉空間内所排放出氣體流量與供給於封閉空 間内之第2氣體流量間的差值設定在一定範圍内之控制該 供給流量控制手段的控制手段。藉此不論產生何種原因, 即便由封閉空間内所排放氣體流量產生變動,亦可防止形 成變質層用氣體回流於基板表面,且因為第2氣體供給量可 自動控制在所預定的流量範圍内,故可大幅減輕操作負擔。 該第2氣體供給手段,最好具備將由封閉空間内所排放 氣體氣流予以關閉或開啟的第1開關闊、與並列於該第1開 t 關閥而將由封閉空間内所排放氣體氣流予以關閉或開啟的 第2開關闊。該流量檢測手段係連接於第2開關閥下流處, 且並列於該第1開關閥。通常,當第1開關閥開啟時,第2 開關閥便關閉,而將封閉空間内的氣體經過第1開關閥排 放。所以僅需調整第2氣體的供給流量,當第1開關闊關閉 時,第2開關閥便開啟,使封閉空間内的氣體經過第2開關 閥排放。藉此使裝設於第2氣體供給手段之氣體排放系統的 機器可增長使用壽命。 最好使第1開關閥與第2開關闊中任一者呈開啟狀態 時,而另一者便呈關閉狀態,故可進一步設置設定該第1 開關闊與第2開關闊之開關的設定手段。藉此毋須二者分開 各自操作該第1開關闊與第2開關闊,大幅減輕操作負擔。 最好更進一步具備檢測手段與輸出手段,其中該檢測 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -Ί · ---------r--裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明(7) 手段係檢測出由封閉空間内所排放氣體中形成變質層用氣 體濃度者;而該輸出手段係根據該檢測值判斷形成變質層 用氣體濃度是否在所設定範圍内,當判斷該濃度並不在該 設定範圍内時,便輸出中止處理信號者。當輸出中止處理 仏號時,至少停止第2氣體的供給,或停止加熱手段對基板 的加熱,藉此防止形成變質層用氣體回流基板表面而對基 板表面處理產生不良影響,以及基板背面所形成變質層膜 厚度不足的不良狀況。 另,亦可更進一步具備檢測手段與輸出手段,其中該 檢測手段係檢測出處理室2内由封閉空間外部所排放氣體 中形成變質層用氣體濃度者;而該輸出手段係根據該檢測 值判i形成變質層用氣體濃度是否超過預定值,當判斷該 濃度超過預定值時,便輸出中止處理信號者。當輸出中止 處理信號時,至少停止第2氣體的供給,或停止加熱手段對 基板的加熱,藉此防止形成變質層用氣體回流基板表面而 對基板表面處理產生不良影響。 再者,最好更具備顯示處理狀況的顯示手段^藉此當 上述中止處理信號輸出於顯示手段時,操作者觀察顯示手 段,便可充分掌握將處理程序予以停止。 該處理室最好除部分形成封閉空間外,尚有部分空間 形成具備供設置偵測計之偵測計設置區域的底部◊將該第2 氣體供給丁段δ又置於該底部’並在該底部中設置供給第2 氣體於封閉空間内的氣體供給口、與供第2氣體由該封閉空 間内排放的氣體排放口,且在該氣體供給口與氣體排玫口 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) *--- t--------^--------- (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(8) 之間設置偵測計設置區域。藉此設置於底部偵測計上端即 便有雜塞物質存在,該雜塞物質亦可與第2氣體一齊由氣體 排放口向外排放,俾確保偵測計測量的穩定性。 本發明所提供的半導體裝置,係具備有處理室,設置 於該處理室内供承載基板用的基板承載元件,與對承載於 該基板承載元件上的基板施行加熱的加熱手段,與當基板 承載於基板承載元件時’利用形成於基板背面之實質封閉 空間檢測基板溫度的偵測手段,以及將含有供在基板背面 形成變質層之形成變質層用氣體的氣體供給於封閉空間内 的氣體供給手段。藉此可降低基板背面產生擴散物質,並 達維持溫度偵測穩定性的效果。 【圓§式簡單說明】 第1圖係本發明半導體製造裝置之一實施例的熱處理裝置 部分立體剖面示意圖。 第2圖係包含第1圖所示熱處理裝置之處理室部位之剖面示 意圖。 第3圖係第1圖所示熱處理裝置的供給系統構造圖。 第4圖係第3圖所示控制裝置之控制機能示意圖。 第5圖係使用第1圖所示熱處理裝置進行熱處理時的動作程 序與晶圓溫度之時間關係圖。 第6圖係晶圓背面封閉空間内氣體中之氧濃度,與晶圓内磷 濃度及晶圓背面所形成氧化膜3丨02膜厚之關係圖。 第7圖係晶圓背面封閉空間内氣體中之氧濃度,與晶圓表面 空間内氣體中氧濃度之關係圖。· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) 丨 b«裝. 訂· 華 (請先閱讀背面之注意事項再填寫本頁) 478017 A7 B7 五、發明說明(9) 第8 A圖係熱處理中於晶圓背面封閉空間内未添加氧氣 時,晶圓底座阻抗特性與均勻特性之示意圖。 第8B圖係熱處理中於晶圓背面封閉空間内添加氧氣時,晶 圓底座阻抗特性與均勻特性之示意圖。 第9圖係在對晶圓背面封閉空間内添加氧氣狀態下,進行 1000片晶圓熱處理時之晶圓底座阻抗特性與均勻特 性之示意圖。 【圖示符號說明】 1 熱處理裝置 2 處理室 2a 底部 2b 側壁 2c 蓋部 3 基板承載元件 4 · 軸承 5 圓筒框 6 環框 6a 承載用階 7 升降構件 8 承載栓 9 加熱燈 9G 燈組 10 溫度偵測計 11 圓型板 12 氣體供給口 13 氣體排放口 14 氣體供給系統 16 氣體供給口 17 氣體排放口 18 氣體供給系統 19 氣體排放系統 20 突片 21 氮氣供給源 22 空氣闊 23 流量控制器 24 壓力控制闊 25 氧氣供給源 26 氮氣供給源 27 空氣閥 28,29 流量控制器 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) r讀先閱讀背面之注意事項再填寫本頁) 裝--------訂--------- 經濟部智慧財產局員工消費合作社印製 31478017 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 30 針閥 32 輔助空氡閥(第1開關闕) 33 輔助空氣閥(第2開關間) 34 過濾器 35 36·37濃度偵測計 38 38a 輔助空氣閥切換設定部 含乳氣體供給流量設定部 程序繼續/中止判斷部 開關輸入叙 圓形燈用窗 Sa 晶圓表面空間 【發^月實施較佳態樣】 以下,請參閱圖示說明,針對本發明所提供半導體裝 置之較佳貫施悲樣進行詳細說明。 第1圖所示係本發明所提供半導體裝置其中一實施態 樣之熱處理裝置的部分立體剖面示意圖。第2圖所示係該熱 處理裝置部分放大剖面示意圖。在該等圖中,熱處理裝置i 係屬於對石夕晶圓(基板)w進行溫度控制同時施行熱處理的 葉片式急速加熱熱處理裝置。該熱處理裝置1乃具備由底部 2a、侧壁2b及蓋部2c所構成之處理室2者。 在該處理室2内設置供承載晶圓W的基板承載元件3。 該基板承載元件3乃具備裝設在底部2a上並透過轴承4可自 由旋轉的圓筒框5、與裝設在該圓筒框5上端的環框6。在該 環框6内側緣形成供承載晶圓W邊緣之承載用階6a。該晶圓 主空氣閥 流量檢測構件(MFM) 控制裝置 38b 38c 39 Lw Sb 畫面顯示元件 晶圓背面封閉空間 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -11 - 經濟部智慧財產局員工消费合作社印製 478017 A7 ____B7 五、發明說明(u) w承載於基板承載元件3上的狀態(請參閱第2圖所示),係 在晶圓W背面形成由底部2a、與基板承載元件3、及晶圓w 所包圍成的封閉空間(以下稱「晶圓背面封閉空間」)Sa。 當晶圓W邊緣承載於該環框6的承載用階6a上時,就構造上 而言’在晶圓W與環框6之間將產生些微間隙。 在底部2a下半部則裝設升降構件7,俾將由機械臂(未 圖示)搬運於處理室2内的晶圓W承載於基板承載元件3 上。該升降構件7係具備貫穿底部2a且可將晶圓W往上帶的 複數根(如3根)承載检8。 在處理室2之蓋部2c上方,設置由複數個加熱燈9所組 成的燈組9G,該等加熱燈9係供加熱承載於基板承載元件3 上之晶圓…者。在蓋部2c上設置圓形燈用窗Lw,該等加熱 燈9的熱則透過該燈用窗Lw傳達於晶圓w上。在底部2a上 設置供光學檢側晶圓W溫度的溫度偵測計1 〇。此溫度偵測 計10係在底部2a於包圍基板承載元件3之圓型板η中,由包 含中心點與部分邊緣以一定角度(如90度)略呈扇形之偵測 計設置區域内,由複數組組合而成。上述晶圓背面的封閉 空間Sa係完全光學封閉空間,故採用光學溫度偵測計丨〇, 而利用封閉空間Sa對晶圓W進行溫度檢測並不致產生任何 困難。 在處理室2側壁2b上,設置相對向的氣體供給口 12與氣 體排放口 13。該氣體供給口 12連接於氣體供給系統14(請參 閱第3圖所示)’該氣體供給系統14係對處理室2内晶圓背面 空間Sa之外界(以下稱「晶圓表面空間」),供給處理氣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12- ---------- — 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478017 A7 B7 五、發明說明(、 體(第1氣體)者。該氣體排放口 13係連接於氣體排放系統 15(請參閱第3圖所示),該氣體排放系統15係供將晶圓表面 空間Sb内之氣體排放於處理室2外界者。所謂處理氣體係 指供處理程序上使用的氣體,本實施態樣中採用氮氣(N2 氣體)。 在底部2 a圓形板11上,設置氣體供給口 16與氣體排放 口 17。該氣體供給口 16連接於供給含形成變質層用氣體之 氣體(第處理室2氣體)於晶圓背面封閉空間Sa内的氣體供 給系統1 8。該氣體排放口 17則連接於將晶圓背面封閉空間 Sa内氣體排放於處理室2外界的氣體排放系統19。 此處所謂「形成變質層用氣體」,係指供在晶圓W背 面上形成二氧化矽Si〇2變質層(化學保護層)而所使用的氧 氣(〇2氣體)。所謂含形成變質層用氣體之氣體,係指〇2氣 體與%氣體的混合氣體(以下稱「含氧氣體」)。使此含氧 氣體,與處理氣體同為含N2氣體,藉此可將配合在晶圓w 背面生成變質膜所需氧濃度,降至最小必要極限。同時, 將因外洩於晶圓W表面而所造成的損害降至最低。再者, 本處理程序因使用二種類氣體,所以可達降低成本之功效。 在圓形板11邊緣包含偵測計設置區域位置處,設置有 剖面略呈L字型的突片20,並在該突片20内側形成氣體供 給口 16。而氣體排放口 17則形成於圓形板11中,與該氣體 供給口 16設置面相反面上,且稍略偏離中心點位置處。在 該氣體供給口 16與氣體排放口 17之間形成偵測計設置區 域。藉此由氣體供給口 16所灌入的含氧氣體,在圓形板^ 本紐尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) 43: '~~ ---------P--裝--------訂--------- ΜΎ (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(13) 中通過偵測計設置區域的整個範圍上方,而由氣體排放口 17處向外排放。 該氣體供給口 12、氣體排放口 13、氣體供給系統14、 與氣體排放系統1 5乃構成第1氣體供給手段,而該第1氣體 供給手段係將第1氣體(處理氣體)供給於處理室2中封閉空 間(指晶圓背面封閉空間)Sa外界(指晶圓表面空間)sb處。 該氣體供給口 16、氣體排放口 17、氣體供給系統18、與氣 體排放系統19乃構成第2氣體供給手段,而該第2氣體供給 手段係將含有供在晶圓W背面形成變質層用之形成變質層 用氣體的第處理室2氣體(含氧氣體),供給於封閉空間sa 内者。 上述處理氣體與含氧氣體之供給系統結構圖如第3圖 所示。氣體供給系統14乃由氮氣供給源21、空氣閥22、及 流量控制器(供給流量控制構件,以下稱「MFC」)23所構 成。該空氣閥22乃設在氮氣供給源2 1與處理室2的氣體供給 口 12之間,並控制由氮氣供給源21供給於晶圓表面空間sb 内之處理氣體流量的開與關。該流量控制器23係對供給於 晶圓表面空間Sb内的處理氣體流量進行控制者。氣體排放 系統15係具備有連接於處理室2之氣體排放口 13的壓力控 制閥(PCV)24,該PCV24的控制端則連接於排氣泵上。 氣體供給系統18乃由氧氣供給源25、氮氣供給源26、 空氣閥27、及MFC28,29所構成。該空氣閥27係設在處理室 2之氧氣供給源2 5與氮氣供給源2 6之間,並控制由該等氣體 供給源25,26供給於晶圖背面封閉空間Sa内之含氧氣體流 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) ---------r--裝--------訂--------- BJ (請先閱讀背面之注杳?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478017 A7 B7 五、發明說明(、 量的開與關。該MFC28,29係分別控制供給於晶圓背面封閉 空間Sa内之02氣體與仏氣體之流量者。 氣體排放系統19則由針閥30、主空氣閥31、輔助空氣 闊32(第1開關閥)、輔助空氣閥33(第2開關閥)、以及流量計 (流量檢測構件,以下稱「MFM」)35所構成。其中,該針 闊30係連接於處理室2之氣體排放口 17上,供調整由晶圓背 面封閉空間Sa内排放於處理室2外界之氣體流量者。該主空 氣閥31係連接於針閥30的控制端,供對由晶圓背面封閉空 間晶圓背面封閉空間Sa内所排放氣體氣流進行開與關控 制。該輔助空氣闊32與輔助空氣閥33係以並連方式連接於 該主空氣閥31的控制端上。該MFM35係透過過濾器34連接 於辅助空氣閥3 3的控制端,並供檢測由晶圓背面封閉空間 Sa内所排放出氣體之流量者。輔助空氣閥32與MFM35下游 處則連接於氣體洗淨機(scrubber)上,俾將由晶圓背面封閉 空間Sa所排放出的氣體輸往該氣體洗淨機中。在輔助空氣 闊33與MFM35間之所以設置過濾器34的原因,乃為防止含 於氣體中之粒子等被吸入MFM35中而造成阻塞的緣故。 在輔助空氣閥32及MFM35,與氣體洗淨機之間的氣體 排放管路中,設置對由晶圓背面封閉空間Sa内所排放出氣 體中含氧氣濃度進行檢測的濃度偵測計36。在處理室2之處 理室2之氣體排放口 13與PC V24間的氣體排放管路中,設置 對由晶圓表面空間Sb内所排放出氣體中含氧氣濃度進行 檢測的濃度偵測計37。 將上述MFM35、濃度偵測計36,37之檢測值,以電子信 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ~ " --------.a—裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(] 號輸出於控制裝置3 8中。該控制裝置3 8則連接於供切換輔 助空氣閥32,33之開與關的開關輸入鈕39,及供顯示出現在 處理狀況的晝面顯示元件4〇。該控制裝置38係將MFM35、 濃度偵測計36,37之各檢測值,以及開關輸入鈕39之指示信 號予以輸入,然後進行一定處理,再將處理結果以電子信 號方式輸出於MFC23,28,29、辅助空氣閥32,33,以及畫面 顯示元件40中。該MFC23除有任何指示之外,藉由控制裝 置3 8控制經常保持開啟狀態。雖然在第3圖中並未圖示,但 該控制裝置38係依據複數個溫度偵測計10的檢測值而控制 複數個燈9,而具有晶圓w溫度控制的功能。此種控制裝置 3 8的詳細處理功能,請參閱第4圖所示。 .圖中所示,該控制裝置38乃具備輔助空氣闊切換設定 部3 8a、含氧氣體供給流量設定部3 8b、程序繼續/中止判斷 部38c。該辅助空氣閥切換設定部38a係輸入開關輸入鈕39 的指示信號,當該指示信號為開「ON」時,便將輔助空氣 闊32呈開啟狀態而將辅助空氣閥33呈關閉狀態的設定信 號,輸出於辅助空氣闊32,33中。反之,當該指示信號為關 「OFF」時,便將辅助空氣闕32呈關閉狀態而將辅助空氣 閥33呈開啟狀態的設定信號,輸出於輔助空氣閥32,33中。 藉此僅對開關輸入鈕39進行開/關,便可自動切換辅助空氣 闊32,33的開/關,所以操作者毋需同時手動操作辅助空氣 闊32,33二者,可大幅減輕操作者的負擔。 該含氧氣體供給流量設定部3 8b係根據MFM3 5的檢測 值,控制MFC28,29,藉以控制供給於晶圓背面封閉空間Sa 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) •16· -------------裝--------訂-------— (請先閱讀背面之注意事項再填寫本頁) 478017 經濟部智慧財產局員工消费合作社印製 -17- A7 B7 五、發明說明(16) 内之〇2氣體與N2氣體的流量。此處,含氧氣體供給流量設 定部38b係將供給於晶圓背面封閉空間以内的含氧氣體流 量,與由晶圓背面封閉空間Sa内所排放出的氣體流量之差 值,以將其設定在一定範圍内的方式而產生設定信號,然 後輸出於MFC28,29中。上述含氧氣體供給流量設定部38b 的功能,可不設置在控制裝置3 8中,亦可設在MFM3 5,或 MFC28,29 中, 程序繼續/中止判斷部38c係輸入濃度偵測計36,37的 各檢測值,而分別判斷由晶圓背面封閉空間Sa内所排放出 氣體中含氧濃度,與由晶圓表面空間Sb内所排放出氣體中 含氧濃度,是否分別在預先設定的範圍内。該程序繼續/ 着 中止判斷部38c便在當由晶圓背面封閉空間Sa内所排放出 氣體中含氧濃度未在設定範圍内,或由晶圓表面空間Sb内 所排放出氣體中含氧濃度超過所預定規格時,便對 MFC28,29輸出中止處理信號。藉此停止對處理室2内繼續 供給含氧氣體,而停止對晶圓W的加熱處理。另,亦可將 上述中止處理信號輸出於各加熱燈9,而使全部加熱燈9的 輸出呈關閉狀態。此外,該程序繼續/中止判斯部3 8c亦可 將中止處理信號輸出於畫面顯示元件40中,而將中止處理 的情報顯示於畫面顯示元件40上,依狀況所需亦可發出警 報’俾供操作者觀看晝面顯示元件40或聽見警報聲,而知 道處理程序已經呈中止狀態。 其次,針對採用上述熱處理裝置1對分別一片片晶圓W 進行熱處理的順序,請參閱第5圖所示進行詳細說明。首 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) ------------裝--------訂--------- MT (請先閲讀背面之注意事項再填寫本頁) 478017 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(17) 先,在進入正式處理之前,先將調整用晶圓w承載於基板 承載元件3上,而在處理室2内形成晶圓背面封閉空間仏與 晶圓表面空間Sb,然後進行氣體流量的初期調整。此處, 當晶圓W承載於基板承載元件3上時,即使對晶圓背面封閉 空間Sa内進行加壓,亦可藉由晶圓w本身的重量,使晶圓 背面封閉空間Sa内維持實質的封閉狀態。另,亦可在基板 承載元件3上设置供夾置晶圓w用的夾具,俾將晶圓w強制 的密接於基板承載元件3上而維持晶圓背面封閉空間以的 形成。 當進行氣體流量的初斯調整時,首先使空氣閥22, 27,31呈開啟狀態,然後將開關輸入鈕39予以開啟,而使輔 着 助空氣閥33呈開啟狀態,辅助空氣闊32呈關閉狀態。使 MFC29開始動作,俾對處理室2内的晶圓表面空間Sb内供 給N2氣體。另,亦對晶圓背面封閉空間Sa内供給n2氣體而 使處理室2内處於處理程序環境中。此時,因為在晶圓背面 封閉空間Sa内依照晶圓W本身重量等方式,而維持實值的 封閉狀態,所以幾乎無產生氣體由晶圓背面封閉空間Sa外 漏於晶圓表面空間Sb中的不良現象。 然後,監視MFM3 5的檢測值,以使供給於晶圓背面封 閉空間Sa内的氣體流量,較由晶圓背面封閉空間§a所排放 出氣體的流量為少之方式,利用針闊3 0控制晶圓背面封閉 空間Sa的氣體排放流量。藉此,透過基板承載元件3與晶圓 W間所形成的極微小間隙,使晶圓表面空間Sb内的Ν2流入 於晶圓背面封閉空間Sa内,俾強制形成氣體封閉空間。 (請先閱讀背面之注意事項再填寫本頁) ··裝 ---訂----- MT, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -18- 478017 A7 B7 五、發明說明(18) 當上述初期調整結束後,便關閉開關輸入鈕39,使輔 助空氣閥3 2呈開啟狀態,而使辅助空氣閥3 3呈關閉狀態, 然後進行實際的晶圓W熱處理程序。首先,利用機械臂(未 圖示)將待處理晶圓W搬送至處理室2内。之後經過q時間 後’利用升降構件7將基板承載元件3根承載栓8予以昇上, 而將晶圓W舉上昇,俾使晶圓W載置於基板承載元件3的環 框6上。 待經過Q時間後,便開始以下的熱處理程序。首先, 由控制裝置38對MFC28,29輸出一定流量設定信號,然後對 晶圓背面封閉空間S a内供給含氧氣體。此時,因為利用針 閥30控制使供給於晶圓背面封閉空間Sa内的含氧氣體流 » 量’小於由晶圓背面封閉空間Sa内所排放出的氣體流量, 所以可將晶圓表面空間Sb内的部分N2氣體吸入於晶圓背 面封閉空間Sa内。藉此使晶圓背面封閉空間Sa内的〇2氣體 幾乎沒有被吸入晶圓表面空間Sb内。此處雖採同時將含氧 氣體中的〇2氣體與N2氣體,灌入晶圓背面封閉空間Sa内的 方式,但亦可採用首先供給&氣體,待經過一定時間後再 灌入02氣體的方式。 在與上述處理氣體的供給與含氧氣體的供給幾乎同 時,利用驅動手段(未圖示)驅動旋轉基板承載元件3而旋轉 晶圓W。同時點亮複數加熱燈9,俾使晶圓W的溫度,如第 5圖所示,徐緩上昇至室溫(25°C)。然後,但經過至t3時間 點時,使晶圓W的溫度到達7 5 0 °C左右,而在晶圓W背面產 生供抑制石夕氧化物SiO自然氧化膜昇華的二氧化石夕3丨〇2氧 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -19 - --------·ΓΙ·裝 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消费合作社印製
478017 A7 --- B7 五、發明說明(巧 化膜。之後’待經過至時間點t4時,使晶圓W的溫度到達 1000°C 左右。 (請先閱讀背面之注意事項再填寫本頁) 然後’當經過至時間點t5時,便完成熱處理程序,即 停止旋轉晶圓W,並由控制裝置38對MFC28,29輸出零流量 信號,而結束對處理室2内供給含氧氣體。之後,晶圓W的 溫度徐緩下降至搬出溫度(約750。〇後,當經過至時間點t6 時,利用機械臂(未圖示)將晶圓W取出於處理室2的外界。 在如上述晶圓W的熱處理中,若針闊30上附著或沉積 有氣體中的粒子時,由晶圓背面封閉空間Sa内所排放出的 氣體流量,與供給於晶圓背面封閉空間Sa内含氧氣體流量 間的差值,便小於預設值,結果可能產生晶圓背面封閉空 間Sa内的02氣體被吸入於晶圓表面空間Sb的不良情形。所 以為防止此種情況的發生,可定期的將開關輸入鈕39呈開 啟狀態,而使由晶圓背面封閉空間Sa内所排放氣體通過 MFM35。此時,以使由晶圓背面封閉空間Sa内所排放出氣 體流量,與供給於晶圓背面封閉空間Sa内含氧氣體流量間 的差值保持於預設值方式,自動控制含氧氣體供給流量, 而可避免〇2氣體回流於晶圓W表面。 經濟部智慧財產局員工消費合作社印製 其次,如上述熱處理裝置1的熱處理中,針對供給晶圓 背面封閉空間Sa内含氧氣體所需的氧濃度進行說明。
第6圖所示係晶圓背面封閉空間Sa内氣體中氧濃度,與 晶圓W内磷濃度及形成晶圓W背面氧化膜Si02膜厚關係 圖。同圖中,實線A係表氣體中氧濃度與形成晶圓W背面 氧化膜Si02膜厚之變化狀況(參照縱軸左側座標),單點線B -20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478017 A7 B7 五、發明說明(20) 係表氣體中氧濃度與熱處理中晶圓w内磷濃度(參照縱軸 右側座標)關係,而虛線C則表熱處理前晶圓W内磷濃度(參 照縱軸右側座標)。另,氣體中氧濃度為在760Τ〇ΓΓ下的濃 度’且處理時間(由開始處理至結束處理)為秒。 由第6圖中得知,當氣體中氧濃度為零時,隨熱處理的 氧化膜Si〇2膜厚之變化量為-3.3Α。熱處理後晶圓W内的磷 濃度為處理室2·19E20。隨熱處理,相對ι〇·〇ιE20的磷將由 晶圓W向外擴散。其次,當氣體中氧濃度為0 05%(5〇〇ppm) 時,隨熱處理之氧化膜Si02膜厚之變化量為8.3A ,比尚未 添加氧氣時增厚。熱處理後晶圓W内磷濃度為11.7E20,隨 熱處理而由晶圓W向外擴散磷為對應〇·5Ε20部分,較尚未 添加氧氣時大幅減少。其次,當氣體中氧濃度1 %時,隨熱 處理之氧化膜Si02膜厚之變化量為32A,與氧濃度0.05%時 相較更為增厚,且熱處理後的晶圓W内磷濃度為12.1 E20 , 與氧濃度0.05%時相比較,隨熱處理而由晶圓w向外界擴散 的磷僅為極少量而已。 藉由上述結果,當使氣體中氧濃度在5 OOppm以上方 式,對晶圓背面封閉空間Sa内灌入含氧氣體時,便可有效 的抑制隨熱處理而使磷向外部擴散的現象。惟,若氣體中 氧濃度過高的話,當晶圓背面封閉空間Sa内的氧氣被回吸 入晶圆W表面時,對晶圓W的表面處理可能產生不良影 響。反之,若氣體中氧濃度過低的話,晶圓W背面所形成 氧化膜Si02的厚度將變薄,而可能無法充分發揮抑制擴散 的效果。故,在第6圖所舉例子中,最好將氣體中氧濃度在 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---- 經濟部智慧財產局員工消费合作社印製 -21- 478017 A7 B7 五、發明說明(21) 500ppm左右的含氧氣體灌入晶圓背面封閉空間sa内。 第7圖所示係晶圓背面封閉空間Sa内氣體中氧濃度,與 (請先閱讀背面之注意事項再填寫本頁) 晶圓表面空間Sb内氣體中氧濃度間的關係圖。同圖中,細 實線e係表當氧氣供給流量為13(:(:111時,晶圓背面封閉空間
Sa内氣體中氧濃度(參照縱軸左側座標),而粗實線e係表此 時晶圓表面空間Sb内氧濃度(參照縱轴右側座標)。細實線f 係表當氧氣供給流量為2sccm時,晶圓背面封閉空間Sa内 氣體中氧濃度,而粗實線F係表此時晶圓表面空間Sb内氧 濃度。細實線g係表當氧氣供給流量為5sccm時,晶圓背面 封閉空間Sa内氣體中氧濃度,而粗實線G係表此時晶圓表 面空間Sb内氧濃度。此處供給於晶圓背面封閉空間Sa内的 » 氮氣流量全部為1 slm,而由晶圓背面封閉空間Sa所排放出 的氣體流量則全部為2slm,另供給於晶圓表面空間Sb内的 氮氣(處理氣體)流量全部為lOslm。 經濟部智慧財產局員工消費合作社印製 由第7圖得知,當氧氣供給流量為2sccm時,在點亮加 熱燈9的穩定狀態下,晶圓背面封閉空間Sa内氣體中氧濃度 將為800ppm(參照細實線f),此時晶圓表面空間Sb内氣體中 氧濃度維持在2ppm以下(參照粗實線f)。所以,當添加如上 述晶圓背面封閉空間Sa内氣體中氧濃度為如上述5〇〇ppm 時,晶圓表面空間Sb内的氣體中氧濃度將維持在2ppm以 下,若在此程度下,對晶圓W的熱處理幾乎不致產生任何 不良影響。 其次,第8 A圖與第8B圖所示係在熱處理_,對晶圓背 面封閉空間Sa内添加氧氣與未添加氧氣時,晶圓w之底座 -22- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 478017 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(22) 阻抗特性與均勻特性之關係圖。其中,第8A圖所示係尚未 添加氧氣時的特性;而第8B圖所示則係已添加氧氣的特 性。 在第8 A圖中,實線J係表晶圓W處理片數,與此時的晶 圓W之底座阻抗(參照縱軸左側座標)。單點虛線κ係表晶圓 W處理片數,與此時的晶圓w之底座阻抗的均勻性(參照縱 軸右側座標)。此處,處理室2内的壓力為765Torr,處理溫 度為1000 C,處理時間為1〇秒,而供給於晶圓表面空間別 内的亂氣流量為5slm°另,對晶圓W的離子植入條件為捧 質BF3,加速電壓20kV,劑量5E15atms/cm2。 當晶圓背面封閉空間Sa内尚未添加氧氣時,為控制晶 圓W阻抗而所添加的摻質,由晶圓W背面產生。此外,曰 圓W背面的石夕氧化物SiO將昇華。所以,可確認該等擴散物 質將附著/沉積於處理室2之底部2a、基板承載元件3、溫度 4貞測計11端子等處。隨此種現象的產生,請參閱第8 a圖所 示’晶圓W的底座阻抗與其均勻性將徐緩下降,較具體而 言,在處理50片晶圓W時的底座阻抗偏差值為± L42%。 在第8B圖中,實線Μ係表晶圓W處理片數,與此時的 晶圓W之底座阻抗(參照縱軸左側座標)。單點虛線μ係表晶 圓W處理片數,與此時的晶圓w之底座阻抗的均勻性(參照 縱軸右側座標)。此處,處理溫度為lOOOt:,處理時間為1〇 秒’而供給於晶圓表面空間Sb内的氮氣流量為5slm,而供 給於晶圓背面封閉空間Sa内的氧氣流量為lsccm,供給於 晶圓背面封閉空間Sa内的氮氣流量為1 slm,此時晶圓背面 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) .23 --------U---^--------^--------- (請先閱讀背面之注意事項再填寫本頁) A7
478017 五、發明說明(23) 封閉二間Sa内氣體中氧濃度為coppm。另,對晶圓w的離 子植入條件為摻質為硼元素(B),加速電壓5kV,劑量 lE15atms/cm2 ° 當晶圓背面封閉空間“内已添加氧氣時,在對5〇片晶 圓W施行熱處理時,由晶圓琛背面所產生的擴散物質,並 無發現附著/沉積於處理室2之底部2a、基板承載元件3、溫 度偵測計11端子等處的情形,晶圓背面封閉空間Sa内保持 潔淨狀態。另,第8B圖所示,隨晶圓w的熱處理,將有效 的抑制晶圓W底座阻抗與其均勻性產生劣化之不良現象產 生。較具體而言,在處理50片晶圓w時的底座阻抗偏差值 為± 0.3 5% ’與上述未添加氧氣時的情形相較之下,有明顯 的改進跡象。 其次’第9圖所示係在添加氧氣於晶圓背面封閉空間 Sa内狀態下,進行1〇〇〇片晶圓w的熱處理時,晶圓w的底 座阻抗特性與均勻特性之關係圖。同圖中,實線p係表晶 圓W處理片數,與此時的晶圓w之底座阻抗(參照縱軸左側 座標)°單點虛線Q係表晶圓W處理片數,與此時的晶圓w 之底座阻抗的均勻性(參照縱軸右側座標)。此處,處理溫 度為1000 c ’處理時間為10秒,而供給於晶圓表面空間Sb 内的氮氣流量為lOslm,供給於晶圓背面封閉空間Sa内的氧 氣流量為2sccm,供給於晶圓背面封閉空間Sa内的氮氣流 量為lslm,通過MFM35氣體的排放流量為3 slm'另,對晶 圓W的離子植入條件為摻質Bp;,加速電壓i〇kv ,劑量 1E15atms/cm2 〇 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------h—裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -24- 478017 A7 _______ B7 五、發明說明(3 由第9圖中得知,處理1000片晶圓W時的底座阻抗偏差 值為± 0.3%(參照實線P),足證即便處理如此大量的晶圓… 仍可保持穩定狀態。 (請先閱讀背面之注意事項再填寫本頁) 如上述所述本實施態樣中,當施行晶圓W熱處理時, 因為對晶圓背面封閉空間Sa内供給氧氣,而使對晶圓冒背 面變質,可有效的抑制如SiO昇華、或如磷等摻質等產生 由晶圓W背面向外界擴散的不良情形,可大幅降低擴散物 質附者/;儿積於處理室2之底部2a、基板承載元件3、溫度貞 測計11端子等處的情形產生。所以可有效的使供晶圓W溫 度控制的測量系統處於穩定狀態,而提昇所測量溫度的真 實性,結果將大幅提昇處理程序的穩定性。另,因為晶圓 背面封閉空間Sa内保持潔淨狀態,而可達抑制飛塵現象的 效果’俾降低產生微粒現象,大幅提昇經濟效益。 經濟部智慧財產局員工消費合作社印製 此外,因為藉由操縱針閥30或MFC28,29,使由晶圓背 面封閉空間Sa内排放的氣體流量,較大於對晶圓背面封閉 空間Sa内供給含氧氣體的供給流量,所以可有效的防止晶 圓背面封閉空間Sa内的氧氣被吸入晶圓表面空間Sb内,而 對晶圓W表面處理產生不良影響的現象產生,使晶圓w表 面維持於穩定控制環境中。 此時,在氣體排放系統19中設置處理室2系統的排放管 路,當在施行一般熱處理時,可使晶圓背面封閉空間SaA 排放出的氣體,不經由過濾器34或MFM3 5,俾增長過濾器 34或MFM35的壽命,藉以避免不必要的更換。 利用濃度偵測計36,37監視晶圓背面封閉空間Sa内氣 -25- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 478017 A7 B7 五、發明說明(25) (請先閱讀背面之注意事項再填寫本頁) 體中的氧濃度,以及晶圓表面空間Sb内氣體中的氧濃度, 當該等氧濃度中有任一者偏離規格值時,便自動的將處理 程序強制性停止。所以,可有效的防止當氣體中氧濃度過 高時,對晶圓W表面處理產生不良影響現象產生,或當氣 體中氧濃度過低時,形成於晶圓W背面氧化膜Si〇2膜厚變 薄的不良情況產生。 再者’因為將氣體供給口 16與氣體排放口 17設計呈在 處理室2之底部2a中包夾偵測設置區域方式,因此即使溫度 债測計10端子有阻塞物存在的話,該阻塞物亦將隨含氧氣 體一齊流出,而由氣體排放口 17排出,藉此大幅提昇溫度 偵測計10溫度檢測的穩定性。 經濟部智慧財產局員工消費合作社印制衣 以上,雖對本發明較佳實施例進行說明,惟本發明並 不僅限於上述實施態樣。譬如,在上述實施態樣中,對形 成變質層用氣體,雖採用供在晶圓W背面形成Si〇2的氧 氣,但亦可使用供在晶圓W背面形成氮化膜或氧氮化膜的 氣體。此類氣體有如可形成SiNx的氨氣(NH3氣體)、或可形 成SiOxNy的一氧化氮氣體(N〇氣體)或一氧化二氮氣體 (ΝζΟ氣體)等。另,供給於晶圓背面封閉空間Sa内的氣體, 雖採用乳氣與乳氣的混合氣體,惟並無特別限制一定此種 混合氣體,亦可採用由氧氣等形成變質層用氣體與Ar氣體 等惰性氣體的混合氣體。 再者,上述實施態樣中,雖在氣體排放系統19中設置 針閥31,且在氣體供給系統18中設置MFC28,29 ,惟亦可採 僅設置針閥31與MFC28,29令任一者的設計方式。或者,在 -26- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 478017 經濟部智慧財產局員工消费合作社印製 A7 五、發明說明( 利用晶圓W本身重量而使晶圓背面封閉空間Sa内含氧氣體 幾乎不外漏晶圓表面空間sb的情形,亦未代表需特別限制 於此種設計方式。利用開關輸入鈕39切換辅助空氣閥32,33 的開啟或關閉之設計方式,亦可不裝設開關輸入鈕39,而 採手動分別切換辅助空氣閥3 2,3 3的開啟或關閉。氣體排放 系統19中雖設置處理室2根排氣管路,但亦可僅單獨設計夏 根。利用濃度偵測計36,37監視晶圓背面封閉空間Sa内氣體 中氧氣濃度,與晶圓表面空間Sb内氣體中氧氣濃度,此類 濃度偵測計未必一定需設置2個,視情況不同,亦可完全毋 設計濃度偵測計。 雖然針對上述實施態樣進行詳細說明,惟本發明亦可 » 適甩於當將基板承載於基板承載元件上時,在基板背面形 成實質封閉的封閉空間之如CVD裝置等半導體製造裝置 上。此情況時,僅要在基板背面形成封閉空間的話,處理 室内的壓力,可減壓至真空狀態,亦可在常壓狀態或加壓 狀態(如 800Torr)。 【產業可利用性】 藉由本發明所提供在當將基板承載於基板承載元件之 後,對封閉空間内灌入與第丨氣體不同的含形成變質層用氣 體之第2氣體,而可使基板背面變質。藉此降低由基板背面 向外界的擴散現象,使擴散物質附著/沉積於處理室壁或各 ’則量系統的情形降至微乎其微狀態,俾使基板溫度控制的 測量系統維持穩定狀態,產生優越的熱處理條件溫度重現 性(真實性),達大幅提昇處理程序穩定性的功效。同時, 私紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -27- ^—Αν ^-----—^-------- (請先閱讀背面之注意事項再填寫本頁) 478017 A7 __B7_ 27 五、發明說明() 亦因處理室内保持潔淨狀態,所以可有效的抑制異物的產 生 ° (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -28- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 478017 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、 申請專利範圍 1 · 一種基板加熱方法,係對具備有處理室、設置於該處理 室内供承載基板用的基板承載元件、以及對承載於該基 板承載元件上的基板施行加熱的加熱元件,且當該基板 承載於該基板承載元件時,在該基板背面形成實質封閉 空間之半導體裝置的基板加熱方法,其步驟包含有: 第1氣體供給步驟’係當將該基板承載於該基板承載 元件後,對該處理室内之該封閉空間外部供給第1氣體; 第2氣體供給步驟,當將該基板承載於該基板承載元 件後,對該封閉空間内供給第2氣體;其中,該第2氣體 係含有可在該基板背面形成變質層之變質層用氣體者; 以及 加熱步驟,係利用該加熱元件對承載於該基板承載 元件上的該基板進行加熱者。 2 ·如申凊專利範圍第1項所述基板加熱方法,其中,當將 遠第2氣體供給於該封閉空間内時,係以供給於該封閉空 間内之該第2氣體的供給流量小於由該封閉空間内的氣 體排放量方式,供給該第2氣體者。 3·如申請專利範圍第1項所述基板加熱方法,其_,當將 該第2氣體供給於該封閉空間内時,檢測由該封閉空間内 所排放出的氣體流量,而將該封閉空間的氣體排放量, 與供給於該封閉空間内該第2氣體供給流量間的差值,調 整在一定範圍内者。 4·如申請專利範圍第i項所述基板加熱方法,其中,對由 該封閉空間内所排放出氣體中該形成變質層用氣體濃度 (請先閱讀背面之注意事項再填寫本頁) -·裝 ^ ' — — — — — — I— - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) -29- 478017 經濟部智慧財產局員工消費合作社印製 §S8 C8 -·~ ---__ — 、申請專利範圍 進行檢測,當檢測出該形成變質層用氣體濃度未在一定 範圍内時,便费止對該基板進行加熱者。 S·如申請專利範圍第1項所述基板加熱方法,其中,對該 處理室内由封閉空間外部所排放氣體中該形成變質層用 氣體濃度進行檢測,當檢測出該形成變質層用氣體濃度 超過一定值時,便停止對該基板進行加熱者。 6 ·如申請專利範圍第1項所述基板加熱方法,其中,該开少 成變質層用氣體係使用〇2氣體,而該變質層則形成氧化 膜者。 7·如申請專利範圍第1項所述基板加熱方法,其中,該形 成變質層用氣體係採用NH3氣體、NO氣體、N20氣體中 任一者’而形成變質層係為氮化膜或氧氮化膜者。 8.如申請專利範圍第1項所述基板加熱方法,其中,該第2 氣體係採用包含該形成變質層用氣體與該第1氣體的混 合氣體者。 9·如申請專利範圍第1項所述基板加熱方法,其中,該第2 氣體係採用含有該形成變質層用氣體與N2氣體之混合氣 體者。 10.如申請專利範圍第1項所述基板加熱方法,其中,該半 導體製造裝置係為熱處理裝置者。 11· 一種基板加熱方法,係對具備有處理室、設置於該處 •理室内供承載基板用的基板承載元件、以及對承載於該 基板承載元件上的該基板施行加熱的加熱手段,且當該 基板承載於該基板承載元件時,利用形成於該基板背面 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------*--r -----r---^----I---- (請先閱讀背面之注咅?事項再填寫本頁) -30- 478017 A8 B8 C8 D8 申請專利範圍 <實質封閉空間檢測該基板溫度的谓測手段之半導體裝 置的基板加熱處理方法,其步驟包含有: 形成步驟,係將引進於該處理室内的該基板承載於 該基板承載元件上,而形成該封閉空間; 供給步驟,係將含有供在該基板背面形成變質層的 形成變質層用氣體之氣體供給於該封閉空間内者。 12· —種半導體製造裝置,係一種對具備有處理室、設置 於該處理至内供承載基板用的基板承載元件、以及對承 載於該基板承載元件上的該基板施行加熱的加熱手段, 且當該基板承載於該基板承載元件時,在該基板内面形 成實質封閉空間之半導體裝置,其步驟包含有: 第1氣體供給手段,係將第丨氣體供給於處理室内之 封閉空間外部;及 第2氣體供給手段,係將含有供在該基板背面形成變 質層之形成變質層用氣體,供給於該封閉空間内者。 13.如申凊專利fe圍第12項所述半導體製造裝置,其中, 該第2氣體供給手段係具備供調整由該封閉空間内所排 放出氣體流量的調整閥手段者。 14·如申請專利範圍第12項所述半導體製造裝置,其中, 該第2氣體供給手段係具備控制供給於該封閉空間内之 該第2氣體流量的供給流量控制手段。 15·如申請專利範圍第14項所述半導體製造裝置,更進一 步,具備有: 流量檢測手段,係檢測出由封閉空間内排放氣體流 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) — IT -----r------------ 經濟部智慧財產局員工消費合作社印製 31- 478017 A8 B8 C8 D8
    六、申請專利範圍 經濟部智慧財產局員工消費合作fi印製 .量; 控制丁段’係根據該流置檢測手段的檢測值,而將 由該封閉空間内所排放出氣體流量與供給於該封閉空間 内之該第2氣體流量間的差值設定在一定範圍内方式,控 制該該供給流量控制手段者。 16·如申請專利範圍第15項所述半導體製造裝置,其中, 該第2氣體供給手段係具備有: 第1開關閥,係對由該封閉空間内所排放氣體氣流進 行關閉或開啟者; 第2開關闊係並列於該第1開關閥而對由該封閉空間 内所排放氣體氣流進行關閉或開啟者。 17·如申請專利範圍第16項所述半導體製造裝置,更進一 步具備設定手段;該設定手段係使第丨開關閥與第2開關 闊中任一者呈開啟狀態時,而另一者便呈關閉狀態方 式’而對該第1開關閥與第2開關閥之開關進行設定者。 18·如申請專利範圍第12項所述半導體製造裝置,更進一 步具備有: 檢測手段,係檢測出由封閉空間内所排放氣體中形 成變質層用氣體濃度者; 輸出手段,係根據該檢測值判斷該形成變質層用氣 體濃度是否在所設定範圍内,當判斷該濃度並不在該設 •定範圍内時,便輸出中止處理信號者。 19·如申請專利範圍第12項所述半導體製造裝置,更進一 步具備有: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------r -----r---^---I----- c請先閱讀背面之注意事項再填寫本頁) -32- 經濟部智慧財產局員工消費合作社印製 B8 ------ C8 _________D8 六、申請專利範圍 — --~~^ 測手奴,係對該處理室中,由該封閉空間外部所 排玫出乳體中該形成變質層用氣體濃度進行檢測者; 輸出手奴,係根據該檢測值判斷該形成變質層用氣 體/農度疋否超過預定值,當判斷該濃度超過預定值時,; 便輸出中止處理信號者。 2〇·如申請專利範圍第12項所述半導體製造裝£,更進一 步具備有顯示處理狀況的顯示手段者。 21·如申請專利範圍第12項所述半導體製造裝置,其中, 該處理室係具備有除部分形成封閉空間外,尚有部分空 間形成具備供設置偵測計之偵測計設置區域的底部; 該第2氣體供給手段係設置於該底部,係具備有: 氣體供給口,係設置於該底部,供該第2氣體供 給於於該封閉空間内者; 氣體排放口,係設置於該底部,供將該第2氣體 由該封閉空間内排放出者; 在該氣體供給口與該氣體排放口之間設置該偵測計 設置區域者。 22· —種半導體製造裝置,具備有: 處理室; 基板承載元件,係設置於該處理室内供承載基板用; 加熱手段,係對承載於該基板承載元件上的該基板 .施行加熱者; 偵測手段,係當該基板承載於該基板承載元件時, 利用形成於該基板背面之實質封閉空間檢測該基板溫度 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) . ^ 裝-----η---tr---------線 C請先閱讀背面之注意事項再填寫本頁) 478017 A8 B8 C8 D8 六、申請專利範圍 者; 氣體供給手段,係將含有供在該基板背面形成變質 層之形成變質層用氣體的氣體供給於該封閉空間内者。 -----------------r---訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -34-
TW089118877A 1999-09-17 2000-09-14 Semiconductor manufacturing device, and method of heating wafer in semiconductor manufacturing device TW478017B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP26408599A JP2001102321A (ja) 1999-09-17 1999-09-17 半導体製造装置における基板加熱方法及び半導体製造装置

Publications (1)

Publication Number Publication Date
TW478017B true TW478017B (en) 2002-03-01

Family

ID=17398315

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089118877A TW478017B (en) 1999-09-17 2000-09-14 Semiconductor manufacturing device, and method of heating wafer in semiconductor manufacturing device

Country Status (5)

Country Link
EP (1) EP1143495A1 (zh)
JP (1) JP2001102321A (zh)
KR (1) KR20010075719A (zh)
TW (1) TW478017B (zh)
WO (1) WO2001022483A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152920A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置の製造方法及び半導体製造工程の管理方法
JP2006093218A (ja) * 2004-09-21 2006-04-06 Sharp Corp ランプ加熱装置および半導体装置の製造方法
JP2010199411A (ja) * 2009-02-26 2010-09-09 Covalent Materials Corp シリコンウェーハの熱処理方法
JP5083252B2 (ja) * 2009-03-13 2012-11-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5427636B2 (ja) * 2010-02-17 2014-02-26 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハの熱処理方法
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
JP6811638B2 (ja) * 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6417424A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Cvd device
JPH0782997B2 (ja) * 1988-03-29 1995-09-06 信越半導体株式会社 半導体ウェーハの製造方法
JPH03214723A (ja) * 1990-01-19 1991-09-19 Nec Corp プラズマcvd装置
JP2961224B2 (ja) * 1990-07-04 1999-10-12 東京エレクトロン株式会社 薄膜形成方法
JP2751666B2 (ja) * 1991-05-21 1998-05-18 日本電気株式会社 半導体ウェハース熱酸化拡散システム
JP3365663B2 (ja) * 1993-12-17 2003-01-14 東京エレクトロン株式会社 半導体製造装置及び該装置を用いた冷却ガス導入排気方法
FR2734284B1 (fr) * 1995-05-19 1997-06-13 Commissariat Energie Atomique Dispositif de traitement chimique superficiel d'un echantillon plat au moyen d'un gaz actif
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JP3515351B2 (ja) * 1998-01-08 2004-04-05 株式会社東芝 半導体装置の製造方法
JP3917282B2 (ja) * 1998-01-23 2007-05-23 松下電器産業株式会社 半導体基板表面の絶縁膜の形成方法
DE19847101C1 (de) * 1998-10-13 2000-05-18 Wacker Siltronic Halbleitermat CVD-Reaktor und Verfahren zur Herstellung einer mit einer epitaktischen Schicht versehenen Halbleiterscheibe

Also Published As

Publication number Publication date
WO2001022483A1 (fr) 2001-03-29
JP2001102321A (ja) 2001-04-13
KR20010075719A (ko) 2001-08-09
EP1143495A1 (en) 2001-10-10

Similar Documents

Publication Publication Date Title
KR100267580B1 (ko) 반도체장치 제조장치 및 로드로크실,및 이 반도체장치 제조장치를 사용한 반도체장치의 제조방법
JP6270575B2 (ja) 反応管、基板処理装置及び半導体装置の製造方法
US9305817B2 (en) Method for purging a substrate container
US20050282365A1 (en) Film formation apparatus and method for semiconductor process
TW478017B (en) Semiconductor manufacturing device, and method of heating wafer in semiconductor manufacturing device
KR100700680B1 (ko) 밀폐 컨테이너, 보관 장치 및 전자 부품 반송 시스템, 및전자 부품의 보관 및 반송 방법
JP5902073B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
EP0928014A2 (en) An apparatus for manufacturing a semiconductor material
JP2010239115A (ja) 基板処理装置
US20040163762A1 (en) Plasma treating device and substrate mounting table
JPH0629229A (ja) 減圧処理装置および減圧処理方法
JP2009218600A (ja) 半導体装置の製造方法
JP2014067796A5 (zh)
JP2015185824A (ja) 状態検出装置、基板処理装置、状態検出方法及び半導体装置の製造方法
US8029874B2 (en) Plasma processing apparatus and method for venting the same to atmosphere
US20170241017A1 (en) Substrate treating apparatus and substrate treating method
JP2011216784A (ja) 半導体装置の製造方法及び基板処理装置
US9163327B2 (en) Silicon wafer and a silicon epitaxial wafer having a polycrystal silicon layer formed on a major surface including boron concentration of the polycrystal silicon layer being 1×1015 atom/cm3 or less
WO2007037233A1 (ja) 基板処理装置
JPH1187480A (ja) 被吸着物の吸着状態モニター方法及び真空装置
JP2016157725A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5570915B2 (ja) 基板処理装置、半導体装置の製造方法および断線検知プログラム
JP2004281832A (ja) 半導体製造装置内での半導体基板搬送方法および半導体製造装置
JP2003077851A (ja) 熱処理方法及び装置
JP6817911B2 (ja) ウエハボート支持部、熱処理装置及び熱処理装置のクリーニング方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees