TW414813B - Deposition of copper with increased adhesion - Google Patents

Deposition of copper with increased adhesion Download PDF

Info

Publication number
TW414813B
TW414813B TW088102874A TW88102874A TW414813B TW 414813 B TW414813 B TW 414813B TW 088102874 A TW088102874 A TW 088102874A TW 88102874 A TW88102874 A TW 88102874A TW 414813 B TW414813 B TW 414813B
Authority
TW
Taiwan
Prior art keywords
copper
scope
item
patent application
layer
Prior art date
Application number
TW088102874A
Other languages
English (en)
Inventor
Zheng Bo
Ling Chen
Alfred Mak
Mei Chang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW414813B publication Critical patent/TW414813B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic Table
    • C07F1/08Copper compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

414813 五、 發明説明( A7 B7 經濟部智毪財4¾員工消費合作社印賢 t明領域: 本發明關於製造積體電路之領域。 '現在,鋁已經廣泛地使用於積體電路之中’作為一内 '線例如插塞及接線。然而,更高元件密度,更快操作 頻率’及更大晶粒尺寸已經增h 了對具有較銘為低之電阻 率金屬之需求,以使用作為内連線結構中。銅之低電阻率 使得其作為替代鋁之最佳候選。 使用銅替代鋁之一挑戰是鋼乾蝕現在並不可行。例如 化學機械研磨之研磨處理係被使用以去除銅沉積層之不 想要部份。使用化學機械研磨之需求呈現了-挑戰,因為 銅1對現行於銅底下用以作為擴散阻障層之材料,具有較差 之附著能力。對於沉積於擴散阻障層上之銅之研磨因此可 能造成部份之銅不想要地被剌離開擴散阻障層之表面。這 使得積體電路成劣品。 當沉積銅時,吾人想要使用相對於物理氣相沉積之化 學氣相沉積(CVD),因為CVD提供了更保角層之銅層。然 而’銅之化學氣相沉積代表了另—挑戰。該挑戢係由於當 鋼沉積時所產生之副產物。 於一例子中,銅之化學氣相沉積係藉由使用被稱為卡 巴捨力(Cupraselect)之前驅物加以完成,其具有公式為 Cu(hfac)L。L代表路易氏基化合物,例如三甲基矽烷乙缔 脂(VTMS) 〇 hfac代表六氟乙埽丙嗣及Cu代表铜。於使用 第4頁 本紙張尺度適用中國國家標準(CNS ) ,\4说格(210 -<297公發) ---- ----Λ..---^----ix------•線 (請先ί、背面之注意事項再填寫本頁) 414813 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(
Cu(hfac)前驅物作為CVD時,前驅物係被、;气化並被流入一 含晶圓之沉積室中。於該室中’前驅物係以熟能滲入於晶 圓表面’及造成以下之反應式: 2Cu(hfac)L—Cu十Cu(hfac)2 + 2L (反應弋 ι) 所得之鋼(C11)沉積於晶圓之上表面,以及,有 Cu(hfaCh副產物。氣體路易氏基副產物(2l)係由室中排 除。副產物及其他於晶圓表面上之污染物之出現,降低了 銅對下擴散阻障層,例如氮化担之附著力。 為了改反鋪對下層擴散阻障層之附著力,用以沉積銅 之製程係被分成兩步驟。於第一步驟中, 物理氣相沉積 (PVD)係被執行,以沉積銅之晶種層3於pVD中,一銅纪 係被置於基板上’其上係被沉積以飼。—氣氣係被引入於 銅乾及基板間之環境中。氬氣然後被經由射頻(rf)信號之 使用而被激勵,以創造出含離子之電漿》 來自電漿之離子碰撞銅靶,藉以分解鋼之粒子,而沉 積於基板上。這些銅粒子係大致被離子化並因此為高能。 此高能鋼離子能良好附著至阻障層。基板係被偏壓,使得 一電壓梯度被形成於靶及基板之間,藉以造成銅離子加速 該梯度並轟擊該基板。轟擊之結果,銅粒子強烈地附著至 基板之表面。第二,此PVD製程提供了於銅晶種層及阻 障層間之乾淨界面。 一旦銅之晶種層使用P V D而沉積,則一主材質銅層 係被沉積。主材質層係藉由標準化學氣相沉積或電鍍加以 沉積。銅之主材質層相當良好地附著至铜晶種層。 第5頁 本紙張尺度適用中國國家榡準(CNS ) Λ4規格(2丨OX297公漦) ---- ----,,---^----,訂------^ * (請先閱讀背面之注意事項苒填寫本頁) 414813 A7 一 B7 五、發明説明() 然而’ PVD製程之使用造成了較差之階梯覆蓋,這對 於小特性之裝置是不可接受的。再者,PVD製程不能於化 學氣相沉積室或電鍵之同一室中完成。由於需要一 PVD 室及不是CVD就是電鍍室’而增加了積體電路製造成本。 因此,吾人想要提供保角化學氣相沉積鋼至擴散阻障 層上,使得於銅及下層擴散阻障層間之附著力得以改良。 吾人同時也希望此一沉積可以被進行於單一室中(原處)。 吾人更想要於銅沉積時,降低污染副產物之產生,使得沉 積可以以較少量之前驅物及更快進行。 月概要·‘ 依據本發明’一層例如銅之材料層係被以具有改良附 之方式开)成於晶圓表面上。於形成銅層時,一鋪晶種 首先形成於晶圓之表面上。一旦晶種層被沉積,則銅 以離子轟擊(退火),以改良銅對晶圓表面之附著力。 更明白地說,於惰性氣體之電漿中之離子轟擊銅’以 附著力,這造成铜被”安裝”於晶圓之表面上。這安裝 了銅對晶圓表面之附著力。另外,此轟擊平坦化了銅 而改良了電荷之遷移率。該電漿係被產生於使用惰 體’例如氬之本發明之實施例之中。或者,氫可以配 性氣體使用,以產生轟擊銅之電漿,及提供污染物排 气之加入提供了對於例如碳,氧,氟等之銅沉積副產 去除’藉以加強銅對阻障層之附著力3 ----- ------^---^----訂 — -----線 (請先閱讀背面之注意事項再填荇本頁) 著力 層係 係被 改良 増知 晶fe 性氣 合惰 除。 物之 經濟部智慧財產笱員工消費合作社印製 本纸張尺度 第6頁 (CNS ) A4規格(2丨0X297公趁 414813 A7 B7 五、發明説明() 圖式簡單說明: 本發明之其他細節係以附圖加以解釋,圖中: 第1圖為一執行依據本發明之沉積銅層之操作順序。 第2(a)-2(d)圖為一依據本發明之銅層之沉積。 第3圖例示出依據本發明之沉積銅之沉積室= 第4圖為一控制系統,其用以依據本發明控制沉積室,該 室係用以依據本發明沉積銅。 圖號對照說明: 一請先閱讀背面之注意事項再填ϊκ:本頁) 經濟部智慧財產笱8工消費合作社印製 110 基 板 111 絕 緣 層 1 12 擴 散 阻 障 層 113 晶 種 層 1 14 電 漿 115 最 終 銅 層 1 16 通 孔 120 CVD 系 統 12 1 氣 體 面 板 122 液 體 面 板 126 信 號 源 127 混 合 方 塊 128 蒸 發 器 129 喷 氣 頭 130 晶 圓 支 撐 13 1 壁 面 132 絕 緣 器 135 壓 力 控 制 單 元 137 處 理 室 140 晶 圓 200 控 制 單 元 205 處 理 機 單 元 2 1 0 記 憶 體 220 大 量 儲存 裝 置 230 加 熱 元 件 250 顯 示 單 元 270 輸 入 控 制 早疋 第7肓 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2ΐϋΧ297公釐) 經濟部智惡財產笱員工"費合作社印製 414813 A7 _ B7 五、發明説明() 發明詳細說明: 第1圖例示出依據本發明沉積銅於晶圓表面之操作順 序。首先,於步驟100中,一晶種層同係沉積於晶圓之上 表面'晶種銅廣係使用化學氣相沉積法加以沉積,並可以 沉積為連續或不連續銅層。依據本發明,Cu(hfac)L前驅 物係被使用於晶種層之沉積中。然而,其他銅前驅物配合 上還原W]可以用以形成晶種層,例如Cu + 2(hfac)L前驅物 及氫還原劑。 一旦晶種铜層被沉積,則於步驟1 〇 1中,晶種層係被 以電漿處理。電漿係藉由施加RF能量至一或多數氣體而 產生。於本發明之一實施例中’電漿氣體只包含單一氣 體,例如氬,氪或氙。於本發明之另一實施例中,氣體混 合物包含多數氣體,例如氬及氫,氪及氫或氙及氣之組 合。 於電漿處理時’已經沉積有銅之基板需要一偏壓。偏 壓導致於電漿中之離子被加速向基板。這些離子衝擊銅曰曰 種膚’藉以使得銅附著至基板之表面,即銅晶粒變成M安 裝"於基板上,同時平坦化晶粒,以改良電荷之遷移率。 這加強了銅晶種層之附著至基板。同時,若氫離子呈現於 電漿中,其組合前驅物污染副產物並被由室中除去。 一旦電漿處理被完成於步騾101中,則—主材質之鋼 沉積係被執行於步驟1 02中。於主材質沉積時,所沉積之 銅係被沉積於電漿處理過銅上,以形成具有想要厚度及對 晶圓表面有改良附著力之銅層。主材質沉積係使用—化爆 第8頁 尺度辟鮮(CNS i Λ4祕(公廣) — - ---T---^----裝------訂------線 〈請先聞讀背面之注意事項再填^:^頁) 414813 at _ _ -__Β7 五、發明説明() 氣相沉積以Cu(hfac)L或其他主材質銅沉積處理加以完 成。 於本發明之一實施例中,銅晶種層沉積,電漿處理及 主材質沉積係被完成於單一室中,該室係能執行化學氣相 沉積及電衆處理。因此,銅層係被以原處加以完全形成3 於本發明之其他實施例中,化學氣相沉積及電漿處理係被 執行於不同室中。 弟2(a)-2(d)圖例示依據本發明之積體電路之銅層之 形成。第2(a)圖示出一已經形成(被蝕刻)於絕緣材料(例如 二氧化碎)層1 1 1中之通孔1 1 6。絕緣材料層1 11重疊於基 板110上’其係能電氣耦合至積體電路中之其他元件。基 板11 〇係藉由一内連線結構被連接至其他元件,該内連線 結構將被形成在通孔11 6之中》 絕緣層111之材料之上表面及於通孔116園周内之基 板11 〇之上表面係被一擴散阻障層π 2所覆蓋。擴散阻障 層π 2係被用以禁止内連線結構金屬擴散進入基板1丨〇。 於本發明之一實施例中,内連線結構金屬是銅,及擴散阻 障層是一耐火金屬或耐火金屬氮化物。例如,耐火金屬氮 化物較佳為氮化钽,但也可以是氮化鈦’鈕,氮化鶴或其 他合適以作為於金屬(例如铜)及基板110間之擴散阻障層 之材料。 第2(b)圖例示一铜晶種層1 1 3之沉積,其係可以用於 一内連線結構中。銅晶種層11 3係使用化學氣相沉積法沉 積於擴散阻障層112之上表面。於本發明之一實施例中, __ 第θ貫 本紙張尺度適用標準Tcns ) Λ4規格(~— A請先閱讀背面之注意事0再填均本頁 .装· 線 經濟部智慧財產笱33>'工消費合作社印t 414813 A7 B7 五、發明説明() 如於第2(b)圖所示,銅晶種層1 13係被沉積為不連續,即 於沉積區域間有間隙。於另一實施例中(未示出),銅晶種 層11 3係被連績沉積’至範囷1 〇埃至3 〇〇埃之厚度。晶 種層之厚度取決於用以處理晶種層之電漿處理參數。因 此,沉積之厚度應配合所選擇之處理參數。 晶種層1 Π之化學氣相沉積係較佳使用Cu(hfac)L前 盤物加以完成,L為VTMS。液體Cu(hfac)L係被汽化, 並流入含擴散阻障層11 2之環境中。前驅物之汽化可以藉 由”經前驅物而11發泡”氮或氫加以完成。汽化前驅物係被以 約〇 1至1 seem之流速提供至該環境。該環境係被控制, 使得其具有於範圍〇_5毫拕耳至丨.5毫托耳之壓力,及基 板係於1 5 0 °C至2 5 0 °C之溫度範圍中。沉積處理係被執行 由3 0秒至5分鐘範園内之時間段中’這是取決於晶種層 之想要厚度。晶種層可以使用其他銅前驅物,例如
Cu + 2(hfach及氫還原劑加以化學沉積。廣泛地說,任何形 式之銅沉積係被考慮於本發明之範圍中。 一旦晶種銅層1 1 3被沉積,則其被以—電漿丨1 4所處 理’如於第2(c)圖所示。依據本發明’電漿1 1 4係被藉由 提供能量至一或多數氣體’即一氣體混合物中加以形成, 該氣體混合物包含一惰性氣體,具有一原子質量類似於銅 \ 之原子質量。此氣體包含氬,氙及氪。於本發明之—實施 例中,氣體混合物包含氬。 當風被使用時’一風氣係被以100至500sccm之流速 範圍流入含晶種銅層11 3之環境中。氬氣體係藉由將其灌 %紙張尺度適用;國國家標準(〔:^)..\4規格(2丨0乂29了公楚) 請先閱讀背面之注意事項再填寫太頁) 訂 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印" 414813 A7 ^^ ___B7 五、發明説明() 入以來自圍100kHZ-20MHZ之RF信號之能量,而被轉換 為電漿,其中13.56MHZ使用100瓦至2〇〇〇瓦範圍之RF 功率位準已經被發現產生足夠處理結果。一般說來,施加 至電漿之功率愈尚’則晶種層之處理愈好。所得電漿丨玉4 係被維持範圍1 0至6 0秒之時間段。 當執行電漿處理時’晶種銅層1 1 3之環境係被控制, 使得壓力是於範圍0.1至1.5托耳,及基板110之溫度係 被設定於150至250°C之範圍中。 當電漿1 1 4被形成時,氬變成離子化。所得氬離子加 速向晶種鋼層並衝擊向銅層Π3。來自離子之衝擊使得被 衝擊之銅層U4具有對擴散阻障層Π2之改良結合。銅材 料之此”安裝"於擴散阻障層11 2改良了銅晶種層11 3對擴 散阻障層1 1 2上之附著力。 於本發明之另一實施例中,電漿Π 4係被由_氣體混 合物中形成’該混合物係氫與惰性氣體’例如氬,氪或氙 之混合物°當氬被使用時,氬對氫之比例是於範圍1:1 至;3 : 1之範圍中。電漿係由氬及氫之氣體混合物所形成, 這係藉由以相同於形成只有氬之電漿之方式,提供能量至 氣體中。 如上所述’使用Cu(hfac)L前驅物之銅之化學氣相沉 t 積法造成了銅沉積時,與:¾染(hfac)副產物之產生。污染 副產物負面影響了銅113之附著裘擴散阻障層112上。氬 之加至電漿Π4中造成了(hfac)副產物之一部份,及其他 污染物,例如氟,氧,及/或碳之減少。 ______第11 頁__________ ^紙張尺度適用中國國家標準(CNS ) Λ4規樁(210X 297公釐) ----_---;----農------訂------線 - - {請先閱讀背面之注意事項再填衿本買〕 經濟部智惡財產苟具工消費合作社印契 414813 A 7 B7 五、發明説明() 當氬-氫電漿1 14被使用時’氬離子如上述地衝擊銅 11 3,及氫依據下式组合(hfac)副產物: H2 + (hfac).....2H(hfac) (反應式 2) 2H(hfac)是反應之氣體副產物,並被由晶種層丨丨3被 沉積之環境中排出。因為使用氬氫電漿1 1 4之結果,所以, 晶種層1 1 3之附著至擴散阻障層1 1 2係被兩機制所改良。 第一機制是以氬離子爲擊销而改良於_及擴散阻障層1 ^ 2 問之結合。第二機制是將結合氫(hfac)污染副產物及/或其 他污染物之消除,並被由該等室中除去。 於本發明之其他實施例中’電漿1 1 4可以被變化,同 時完成於銅晶種層1 1 3及下層擴散阻障層11 2間之附著 力。例如’其他惰性氣體,例如氪及氙可以替代於電漿1 i 4 中之氬。這些替換可以在使用氫或不使用氫之情形下加以 完成。再者’電漿1 1 4可以只以氳構成。於此一實施例中, 於銅晶種層1 1 3及擴散阻障層1 1 2間之改良附著力,係由 (hfac)副產物及其他可能干擾銅結合之污染物之消除而完 成。 一旦晶種銅層1 1 3係被以電漿11 4處理,一主材質沉 積銅係被執行以形成具有想要厚度之最終銅層115。如於 第2(d)圖所示,新沉積之銅係被使用化學氣相沉積加以沉 積,並與铜1丨3晶種層一起出現(成長),以形成最終之銅 層Π 5。於本發明之較佳實施例中,主材質CVD铜層1 J 5 係參考第2(b)圖,使用Cu(hfac)L前驅物加以形成;然而, 其他主材質沉積處理可以使用,例如CU + 2(hfac)2與氫還 _ 第12頁 本紙蒗尺度適用中國3家標準(CNS ) A4規格(210X 297公釐) ---^---;----裴,—-----1T------,線 > (請先閱讀背面之注意事項再填鸿本頁) 414813 A7 B7 五、 經濟部智慧財凌局資'工消費合作钍印說 發明説明( 原劑。 主材質銅之沉積係被完成直到銅之最終層ii5具有 100-埃至1微米之厚度為止。因為新沉積銅是沉積於對下 層擴散阻障層112具有改反附著力之晶種層113之上所 以最終㈣U5對擴散阻障I 112之附著力同時也被改 良,於研磨時,銅較不會被由擴散阻 第3圖例一物,其可以使用以J:依據本 發明之铜層。該室係為由美國加州聖塔卡拉之應用材料公 司所製造之模型WxZ室,其已經被修改以執;依據本^ 明之銅沉積。系統120包含一處理室137,其中執行銅沉 積及電衆處理。包含於處理室丨37中的是一晶圓支撐 Π 0 ’用以支持晶圓及一噴氣頭1 29,用以使反應氣體流入 處理室1 3 7中。 處理至1 3 7係被一組壁面1 3 1所定義,並被絕緣器1 3 2 所電氣及熱阻隔開晶圓支撐130及噴氣頭129。為了提供 熱能,晶圓支撐1 3 0包含一阻抗線圈(未示出),其提供熱 至晶圓支撐面上。為了提供形成電漿:之能量,喷氣頭129 係連接至一信號源1 26,其提供具有頻率範園丨ookHz至 20MHz之信號。處理室壁131及晶圓支撐130均係接地。 一壓力控制單元1 3 5,例如真空泵,係連接至處理室 137,用以設定於處理室137中之壓力。壓力控制單元135 同時提供以由處理室排除反應副產物。 為了提供反應物至處理室137’系統120同時包含一 混合方塊127,蒸發器128,氣體面板121,及液體面板 第13頁 本紙展尺度適用中國國家標隼(CNS ) Λ4规格(2U)X297公t ) --------.------ic------IT------ I * t請先閱讀背面之注意事項再填"木頁) 經濟部智慧財麦苟員工"費合作钍印製 414B13 A? B7 五、發明説明() 122。氣體面板121提供氣體反應物並連接至蒸發器128 與混合方塊1 27。液體面板1 22提供液體反應物並連接至 蒸發器128。 蒸發器128提供用以轉換液體反應物成為氣體反應 物。當一液體反應物被使用時,液體面板122提供液體反 應物至蒸發器128 ’蒸發器128蒸發液體並使用一惰性稀 釋氣體,例如氦,氫,氮或氬作為載氣。或者,蒸發器可 以經由汽化產生氣體反應物。當氣體及液體反應物被使用 時’氣體面121提供氣體反應物給蒸發器128,及液體面 板1 2 2提供液體反應物給蒸發器丨2 8。蒸發器然後提供這 些反應物I组合與汽化。混合方塊i 2 7係連接,以由氣體 面板121及義發器128傳送氣體反應物至喷氣頭ι29。 銅層之形成係被原處執行於如第3圖中所示之單一處 理系統中》—包含上表面沉積有銅之晶圓丨4〇係被放置於 處理室137之晶圓支撐13〇上,支撐係離開喷氣頭129約 」:>〇密耳。^本發明之—實施例中,晶圓i4Q之上表面是 擴散阻障^其係藉由耐火金屬氮化物,例如氮化鈦, 亂化鈕,或氮化鎢形成。晶圓(基板)係然後被如上所處理。 上述用以形成銅層之處理步驟(第2(a)至2(d)圖)可以 幸’亍於系光中該系统係由處理機為主控制單元所控 制。第 4 圖出一 Jife ^|j 62 —— 控制革兀2 Ο Ο ’其可以用於此一設備中。 控制單元包含-處理機單元2〇5,一記憶體21〇,—大量 儲存裝jl 220 ~輸入控制單元,及一顯示單元, 其均是連接至一控制單元匯流排225。 ----*---:---I 次------訂 I 1 1 線 > - -請先閱讀背面之注意事項再填寫本頁) 本紙ft尺度it财關^:鮮 第14頁 (2!CU'297公釐 敏濟部智慧財產局S工消費合作社印製 414813 A7 --- B7 五、發明説明() 處理機單元205不是一微處理機就是其他引擎,其係 能執行儲存於一記憶體中之指令者。記憶體2 1 0可以包含 硬碟機’隨機存取記憶體(RAM),唯讀記憶體(ROM),RAM 及ROM之组合’或者其他處理機可讀取儲存媒體。記憶 體210包含處理機單元2〇5執行以完成上述處理步驟效能 之指令。於記憶體2〗〇中之指令係以程式碼之形式。程式 碼可以配合若干不同程式語言之一種。例如,程式碼可以 被寫成C+,C + +,培基,巴斯卡,或其他語言。 大量儲存裝置2 2 0儲存資料及指令,並由一例如磁碟 機或磁帶之處理機可讀取儲存媒體中取回資料及程式碼 指令。例如,大量儲存裝置22〇可以是一硬碟機,軟碟機, 磁帶機’或光碟機。大量儲存裝置22〇反應於其由處理機 單兀205所接收之指示’而儲存並取回指令。由大量儲存 裝置220所儲存及取回之資料及程式碼指令係被處理機單 元2 0 5所使用,以執行上述之步驟。資料及程式碼指令係 首先被大量儲存裝置2 20所由媒體取回,然後,被傳送給 記憶體2 1 0 ’為處理機單元2 〇 5所使用。 顯示單元250在處理機單元205之控制下,以圖像顯 示及數目文字之形式,提供資訊給一室操作者。輸入控制 單元270連接一資料輸入裝置,例如一鍵盤,滑鼠或光筆, 以控制單元200,用以接收室操作者之輸入。 控制單元匯流排225用以傳送資料及控制信號於這些 連接至控制單元匯流排2 2 5之裝置之間。雖然,控制單元 匯流排係被顯示為單匯流排,其直接連接裝置於控制單元 ______第15百_ 本紙乐尺度適用中國國家標準(CN’S ) Λ·4規格(210X 297公犛) ' ~ ----;---:---"------訂--------線 > - Γ請先閲讀背面之注意事項再填寫本頁〕 414813 A7 B7 五、發明説明( 200中’但是該控制單元匯流排225可以是匯流排之集 合。例如’顯示單元250,輸入控制單元27〇,及大量儲 存裝置220可以連接至輸入_輸出週邊匯流排’而處理機 單元205及記憶體2 1 〇可以連接至一區域處理機匯流排。 區域處理機匯流排及輸入-輸出週邊匯流排係連接在一 起’以形成控制單元匯流排2 2 5。 控制單元220係連接至於第3圖中之室之各元件’其 係用以依據本發明形成一鋼層。這些元件均連接至控制單 元匯流排225,以使控制單元22〇及元件間之通訊容易。 這些元件包含以下:氣體面板i2i,液體面板122 ’一加 熱元件230,例如阻抗線圈(未示出)於晶圓支撐中,壓力 控制單兀1 3 5 ’信號源126,蒸發器】2 8及混合方塊! 27。 控制單元200提供信號給室元件’這使得元件執行上述之 操作,以用於用以形成銅層之處理步驟中。 於操作中,處理單元205反應於來自記憶體210取回 (式碼指令’而指示室元件之操作。反應於這些指令, 至兀*件係被指示以執行上述參考第丨圖之處理步驟。 一旦晶圓被故於處理室中,一晶種銅層係於步驟100 中仉積晶圓上(第i圖)。& 了於步驟⑽中執行沉積,處 理機單元205執行由記憶體21〇取回之指令。這些指令之 執行造成了室元件被操作以沉積_層材料於—基板上,如 上述參考第2(b)圖所描述。 旦阳種鋼層被沉積時’由記憶體2丨〇取回之指令指 示處理機單it 2〇5,以使得室m之元件於步驟1〇2中執 請先閱讀背面之注意事項再填寫本頁 .装. 訂 經濟部智慧財產苟53:工消費合作社印製
414813 A7 B7 五、發明説明() 行電漿處理。這些指令之執行造成了室之元件 120被操 作,以電漿來處理沉積銅,如同上述參考第2(c)圖所述者。 一旦電漿處理完成時,由記憶體2 1 0所取回之指令指 示處理機單元205,以使得室1 20之元件執行主材質銅沉 積於步驟1 0 2中。這些指令之執行造成室1 2 0之元件中被 操作,以執行一主材質沉積,以如上述參考第2(d)圖所述 地處理銅。 雖然本發明已經以特定例示性實施例加以說明,但可 以了解的是各種修改及替換,可以在不脫離由以下之申請 專利範圍所指定之本發明之精神及範圍下,為熟習於本技 藝者所完成。 (請先閱讀背面之注意事項再填寫本頁 装 訂 線 經濟部智慧財產局員工消費合作社印製 第17頁 本紙乐尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐)

Claims (1)

  1. 3 '上 8 4 ".丄 4 ABC0 申1青幸利範圍 1 · 一種用以改良銅附著至基板之方法,至少包含下列步 驟: (a) 沉積銅於基板上;及 (b) 經由離子轟擊,而處理銅,以使得銅附著至基板 之上表面。 2 .如申請專利範圍第1項所述之方法,其中上述之步驟(b) 包含步騾: 形成一電漿,其中電漿包含離子,其轟擊銅。 3. 如申請專利範圍第1項所述之方法,其中上述之銅是沉 積於一連續層中。 4. 如申請專利範圍第1項所述之方法,其中上述之銅是沉 積於一不連績層中。 5. 如申請專利範圍第1項所述之方法,其中上述之基板包 含一擴散阻障層。 6. 如申請專利範圍第5項所述之方法,其中上述之擴散阻 障層包含一耐火金屬或耐火金屬氮化物。 7. 如申請專利範圍第6項所述之方法,其中上述之擴散阻 障層是一材料,其係由包含輕,氮化鈥,氮化纽及氮化 第18頁 本紙法尺度適用中國國家橾準(CNS ) A4規格(210乂297公釐) ---,---..----^------訂--------線 - f ~請先閲讀背面之注意事"再填芎衣頁) 經濟部中央標準局員工消費合作社印製 ABCD 414813 六、申請專利範圍 鎢之群組中選出。 (請先閱讀背面之注意事項再填窝·"頁) 8. 如申請專利範圍第2項所述之方法,其中上述之電漿係 使用一惰性氣體形成。 9. 如申請專利範圍第8項所述之方法,其中上述之惰性氣 體具有一原子質量接近配合銅之原子質量。 1 0.如中請專利範圍第9項所述之方法,其中上述之惰性氣 體是一或多數氣體,其係由包含氬,氪及氙之群組中選 出。 1 1.如申請專利範圍第8項所述之方法,其中上述之惰性氣 體係組合以氫。 1 2.如申請專利範圍第1項所述之方法,更包含步驟: (c)沉積銅於步驟(a)中所沉積並處理於步驟(b)之銅 上。 經濟部中央標準局員工消費合作社印製 1 3 .如申請專利範圍第1 2項所述之方法,其中上述之沉積 1 於步騾(C)中之銅組合以沉積於步驟(a)之銅,以形成一連 續之銅層。 1 4 .如申請專利範圍第1 3項所述之方法*其中上述之步驟 第19頁 本紙張尺度適用中國國家橾準(CNS > A4規格(210X297公嫠) 414813 ABCD 經濟部中央標準局員工消費合作.社印製 六、申請專利範圍 (a),(b),(c)均執行於一處理室中,及晶圓並未由該處 理室中移開,直到步驟(a),(b)及(c)完成為止。 1 5.如申請專利範圍第1項所述之方法,其中上述之步驟(a) 是使用化學氣相沉積法加以執行。 1 6.如申請專利範圍第1 5 J員所述之方法,其中上述之化學 氣相沉積法係使用Cu(hfac)L化學化合物加以完成。 17.—種用以於晶圓上之材料層上形成一銅層之方法,該方 法至少包含下列步驟: (a) 放置晶圓於一處理室中; (b) 當晶圓於處理室之同時,化學氣相沉積銅於該材 料層上;及 (c) 當晶圓於處理室之同時,處理銅,使得銅附著至 材料層之上表面。 1 8 ·如申請專利範圍第1 7項所述之方法,其中上述之步騾 (c)包含步驟: 形成一電漿,其中該電漿包含衝擊該銅之離子。 1 9.如申專利範圍第1 7項所述之方法,其中上述之材料層 是一擴散阻障層。 第20頁 本紙張尺度適用中國國家標準(CNS ) A4规格(UOX297公釐) i- _二 I -女.尺〆 — -I I pup— - 1 - I ^1^11 - -I -丨 _(請先閱讀背面之注意事項再填巧本頁) 4' j_4⑽ A8 B8 C8 D8 經濟部中央標隼局員工消費合作社印製 ττ、申請專利範圍 20.如申專利範圍第1 7項所述之方法,其中上述之電漿係 使用包含惰性氣體之氣體混合物形成。 2 1.如申專利範圍第20項所述之方法,其中上述之惰性氣 體具有接近配合於銅原子質量之原子質量。 2 2 .如申專利範圍第2 0項所述之方法,其中上述之惰性氣 體是一氣體,其係由包含氬,氪及氙群組之中選出。 23 .如申專利範圍第20項所述之方法,其中上述之氣體混 合物包含至少一氣體,其係由包含氬,氪,氙及氫群组 所選出。 24.如申專利範圍第1 7項所述之方法,更包含步驟: (d)當晶圊於處理室之同時,沉積銅於步驟(b)所沉積 之銅上。 25 .如申專利範圍第24項所述之方法,其中上述之步驟(d) 是使用化學氣相沉積法加以執行。 26. 如申專利範圍第25項所述之方法,其中上述之化學氣 相沉積是使用Cu(hfac)L化學化合物加以完成。 27. —種包含一程式之電腦可讀取媒體,用以於一半導體晶 第21頁 本紙張尺度適用中國國家榡準(CNS ) Λ4規格(210X2M公釐) (緣先閱讀背面之注意事巧'再"寫本頁 裝- 線 經濟部中夬標隼局員工消費合作社印製 414813 g C8 D8六、申請專利範圍 圓處理系統中,該系統包含執行化學氣相沉積之一室及 用以控制於該室内之化學氣相沉積之電腦,當該程式為 電腦所執行時,使得該半導體晶圓處理系統系統執行以 下步驟: 沉積一銅晶種層於一基板上; 供給處理氣體至該室; 激勵處理氣體成為一電漿,以離子轟擊該銅晶種 層。 2 8 .如申請專利範圍第2 7項所述之電腦可讀取媒體,更包 含一程式,其當被電腦所執行時,使得半導體晶圓處理 系統,執行以下步驟: 沉積一銅層於銅晶種層上。 2 9.如申請專利範圍第2項所述之方法,其中電漿係使用氫 形成。 3 0 .如申請專利範圍第1 8項所述之方法,其中電漿係使用 氫形成。 (請先閱讀背面之注惠事項再填穹本頁 *" 線 第22頁 本紙張尺度適用中國國家揉準(CNS ) Α·4規格(210X29?公釐)
TW088102874A 1998-02-25 1999-03-17 Deposition of copper with increased adhesion TW414813B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/030,555 US6171661B1 (en) 1998-02-25 1998-02-25 Deposition of copper with increased adhesion

Publications (1)

Publication Number Publication Date
TW414813B true TW414813B (en) 2000-12-11

Family

ID=21854775

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088102874A TW414813B (en) 1998-02-25 1999-03-17 Deposition of copper with increased adhesion

Country Status (6)

Country Link
US (2) US6171661B1 (zh)
EP (1) EP1062377A1 (zh)
JP (1) JP2002512437A (zh)
KR (1) KR20010041280A (zh)
TW (1) TW414813B (zh)
WO (1) WO1999043867A1 (zh)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6284652B1 (en) * 1998-07-01 2001-09-04 Advanced Technology Materials, Inc. Adhesion promotion method for electro-chemical copper metallization in IC applications
US6355562B1 (en) * 1998-07-01 2002-03-12 Advanced Technology Materials, Inc. Adhesion promotion method for CVD copper metallization in IC applications
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6509268B1 (en) * 2001-08-27 2003-01-21 Sharp Laboratories Of America, Inc. Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
FR2851181B1 (fr) * 2003-02-17 2006-05-26 Commissariat Energie Atomique Procede de revetement d'une surface
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6872657B2 (en) * 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060130757A1 (en) * 2004-12-22 2006-06-22 Yicheng Li Apparatus for active dispersion of precursors
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
BRPI0821436A2 (pt) * 2007-12-28 2015-06-16 3M Innovative Properties Co Filmes refletores de infravermelho para controle solar e outros usos
JP2010209410A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8816477B2 (en) * 2011-10-21 2014-08-26 SK Hynix Inc. Semiconductor package having a contamination preventing layer formed in the semiconductor chip
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9355864B2 (en) 2013-08-06 2016-05-31 Tel Nexx, Inc. Method for increasing adhesion of copper to polymeric surfaces
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256780A (en) 1978-11-02 1981-03-17 Ford Motor Company Metallization process
JPS61251588A (ja) 1985-04-26 1986-11-08 株式会社豊田中央研究所 セラミツクス複合体の製造方法
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
FR2663042B1 (fr) 1990-06-08 1994-03-04 Nitruvid Procede de realisation d'un revetement de haute adherence sur un support et notamment sur une tole d'acier, et produit ainsi obtenu.
US5096737A (en) * 1990-10-24 1992-03-17 International Business Machines Corporation Ligand stabilized +1 metal beta-diketonate coordination complexes and their use in chemical vapor deposition of metal thin films
DE4222021C2 (de) * 1992-07-04 1994-06-23 Christian Dipl Chem Terfloth Verbindungen zur Abscheidung von Kupferschichten
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5395642A (en) * 1992-10-21 1995-03-07 Solvay Deutschland Gmbh Process for depositing layers having high specific electrical conductivity
US5654245A (en) * 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5480684A (en) * 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5661115A (en) * 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
EP0776991B1 (en) 1995-12-05 2002-02-06 Applied Materials, Inc. Plasma annealing of thin films
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion

Also Published As

Publication number Publication date
JP2002512437A (ja) 2002-04-23
WO1999043867A1 (en) 1999-09-02
EP1062377A1 (en) 2000-12-27
US6355106B1 (en) 2002-03-12
KR20010041280A (ko) 2001-05-15
US6171661B1 (en) 2001-01-09

Similar Documents

Publication Publication Date Title
TW414813B (en) Deposition of copper with increased adhesion
TW463242B (en) Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber
TW490509B (en) Metal and metal silicide nitridization in a high a density, low pressure plasma reactor
TW442853B (en) Plasma annealing of substrates to improve adhesion
US6291341B1 (en) Method for PECVD deposition of selected material films
TW417249B (en) Reliability barrier integration for cu application
TW457576B (en) Method of forming a titanium film and a barrier metal film on a surface of a substrate through lamination
JP2005079254A (ja) 窒化シリコン膜の成膜方法
JP2002517901A (ja) 抵抗率の低下のための堆積膜の処理方法
KR20050112125A (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
JP2002293516A (ja) 成膜方法及び成膜装置
JPH04228572A (ja) 硬質窒化ホウ素合成法
EP1733069A1 (en) Method and apparatus for forming a metal layer
Tao et al. Ionized physical vapor deposition of titanium nitride: A global plasma model
TW200834733A (en) Semiconductor device and method for manufacturing the same
CN111463123A (zh) 蚀刻膜的方法
JPH101774A (ja) プラズマcvdによる薄膜形成方法およびプラズマcvd装置
WO2004055234A1 (ja) 成膜方法
US20040115349A1 (en) Film forming method and film forming device
JP3924183B2 (ja) プラズマcvd成膜方法
JP2002134436A (ja) プラズマ処理装置及び方法並びに基板生産物
EP4368749A1 (en) Substrate processing method and substrate processing apparatus
TW201831723A (zh) 成膜方法、硼膜、及成膜裝置
JP2001210594A (ja) 薄膜堆積装置および薄膜堆積方法
JP2002353162A (ja) 半導体装置、半導体装置の製造方法および半導体製造装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees