KR20010041280A - 흡착력이 증가된 구리 증착 - Google Patents

흡착력이 증가된 구리 증착 Download PDF

Info

Publication number
KR20010041280A
KR20010041280A KR1020007009379A KR20007009379A KR20010041280A KR 20010041280 A KR20010041280 A KR 20010041280A KR 1020007009379 A KR1020007009379 A KR 1020007009379A KR 20007009379 A KR20007009379 A KR 20007009379A KR 20010041280 A KR20010041280 A KR 20010041280A
Authority
KR
South Korea
Prior art keywords
copper
layer
plasma
deposited
vapor deposition
Prior art date
Application number
KR1020007009379A
Other languages
English (en)
Inventor
보 젱
링 첸
알프레드 마크
메이 창
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010041280A publication Critical patent/KR20010041280A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic Table
    • C07F1/08Copper compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 웨이퍼 상의 하부층으로의 구리층의 흡착을 개선시키기 위한 방법 및 장치에 관한 것이다. 구리층은 웨이퍼 상의 재료층 위에 형성되며, 구리층은 하부층으로의 흡착을 개선시키기 위해 이온으로 가격된다.

Description

흡착력이 증가된 구리 증착 {DEPOSITION OF COPPER WITH INCREASED ADHESION}
최근에는, 플러그 및 배선과 같은 상호접속부로서 알루미늄이 폭넓게 사용되고 있다. 그렇지만, 장치의 밀도의 증가, 작동 주파수의 고속화, 및 다이 크기의 증대는 상호접속 구조물로서 알루미늄 보다는 보다 낮은 저항성을 갖는 금속을 필요로 하게 되었다. 낮은 저항성을 갖는 구리가 알루미늄을 대체할 수 있는 유력한 성분으로 대두되었다.
알루미늄 대신에 구리를 적용할 때의 한가지 문제점은 구리 건조 에칭이 최근에는 유용하지 않다는 점이다. 화학적 기계적 연마와 같은 연마 공정은 구리 증착층의 바람직하지 못한 부분들을 제거하기 위해 사용된다. 구리는 구리 아래의 확산층으로써 사용되는 재료에 불량하게 흡착되기 때문에 화학적 기계적 연마를 사용할 필요가 있다. 따라서, 확산층 위에 증착된 구리의 연마는 구리의 일부가 확산층의 표면으로부터 바람직하지 못하게 벗겨지게 한다. 이는 집적 회로에 결함을 유발한다.
구리를 증착할 때, 물리 기상 증착과 대립되는 화학 기상 증착(CVD)을 적용하는 것이 바람직한데, 이는 화학 기상 증착 공정이 보다 등각의 구리층을 제공하기 때문이다. 그렇지만, 구리의 화학 기상 증착은 또다른 문제점은 구리를 증착하는 동안 부산물이 발생된다는 점이다.
일예로, 구리의 화학 기상 증착은 Cu(hfac)L의 화학식을 갖는 쿠프라셀렉트(Cupraselect)로서 공지된 전구체를 사용함으로써 달성된다. 여기서, L은 비닐트리메틸실란(VTMS)과 같은 루이스(Lewis) 기초 화합물을 나타낸다. (hfac)는 헥사플루오로아세틸아세토나토를 나타내며, Cu는 구리를 나타낸다. Cu(hfac)L 전구체를 사용하여 Cu를 화학 기상 증착하는 동안, 전구체는 기화되고 웨이퍼를 포함하는 증착 챔버 내로 유동한다. 챔버에서, 전구체는 웨이퍼 표면에서 열에너지를 가지고 주입되며, 다음과 같은 반응을 유발한다.
2 Cu(hfac)L → Cu + Cu(hfac)2+ 2L
생성된 구리(Cu)는 Cu(hfac)2와 함께 웨이퍼의 상부 표면 상에 증착된다. 기체상 루이스 기초 부산물(2L)은 챔버로부터 제거된다. 웨이퍼 표면 상의 부산물 및 다른 오염물질의 존재는 질화탄탈륨과 같은 하부 확산 배리어로의 구리의 흡착을 감소시킨다.
하부 확산 배리어로의 구리의 흡착을 개선시키기 위해, 구리 증착 공정은 두 단계로 분할되었다. 제 1단계 동안, 물리 기상 증착(PVD)은 구리의 시이드층(seed layer)을 증착하도록 수행된다. 물리 기상 증착 공정에서, 구리 타겟은 구리가 증착될 기판 위에 위치된다. 아르곤 가스가 구리 타겟과 기판 사이의 분위기 내로 도입된다. 아르곤 가스는 이후 무선 주파수(RF) 신호의 사용을 통해 여기되어서 이온 함유 플라즈마를 발생시킨다.
플라즈마로부터의 이온은 구리 타겟을 가격하며, 이에 의해 기판 상에 증착하는 구리 입자가 제거된다. 이들 구리 입자들은 일반적으로 이온화되며, 높게 활성화된다. 이러한 활성화된 구리 이온은 배리어층에 양호하게 흡착된다. 기판은 타겟과 기판 사이의 전압 구배가 형성되도록 바이어스되며, 이에 의해 구리 이온은 전압 구배를 따라 가속되며 기판을 가격한다. 이러한 가격의 결과로, 구리 입자는 기판의 표면에 강하게 흡착된다. 두 번째로, 이러한 물리 기상 증착 공정은 구리 시이드층과 배리어층 사이에 청결한 경계면을 제공한다.
구리의 시이드층이 물리 기상 증착을 사용하여 증착된다면, 벌크 구리층이 증착된다. 벌크 구리층은 표준 화학 기상 증착 또는 전기 도금에 의해 증착된다. 벌크 구리층은 구리 시이드층에 비해 비교적 양호하게 흡착된다.
그렇지만, 물리 기상 증착 공정의 사용은 작은 구조물을 갖는 장치에 대해서는 허용되지 않는 불량한 스텝 커버리지를 유발한다. 또한, 물리 기상 증착 공정은 화학 기상 증착 또는 전기 도금과 동일한 챔버에서 달성될 수 없다. 물리 기상 증착 챔버 및 화학 기상 증착 챔버 또는 전기 도금 챔버 모두를 갖추어야할 필요성은 집적 회로 제조 비용을 증가시킨다.
따라서, 구리와 하부 확산 배리어 사이의 흡착이 개선되도록 확산 배리어 상에 등각의 구리를 화학 기상 증착하는 것이 바람직하다. 또한, 이러한 증착이 단일 챔버(정위치(in situ)) 내에서 수행되는 것이 바람직하다. 추가로, 증착이 소량의 전구체로 보다 신속하게 수행될 수 있도록 구리를 증착하는 동안 오염 부산물의 형성을 감소시키는 것이 바람직하다.
본 발명은 집적 회로 제조 분야에 관한 것이다.
도 1은 본 발명에 따른 구리층을 증착하기 위해 수행되는 일련의 공정을 도시한 도면이다.
도 2a 내지 도 2d는 본 발명에 따른 구리층 증착을 도시한 도면이다.
도 3은 본 발명에 따른 구리층 증착에서 사용되는 증착 챔버를 도시한 도면이다.
도 4는 본 발명에 따라 구리를 증착하는데 사용되는 증착 챔버의 작동을 제어하기 위해 본 발명에 따라 적용된 제어 시스템을 도시한 도면이다.
본 발명에 따르면, 구리와 같은 재료층이 개선된 흡착으로 웨이퍼의 표면 상에 형성된다. 구리층을 형성할 때, 구리 시이드층은 먼저 웨이퍼의 표면 상에 증착된다. 시이드층이 증착되면, 구리는 이온으로 가격되어서 웨이퍼 표면에서의 구리의 흡착이 개선된다.
보다 상세하게, 불활성 가스의 플라즈마 내의 이온은 개선된 흡착을 제공하도록 구리를 가격하여서, 구리가 웨이퍼의 표면 상에 "고정(mount)"되도록 한다. 이러한 고정은 웨이퍼 표면으로의 구리의 흡착을 개선시킨다. 추가로, 이러한 가격은 구리 결정립을 편평하게 하여 전하 유동성을 개선시킨다. 플라즈마는 아르곤과 같은 불활성 가스를 사용하는 본 발명의 일실시예에서 발생된다. 선택적으로, 구리를 가격하고 오염물질을 제거하기 위한 플라즈마를 발생시키기 위해 수소가 불활성 가스와 함께 적용될 수도 있다. 수소의 추가는 탄소, 산소, 염소 등과 같은 구리 증착 부산물을 제거하게 위해 제공되며, 이에 의해 배리어층으로의 구리의 흡착이 강화된다.
도 1은 본 발명에 따른 웨이퍼의 표면 상에 구리를 증착하기 위한 일련의 공정을 도시하고 있다. 먼저, 단계(100)에서 구리의 시이드층은 웨이퍼의 상부 표면 상에 증착된다. 구리의 시이드층은 화학 기상 증착을 사용하여 증착되며, 구리의 연속적이거나 불연속적인 층으로써 증착될 수 있다. 본 발명에 따르면, Cu(hfac)L 전구체는 시이드층의 증착에 적용된다. 그렇지만, 환원제와 조합된 다른 구리 전구체가 시이드층, 즉 수소 환원제를 갖는 Cu(hfac)L 전구체를 형성하기 위해 사용될 수 있다.
구리 시이드층이 증착되면, 단계(101)에서, 시이드층은 플라즈마로 처리된다. 플라즈마는 하나 이상의 가스에 RF 에너지를 가함으로써 발생된다. 본 발명의 일실시예에서, 플라즈마 가스는 아르곤, 크립톤, 또는 제논과 같은 단일 가스로 구성된다. 본 발명의 선택적인 실시예에서, 가스 혼합물은 아르곤과 수소; 크립톤과 수소; 또는 제논과 수소의 조합물과 같은 다중 가스를 포함한다.
플라즈마 처리 동안, 구리가 증착된 기판은 바이어스된다. 바이어스는 플라즈마 내의 이온을 기판을 향해 가속시킨다. 이들 이온은 구리 시이드층과 충돌하고, 이에 의해 구리가 기판의 표면에 흡착하게 되는데, 즉 구리 결정립은 기판 상에 "고정"되며, 또한 전하 유동성을 개선시키기 위해 결정립을 편평하게 한다. 이는 기판으로의 구리 시이드층의 흡착을 강화한다. 또한, 수소 이온이 플라즈마 내에 존재한다면, 이들은 전구체 오염 부산물과 조합하여 챔버로부터 제거된다.
플라즈마 처리가 단계(101)에서 완료되면, 구리의 벌크 증착이 단계(102)에서 수행된다. 벌크 증착 동안 증착된 구리는 플라즈마 처리된 구리 위에 증착되어서 원하는 두께를 가지고 또한 웨이퍼 표면으로의 흡착이 개선된 구리층을 형성한다. 벌크 증착은 Cu(hfac)L을 사용한 화학 기상 증착 공정 또는 다른 벌크 구리 증착 공정을 사용하여 달성된다.
본 발명의 일실시예에서, 구리 시이드 증착, 플라즈마 처리, 및 벌크 증착은 화학 기상 증착 및 플라즈마 처리 모두를 수행할 수 있는 단일 챔버에서 달성된다. 따라서, 구리층은 완전히 정위치로 형성된다. 본 발명의 또다른 실시예에서, 화학 기상 증착 및 플라즈마 처리는 상이한 챔버에서 수행된다.
도 2a 내지 도 2d는 본 발명에 따른 집적 회로 내의 구리층의 형성을 도시하고 있다. 도 2a는 이산화실리콘과 같은 절연재료층(111) 내에 형성(즉, 에칭)된 비아(116)를 도시하고 있다. 졀연재료층(111)은 집적 회로 내의 다른 소자에 전기적으로 연결될 기판(110) 위에 놓인다. 기판(110)은 비아(116) 내에 형성될 상호접속 구조물에 의해 다른 소자에 연결된다.
절연재료층(111)의 상부 표면 및 비아(116)의 둘레 내에 놓인 기판(110)의 상부 표면 위에는 확산 배리어(112)가 증착된다. 이러한 확산 배리어(112)는 상호접속 구조물 금속이 기판(110) 내로 확산되는 것을 방지하도록 적용된다. 본 발명의 일실시예에서, 상호접속 구조물 금속은 구리이며, 확산 배리어는 내화 금속 또는 내화 금속 질화물이다. 예컨대, 내화 금속 질화물은 바람직하게는 질화탄탈륨이지만, 질화티타늄, 탄탈륨, 질화텅스텐, 또는 금속(즉, 구리)과 기판(110) 사이의 확산 배리어로써 작용하는 다른 적절한 재료일 수도 있다.
도 2b는 상호접속 구조물 내에 적용될 구리 시이드층(113)의 증착을 도시하고 있다. 구리 시이드층(113)은 화학 기상 증착을 사용하여 확산 배리어(112)의 상부 표면 상에 증착된다. 본 발명의 일실시예에서, 도 2b에 도시된 바와 같이, 구리 시이드층(113)은 불연속적으로 증착되는데, 즉 증착 영역 사이에 갭이 존재한다. 선택적인 실시예(도시되지 않음)에서, 구리 시이드층(113)은 10 내지 300Å 범위의 두께로 연속적으로 증착된다. 시이드층의 두께는 시이드층을 처리하는데 사용된 플라즈마 처리 인자에 의존한다. 따라서, 증착 두께는 선택된 처리 인자와 일치하여야 한다.
시이드층(113)의 화학 기상 증착은 바람직하게는 Cu(hfac)L 전구체를 사용하여 달성되는데, 여기서 L은 VTMS이다. 액체 Cu(hfac)L은 기화되며 확산 베이러(112)를 포함하는 분위기 내로 유동한다. 전구체의 기화는 액체 전구체를 통해 질소 또는 수소를 "버블링(bubbling)"함으로써 달성될 수 있다. 기화된 전구체는 대략 0.1 내지 1 SCCM의 유량으로 분위기로 제공된다. 이러한 분위기는 0.5 내지 1.5 mTorr의 압력을 가지며 기판의 온도가 150 내지 250℃가 되도록 제어된다. 증착 공정은 시이드층의 원하는 두께에 따라 30초 내지 5분 동안 수행된다. 시이드층은 수소 환원제를 갖는 Cu+2(hfac)2와 같은 다른 구리 전구체를 사용하여 화학적으로 증착될 수도 있다. 넓게 말하면, 구리 증착의 형태는 본 발명의 범위 내에서 고려된다.
구리 시이드층(113)이 증착되면, 도 2c에 도시된 바와 같이 구리 시이드층(113)은 플라즈마(114)로 처리된다. 본 발명에 따르면, 플라즈마(114)는 구리의 원자량과 유사한 원자량을 갖는 불활성 가스를 포함하는 하나 이상의 가스, 즉 가스 혼합물에 에너지를 제공함으로써 형성된다. 이러한 가스는 아르곤, 제논, 및 크립톤을 포함한다. 본 발명의 일실시예에서, 가스 혼합물은 아르곤으로 구성된다.
아르곤이 적용되는 경우, 아르곤 가스는 100 내지 500 sccm의 유량으로 구리 시이드층(113)을 포함하는 분위기로 유입된다. 아르곤 가스는 100kHz 내지 20MHz의 주파수를 갖는 RF 신호로부터 발생된 에너지가 가해짐으로써 플라즈마로 변형되는데, 여기서 13.56MHz는 100 내지 2000와트의 RF 전력 레벨을 사용하여 처리 결과를 얻기에 충분한 전력인 것으로 밝혀졌다. 일반적으로, 플라즈마로 인가되는 전력이 높을수록, 시이드층의 처리가 보다 양호하게 된다. 생성된 플라즈마(114)는 10 내지 60초 동안 유지된다.
플라즈마 처리를 수행할 때, 압력이 0.1 내지 1.5 Torr가 되고 기판(110)의 온도가 150 내지 250℃로 설정되도록 구리 시이드층(113)의 분위기가 제어된다.
플라즈마(114)가 형성될 때, 아르곤이 이온화된다. 아르곤의 생성 이온은 구리 시이드층(113)을 향해 가속되어 구리 시이드층(113)과 충돌한다. 이온으로부터의 충돌은 충돌된 구리 시이드층(113)이 확산 베이러(112)와 보다 양호하게 결합하도록 한다. 확산 배리어(112) 상에서의 구리재료의 이러한 "고정"은 확산 배리어(112)로의 구리 시이드층(113)의 흡착을 개선시킨다.
본 발명의 선택적인 실시예에서, 플라즈마(114)는 아르곤, 크립톤, 또는 제논과 같은 불활성 가스와 수소의 혼합물인 가스 혼합물로부터 형성된다. 아르곤이 적용될 때, 아르곤 대 수소의 비는 1 : 1 내지 3 : 1 이다. 플라즈마는 아르곤 단독으로 플라즈마를 형성할 때와 동일한 방식으로 가스에 에너지를 제공함으로써 아르곤과 수소의 가스 혼합물로부터 형성된다.
상기한 바와 같이, Cu(hfac)L을 사용한 구리의 화학 기상 증착은 (hfac) 오염 부산물과 함께 구리를 증착시킨다. 이러한 오염 부산물은 확산 배리어(112)로의 구리(113)의 흡착에 악영향을 미친다. 플라즈마(114)로의 수소의 부가는 (hfac) 부산물의 일부분을 제거할 뿐만 아니라 염소, 산소, 및/또는 탄소와 같은 다른 오염물질을 제거한다.
아르곤-수소 플라즈마(114)가 적용될 때, 상기한 바와 같이 아르곤 이온은 구리(113)와 충돌하며, 이하의 화학식에 따라 수소는 (hfac) 부산물과 혼합된다.
H2+ (hfac) → 2H(hfac)
2H(hfac)는 가스성 반응 부산물이며, 시이드층(113)이 증착되는 분위기로부터 배출된다. 아르곤-수소 플라즈마(114)를 적용함에 따라, 확산 배리어(112)로의 시이드층(113)의 흡착은 두 가지 메카니즘에 의해 개선된다. 제 1메카니즘은 구리와 확산 배리어(112) 사이의 결합을 개선시키기 위해 아르곤 이온으로 구리를 가격하는 것이다. 제 2메카니즘은 수소와 결합하고 챔버로부터 제거될 (hfac) 오염 부산물 및/또는 다른 오염물질을 제거하는 것이다.
본 발명의 선택적인 실시예에서, 구리 시이드층(113)과 하부 확산 배리어(112) 사이의 개선시키면서 플라즈마(114)는 추가로 변형될 수도 있다. 예컨대, 크립톤 및 제논과 같은 다른 불활성 가스가 플라즈마(114) 내에서 아르곤과 대체될 수 있다. 이러한 대체는 수소가 적용되든지간에 수행될 수도 있다. 추가로, 플라즈마(114)는 단지 수소로만 구성될 수도 있다. 이러한 실시예에서, 구리 결합을 방해할 수도 있는 (hfac) 부산물 및 다른 오염물질이 제거됨으로써 구리 시이드층(113)과 확산 배리어(112) 사이에 흡착이 개선될 수 있다.
구리 시이드층(113)이 플라즈마(114)로 처리되면, 구리의 벌크 증착이 원하는 두께를 갖는 최종 구리층(115)을 형성하도록 수행된다. 도 2d에 도시된 바와 같이, 새롭게 증착된 구리가 화학 기상 증착을 사용하여 증착되며, 최종 구리층(115)을 형성하도록 구리 시이드층(113)과 융합된다(시이드층 상에서 성장한다). 본 발명의 바람직한 실시예에서, 벌크 CVD 구리층(115)은 도 2b를 참조하여 전술한 바와 같이 Cu(hfac)L 전구체를 사용하여 달성되지만, 다른 벌크 증착 공정에서는 수소 환원제를 갖는 Cu+2(hfac)2와 같은 전구체가 사용될 수도 있다.
구리의 벌크 증착은 최종 구리층(115)이 1000Å 내지 1미크론의 두께를 가질 때까지 수행된다. 하부 확산 배리어(112)로의 확산을 개선시키는 새롭게 증착된 구리가 시이드층(133)의 상부 상에 증착되기 때문에, 확산 배리어(112)로의 최종 구리층(115)의 흡착이 개선된다. 개선된 흡착의 결과로, 구리는 연마 공정 동안 확산 배리어(112)로부터 바람직하지 못하게 벗겨지는 경향이 감소된다.
도 3은 본 발명에 따른 구리층을 형성하도록 적용될 수 있는 CVD 시스템(120)을 도시하고 있다. 챔버는 미국 캘리포니아 산타클라라에 소재한 "어플라이드 머티어리얼스사"로부터 제조되는 모델명 "WxZ" 챔버이며, 이는 본 발명에 따른 구리 증착을 수행하도록 개조되었다. CVD 시스템(120)은 구리 증착 및 플라즈마 처리가 수행되는 공정 챔버(137)를 포함하고 있다. 공정 챔버(137)는 웨이퍼를 지지하기 위한 웨이퍼 지지부(130) 및 공정 챔버(137) 내로 반응 가스를 유입시키기 위한 샤워헤드(129)를 포함한다.
공정 챔버(137)는 절연기(132)에 의해 웨이퍼 지지부(130) 및 샤워헤드(129)로부터 전기적 및 열적으로 절연된 한세트의 벽(131)에 의해 한정된다. 열에너지를 제공하기 위해, 웨이퍼 지지부(130)는 웨이퍼 지지부 표면에 열을 제공하는 저항성 코일(도시되지 않음)을 포함한다. 플라즈마를 형성하기 위한 에너지를 제공하기 위해, 샤워헤드(129)는 100kHz 내지 20MHz의 주파수를 갖는 신호원(126)에 연결된다. 공정 챔버벽(131) 및 웨이퍼 지지부(130)는 모두 접지되어 있다.
압력 제어 유닛(135), 즉 진공 펌프는 공정 챔버(137)에서의 압력을 세팅하기 위해 공정 챔버(137)에 연결되어 있다. 압력 제어 유닛(135)은 또한 공정 챔버로부터 반응 부산물을 제거하기 위해 제공된다.
공정 챔버(137)로 반응물을 제공하기 위해, CVD 시스템(120)은 또한 혼합기 블록(127), 기화기(128), 가스 패널(121), 및 액체 패널(122)을 포함한다. 가스 패널(121)은 가스성 반응물을 제공하며, 기화기(128) 및 혼합기 블록(127) 모두에 연결된다. 액체 패널(122)은 액체 반응물을 제공하며, 기화기(128)에 연결된다.
기화기(128)는 액체 반응물을 가스 반응물로 변환시키기 위해 제공된다. 액체 반응물이 적용될 때, 액체 패널(122)은 액체 반응물을 기화기(128)로 제공하며, 기화기(128)는 액체를 기화시키며, 캐리어 가스로서 헬륨, 수소, 질소, 또는 아르곤과 같은 불활성 희석 가스를 사용한다. 선택적으로, 기화기는 증발을 통해 가스 반응물을 생성할 수도 있다. 가스 및 액체 반응물이 모두 적용될 때, 가스 패널(121)은 기화기(128)로 가스 반응물을 제공하고, 액체 패널(122)은 기화기(128)로 액체 반응물을 제공한다. 기화기는 이후 이들 반응물들을 조합 및 기화시킨다. 혼합기 블록(127)은 가스 패널(121) 및 기화기(128)로부터 샤워헤드(129)로 가스 반응물을 통과시키기 위해 연결된다.
구리층의 형성은 도 3에 도시된 바와 같은 단일 공정 챔버의 정위치로 수행된다. 구리가 증착될 상부 표면을 갖춘 웨이퍼(140)는 웨이퍼 지지부(130) 상의 공정 챔버(137) 내에 위치되며, 샤워헤드(129)로부터 대략 350mils 정도 이격되어 있다. 본 발명의 일실시예에서, 웨이퍼(140)의 상부 표면은 질화티타늄, 질화탄탈륨, 또는 질화텅스텐과 같은 내화 금속 질화물에 의해 형성된다. 웨이퍼(기판)는 이후 상기한 바와 같이 처리된다.
구리층을 형성하기 위한 상기한 공정 단계(도 2a 내지 도 2d)는 프로세서 제어 유닛에 의해 제어되는 시스템 내에서 수행될 수 있다. 제어 유닛은 프로세서 유닛(205), 메모리(210), 대량 저장 장치(220), 입력 제어 유닛(270), 및 디스플레이 유닛(250)을 포함하는데, 이들 모두는 제어 유닛 버스(225)에 연결되어 있다.
프로세서 유닛(205)은 마이크로프로세서 또는 메모리에 저장된 명령을 수행할 수 있는 다른 엔진이다. 메모리(210)는 하드 디스크 드라이브, 랜덤 엑세스 메모리(RAM), 또는 다른 프로세서 판독가능한 저장 매체로 구성될 수 있다. 메모리(210)는 프로세서 유닛(205)이 상기한 공정 단계를 용이하게 수행할 수 있도록 하는 명령을 포함한다. 이러한 메모리(210) 내의 명령은 프로그램 코드의 형태를 갖는다. 프로그램 코드는 다수의 상이한 프로그래밍 언어 중 어느 하나에 대응할 수도 있다. 예컨대, 프로그램 코드는 C+, C++, 베이직, 파스칼, 또는 다수의 다른 언어가 사용될 수 있다.
대량 저장 장치(220)는 데이터 및 명령을 저장하고, 자기 디스크 또는 자기 테이프와 같은 프로세서 판독가능한 저장 매체로부터 데이터 및 프로그램 코드 명령을 검색한다. 예컨대, 대량 저장 장치(220)는 하드 디스크 드라이브, 플로피 디스크 드라이브, 테이프 드라이브, 또는 광학 디스크 드라이브일 수 있다. 대량 저장 장치(220)는 프로세서 유닛(205)으로부터 수용하는 지시에 대응하여 명령을 저장하고 검색한다. 대량 저장 장치(220)에 의해 저장되고 검색되는 데이터 및 프로그램 코드 명령은 상기한 공정 단계를 수행하도록 프로세서 유닛(205)에 의해 적용된다. 데이터 및 프로그램 코드 명령은 먼저 매체로부터 대량 저장 장치(220)에 의해 검색되며, 이후 프로세서 유닛(205)에 의해 사용되도록 메모리(210)로 전달된다.
디스플레이 유닛(250)은 프로세서 유닛(205)의 제어 하에서 그래픽 디스플레이 및 문자숫자식 기호의 형태로 챔버 작동자에게 정보를 제공한다. 입력 제어 유닛(270)은 챔버 작동자의 입력을 수용할 수 있도록 키보드, 마우스, 또는 라이트펜과 같은 데이터 입력 장치를 제어 유닛(200)에 연결한다.
제어 유닛 버스(225)는 제어 유닛 버스(225)에 연결된 모든 장치들 사이에 데이터 및 제어 신호를 전달하기 위해 제공된다. 비록 제어 유닛 버스가 제어 유닛(200) 내의 장치를 직접 연결시키는 신호로써 디스플레이되더라도, 제어 유닛 버스(225)는 또한 버스의 조합일 수 있다. 예컨대, 프로세서 유닛(205) 및 메모리(210)가 국부 프로세서 버스에 연결되는 동안, 디스플레이 유닛(250), 입력 제어 유닛(270), 및 대량 저장 장치(220)는 입력-출력 주변 버스로 연결될 수 있다. 국부 프로세서 버스 및 입력-출력 주변 버스는 제어 유닛 버스(225)를 형성하도록 함께 연결된다.
제어 유닛(200)은 본 발명에 따른 구리층을 형성하는데 적용되는 도 3에 도시된 소자에 연결된다. 각각의 이들 소자들은 제어 유닛(200)과 소자 사이의 통신이 용이하도록 제어 유닛 버스(225)에 연결된다. 이들 소자들은 가스 패널(121), 액체 패널(122), 웨이퍼 지지부 내의 저항성 코일(도시되지 않음)과 같은 가열 소자(230), 압력 제어 유닛(135), 신호원(126), 기화기(128), 및 혼합기 블록(127)을 포함한다. 제어 유닛(200)은 상기 소자들이 구리층을 형성하는 공정 단계를 위한 상기한 공정들을 수행할 수 있도록 챔버 소자들에 신호를 제공한다.
작동 중에, 프로세서 유닛(205)은 메모리(210)로부터 검색된 프로그램 코드 명령에 대응하여 챔버 소자의 작동을 지시한다. 이들 명령에 대응하여, 챔버 소자들은 도 1을 참조하여 전술한 공정 단계들을 수행한다.
웨이퍼가 공정 챔버 내에 위치되면, 단계(100)에서 구리 시이드층은 웨이퍼 상에 증착된다. 단계(100)에서 증착을 수행하기 위해, 프로세서 유닛(205)은 메모리(210)로부터 검색된 명령을 실행한다. 이들 명령들의 실행은 도 2b를 참조하여 상기한 바와 같이 기판 상에 재료층을 증착하도록 챔버의 소자들을 작동시킨다.
구리 시이드층이 증착되면, 메모리(210)로부터 검색된 명령은 프로세서 유닛(205)에 지령하여, 챔버(120)의 소자들이 단계(101)에서 플라즈마 처리를 수행하도록 한다. 이들 지령의 실행은 도 2c를 참조하여 전술한 바와 같이 플라즈마로 증착된 구리를 처리하도록 챔버의 소자들을 작동시킨다.
플라즈마 처리가 완료되면, 메모리(210)로부터 검색된 명령은 프로세서 유닛(205)에 지령하여 챔버(120)의 소자들이 단계(102)에서 구리의 벌크 증착을 수행하도록 한다. 이들 지령의 실행은 도 2d를 참조하여 전술한 바와 같이 구리층을 처리하기 위해 벌크 증착을 수행하도록 챔버의 소자들을 작동시킨다.
본 발명이 예시적인 실시예에 대해 기술되었지만, 당업자들은 본 발명의 개념 및 사상을 벗어나지 않으면서 다양한 개조 및 변형이 달성될 수 있음을 이해할 것이다.

Claims (28)

  1. 기판으로의 구리 흡착을 개선시키는 방법으로서,
    (a) 상기 기판 상에 구리를 증착하는 단계와, 그리고
    (b) 상기 구리가 상기 기판의 상부 표면에 흡착되도록 이온 충돌을 통해 상기 구리를 처리하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 단계(b)는 상기 구리를 가격하는 이온을 포함하는 플라즈마를 형성하는 단계를 포함하는 방법.
  3. 제 1항에 있어서, 상기 구리가 연속적인 층으로 증착되는 방법.
  4. 제 1항에 있어서, 상기 구리가 불연속적인 층으로 증착되는 방법.
  5. 제 1항에 있어서, 상기 기판이 확산 배리어를 포함하는 방법.
  6. 제 5항에 있어서, 상기 확산 배리어가 내화 금속, 또는 내화 금속 질화물로 구성되는 방법.
  7. 제 6항에 있어서, 상기 확산 배리어가 탄탈륨, 질화티타늄, 질화탄탈륨, 및 질화텅스텐으로 구성된 그룹으로부터 선택된 재료인 방법.
  8. 제 2항에 있어서, 상기 플라즈마가 불활성 가스를 사용하여 형성되는 방법.
  9. 제 8항에 있어서, 상기 불활성 가스가 구리의 원자량과 거의 일치하는 원자량을 갖는 방법.
  10. 제 9항에 있어서, 상기 불활성 가스가 아르곤, 크립톤, 및 제논으로 구성된 그룹으로부터 선택된 하나 이상의 가스인 방법.
  11. 제 8항에 있어서, 상기 불활성 가스가 수소와 배합되는 방법.
  12. 제 1항에 있어서, 상기 단계(a)에서 증착되고 상기 단계(b)에서 처리된 상기 구리 상에 구리를 증착하는 단계(c)를 더 포함하는 방법.
  13. 제 12항에 있어서, 상기 단계(c)에서 증착된 구리가 연속적인 구리층을 형성하도록 상기 단계(a)에서 증착된 상기 구리와 배합되는 방법.
  14. 제 13항에 있어서, 상기 단계(a), (b), 및 (c)는 모두 공정 챔버에서 수행되며, 상기 웨이퍼는 상기 단계(a), (b), 및 (c)가 모두 완료될 때까지 상기 공정 챔버로부터 제거되지 않는 방법.
  15. 제 1항에 있어서, 상기 단계(a)는 화학 기상 증착을 사용하여 수행되는 방법.
  16. 제 15항에 있어서, 상기 화학 기상 증착은 Cu(hfac)L 화합물을 사용하여 달성되는 방법.
  17. 웨이퍼 상의 재료층 위에 구리층을 형성하는 방법으로서,
    (a) 공정 챔버 내에 웨이퍼를 위치시키는 단계와,
    (b) 상기 웨이퍼가 상기 공정 챔버 내에 있는 동안, 상기 재료층 상에 구리를 화학 기상 증착하는 단계와, 그리고
    (c) 상기 웨이퍼가 상기 공정 챔버 내에 있는 동안, 상기 구리가 상기 재료층의 상부 표면을 흡착하도록 상기 구리를 처리하는 단계를 포함하는 방법.
  18. 제 17항에 있어서, 상기 단계(c)는 상기 구리를 가격하는 이온을 포함하는 플라즈마를 형성하는 단계를 포함하는 방법.
  19. 제 17항에 있어서, 상기 재료층이 확산 배리어층인 방법.
  20. 제 17항에 있어서, 상기 플라즈마가 불활성 가스를 포함하는 가스 혼합물을 사용하여 형성되는 방법.
  21. 제 20항에 있어서, 상기 불활성 가스가 구리의 원자량과 거의 일치하는 원자량을 갖는 방법.
  22. 제 20항에 있어서, 상기 불활성 가스가 아르곤, 크립톤, 및 제논으로 구성된 그룹으로부터 선택된 가스인 방법.
  23. 제 20항에 있어서, 상기 가스 혼합물이 아르곤, 크립톤, 제논, 및 수소로 구성된 그룹으로부터 선택된 하나 이상의 가스를 포함하는 방법.
  24. 제 17항에 있어서, 상기 웨이퍼가 상기 공정 챔버 내에 있는 동안, 상기 단계(b)에서 증착된 상기 구리 상에 구리를 증착하는 단계를 더 포함하는 방법.
  25. 제 24항에 있어서, 상기 단계(d)는 화학 기상 증착을 사용하여 수행되는 방법.
  26. 제 24항에 있어서, 상기 가스 혼합물이 Cu(hfac)L 화합물을 포함하는 방법,
  27. 반도체 웨이퍼 공정 시스템에서,
    화학 기상 증착을 수행하기 위한 챔버와,
    상기 챔버 내에서 상기 화학 기상 증착을 제어하기 위한 컴퓨터와,
    상기 컴퓨터에 의해 수행될 때, 상기 반도체 웨이퍼 공정 시스템이 기판 상에 구리 시이드층을 증착하는 단계, 상기 챔버로 처리 가스를 공급하는 단계, 및 이온으로 상기 구리 시이드층을 가격하기 위해 플라즈마 내로 상기 처리 가스를 연소시키는 단계를 수행하도록 하는 프로그램을 포함하는 컴퓨터 판독가능한 매체를 포함하는 반도체 웨이퍼 공정 시스템.
  28. 컴퓨터에 의해 수행될 때, 상기 반도체 웨이퍼 공정 시스템이 상기 구리 시이드층 상에 구리층을 증착하는 부가적인 단계를 수행하도록 하는 프로그램을 더 포함하는 제 27항에 따른 컴퓨터 판독가능한 매체.
KR1020007009379A 1998-02-25 1999-02-19 흡착력이 증가된 구리 증착 KR20010041280A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/030,555 US6171661B1 (en) 1998-02-25 1998-02-25 Deposition of copper with increased adhesion
US09/030,555 1998-02-25
PCT/US1999/003770 WO1999043867A1 (en) 1998-02-25 1999-02-19 Deposition of copper with increased adhesion

Publications (1)

Publication Number Publication Date
KR20010041280A true KR20010041280A (ko) 2001-05-15

Family

ID=21854775

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007009379A KR20010041280A (ko) 1998-02-25 1999-02-19 흡착력이 증가된 구리 증착

Country Status (6)

Country Link
US (2) US6171661B1 (ko)
EP (1) EP1062377A1 (ko)
JP (1) JP2002512437A (ko)
KR (1) KR20010041280A (ko)
TW (1) TW414813B (ko)
WO (1) WO1999043867A1 (ko)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6284652B1 (en) * 1998-07-01 2001-09-04 Advanced Technology Materials, Inc. Adhesion promotion method for electro-chemical copper metallization in IC applications
US6355562B1 (en) 1998-07-01 2002-03-12 Advanced Technology Materials, Inc. Adhesion promotion method for CVD copper metallization in IC applications
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6509268B1 (en) * 2001-08-27 2003-01-21 Sharp Laboratories Of America, Inc. Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
FR2851181B1 (fr) * 2003-02-17 2006-05-26 Commissariat Energie Atomique Procede de revetement d'une surface
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6872657B2 (en) * 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060130757A1 (en) * 2004-12-22 2006-06-22 Yicheng Li Apparatus for active dispersion of precursors
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
EP2231395A4 (en) * 2007-12-28 2014-01-29 3M Innovative Properties Co INFRARED REFLECTIVE FILMS FOR SOLAR CONTROL AND OTHER USES
JP2010209410A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8816477B2 (en) * 2011-10-21 2014-08-26 SK Hynix Inc. Semiconductor package having a contamination preventing layer formed in the semiconductor chip
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9355864B2 (en) 2013-08-06 2016-05-31 Tel Nexx, Inc. Method for increasing adhesion of copper to polymeric surfaces
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256780A (en) 1978-11-02 1981-03-17 Ford Motor Company Metallization process
JPS61251588A (ja) 1985-04-26 1986-11-08 株式会社豊田中央研究所 セラミツクス複合体の製造方法
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
FR2663042B1 (fr) 1990-06-08 1994-03-04 Nitruvid Procede de realisation d'un revetement de haute adherence sur un support et notamment sur une tole d'acier, et produit ainsi obtenu.
US5096737A (en) * 1990-10-24 1992-03-17 International Business Machines Corporation Ligand stabilized +1 metal beta-diketonate coordination complexes and their use in chemical vapor deposition of metal thin films
DE4222021C2 (de) * 1992-07-04 1994-06-23 Christian Dipl Chem Terfloth Verbindungen zur Abscheidung von Kupferschichten
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5395642A (en) * 1992-10-21 1995-03-07 Solvay Deutschland Gmbh Process for depositing layers having high specific electrical conductivity
US5654245A (en) * 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5480684A (en) * 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5661115A (en) * 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
KR970052089A (ko) 1995-12-05 1997-07-29
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion

Also Published As

Publication number Publication date
US6355106B1 (en) 2002-03-12
JP2002512437A (ja) 2002-04-23
US6171661B1 (en) 2001-01-09
EP1062377A1 (en) 2000-12-27
TW414813B (en) 2000-12-11
WO1999043867A1 (en) 1999-09-02

Similar Documents

Publication Publication Date Title
KR20010041280A (ko) 흡착력이 증가된 구리 증착
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
US9355863B2 (en) Non-local plasma oxide etch
US9887096B2 (en) Differential silicon oxide etch
US9437451B2 (en) Radical-component oxide etch
US9418858B2 (en) Selective etch of silicon by way of metastable hydrogen termination
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US6309713B1 (en) Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
US9390937B2 (en) Silicon-carbon-nitride selective etch
US8642481B2 (en) Dry-etch for silicon-and-nitrogen-containing films
US7348042B2 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7093559B2 (en) Method for PECVD deposition of selected material films
US20030013297A1 (en) Reliability barrier integration for Cu application
US6872429B1 (en) Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US20020168468A1 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid