JP2002512437A - 付着力が高められた銅の堆積方法 - Google Patents

付着力が高められた銅の堆積方法

Info

Publication number
JP2002512437A
JP2002512437A JP2000533605A JP2000533605A JP2002512437A JP 2002512437 A JP2002512437 A JP 2002512437A JP 2000533605 A JP2000533605 A JP 2000533605A JP 2000533605 A JP2000533605 A JP 2000533605A JP 2002512437 A JP2002512437 A JP 2002512437A
Authority
JP
Japan
Prior art keywords
copper
layer
plasma
wafer
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000533605A
Other languages
English (en)
Inventor
ボー ツェン
リン チェン
アルフレッド マック
メイ チャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002512437A publication Critical patent/JP2002512437A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic Table
    • C07F1/08Copper compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 銅の層の、ウェーハ上に設けられた下層への付着力を改善するための方法と装置。前記銅の層は、ウェーハ上の材料の層の上に形成され、そして前記銅の層は、前記下層への付着力を改善するためにイオンによって衝撃を与えられる。

Description

【発明の詳細な説明】
【0001】 (発明の技術分野) 本発明は、集積回路を製造する分野に関する。
【0002】 (背景技術) 現在、アルミニュームは、プラグやワイヤといった内部接続部品として集積回
路内に広く用いられている。しかし、装置の高密度化、操作サイクルの高速化、
更に、ダイ寸法の拡大により、アルミニュームより低い抵抗率を持つ金属を内部
接続構造に使用するニーズが生じている。銅の持つより低い抵抗率は、それをア
ルミニュームに代わる魅力的な候補とする要因としている。 アルミニュームの代わりに銅を用いるときの一つの問題は、銅のドライエッチ
ングが現時点では実現の可能性がないという点である。化学的機械的研磨といっ
た研磨のプロセスが銅の堆積層の不用部分を取り除くために使われている。化学
的機械的研磨を使わなければならないという点が問題であるが、それは銅の下層
の拡散バリアとして現在使用されている材料に対して銅が弱い付着力を示すから
である。従って、拡散層の上に堆積している銅の研磨は、その銅の一部分が拡散
層の表面から不本意にもはぎ取られてしまうことになる場合があり、これは集積
回路を不完全なものにするということである。
【0003】 銅を堆積するときに物理蒸着に対して化学蒸着(”CVD”)を用いることが
望ましいが、これはCVDがより整合的な銅の層を作り出すからである。しかし
、銅の化学蒸着は別の問題をもたらす。その問題は銅の堆積の際に作り出される
副産物にある。 ある場合においては、銅の化学蒸着は、化学式Cu(hfac)Lを持つキュ
プラセレクトとして知られる前駆物質を使って達成される。Lは、例えば、ビニ
ルトリメチルシラン(”VTMS”)のようなルイス塩基化合物を表す。(hf
ac)はヘキサフルロアセチルアセトネート、Cuは銅を表す。前駆物質Cu(
hfac)Lを使用する銅の前記CVDを行う過程で、その前駆物質は、気化し
てウェーハを内包する蒸着室内に流れ込む。その室内で、前駆物質は、ウェーハ
の表面で熱エネルギーを注がれ、以下の反応が結果として起こる: 2Cu(hfac)L → Cu+Cu(hfac)2 + 2L (式1)
【0004】 結果として、銅(Cu)は、ウェーハの上部表面上に副産物Cu(hfac) 2 と共に堆積する。ガス状のルイス塩基副産物(2L)は、室から取り除かれる
。ウェーハの表面上の前記副産物や他の汚染物質の存在は、下層の拡散バリア、
例えばタンタル窒化物への銅の付着力を低下させる。 下層の拡散バリアに対する銅の付着力を改善する目的で、銅を堆積させるプロ
セスは2つの段階に分けられる。第1段階では、物理蒸着(PVD)が、銅のシ
ード層を堆積させるために行われる。PVDでは、銅のターゲットが、銅を堆積
する基板の上方に取り付けられる。アルゴンガスが、前記ターゲットと基板との
間の環境に導入される。更に、イオンを含むプラズマを発生させるためにそのア
ルゴンガスは、無線周波数(”RF”)信号を使って励振される。
【0005】 前記プラズマからのイオンは、前記銅のターゲットに衝突し、それによって銅
粒子を取り除き、その粒子が基板上に堆積する。一般的にこれらの銅粒子はイオ
ン化されており、従って非常に高エネルギーを有するものである。そのような高
エネルギーの銅イオンは、前記バリア層によく付着する。前記ターゲットと前記
基板との間に電圧勾配が形成するように前記基板はバイアスをかけられ、それに
よって銅イオンがその勾配に沿って加速させられ、前記基板に衝撃を与える。そ
の衝撃の結果として、銅粒子は基板表面に強く付着する。更に、このPVDプロ
セスは、銅のシード層とバリア層との間にクリーンな境界面を作り出す。
【0006】 一旦銅の前記シード層がPVDを使用して堆積されると、銅のバルク層が堆積
される。そのバルク層は、標準的な化学蒸着か電気メッキかのどちらかによって
堆積される。銅のバルク層は、前記銅のシード層に対し比較的よく付着する。 しかし,PVDプロセスの使用では、段差被覆が不充分な結果となり、それは
細部構造を有する素子に関しては容認できないことである。更に、PVDプロセ
スを化学蒸着又は電気メッキと同じ室内で行うことはできない。PVD室とCV
D又は電気メッキ室との双方が必要であるということにより、集積回路製造コス
トは増加することになる。 従って、銅と下層の拡散バリアと間の付着力が高められるように、拡散バリア
上に、銅の整合的化学蒸着を行うことが望ましい。また、そのような堆積は一つ
の室で(その場所で)行われることが望ましい。更に、その堆積がより早く、よ
り少量の前駆物質で行うことができるように、銅の堆積を行う過程における汚染
された副産物の発生を減少させることが望ましい。
【0007】 (発明の要約) 本発明によれば、例えば銅のような材料の層は、改善された付着力を持ってウ
ェーハの表面上に形成される。この銅の層を形成する際に、銅のシード層は、ま
ず、ウェーハの表面上に堆積される。一旦シード層が堆積されると、ウェーハの
表面に対する銅の付着力を改善するために、銅はイオンによって衝撃(ボンバー
ト)を与えられる(アニールされる)。 より詳細には、不活性ガスのプラズマ中のイオンは、銅の付着力を強化するた
めに銅に衝撃を与え、その結果ウェーハの表面上に銅が”組み込まれた(mounte
d)”状態をもたらす。この組み込みは、銅のウェーハ表面上への付着力を高め
る。更に、そのような衝撃は、銅の粒子を平らにし荷電粒子の動き易さを増加さ
せる。前記プラズマは、本発明の一実施形態においてはアルゴンのような不活性
ガスを使って発生される。代わりに、銅に衝撃をあたえるとともに汚染物質を除
去するためのプラズマを発生させるように、水素が不活性ガスと共に用いられる
。水素の追加は、例えば炭素、酸素、フッ素などといった銅堆積の副産物を除去
し、それにより銅のバリア層への付着力を高める。
【0008】 (発明の詳細な説明) 添付図面を用いて、本発明をの詳細に説明する。 図1は、本発明によってウェーハ表面上に銅を堆積させるための一連の操作を
示す。最初に銅のシード層は、段階100でウェーハの上部表面上に堆積する。
銅のこのシード層は化学蒸着を使って堆積され、銅の連続層又は不連続層として
堆積することができる。本発明によれば、前駆物質Cu(hfac)Lは前記シ
ード層の堆積に用いられる。しかし、還元剤と組み合わせて、その他の銅の前駆
物質を前記シード層の形成のために使うこともできる、例えば、水素還元剤を用
いた前駆物質Cu+2(hfac)Lである。 銅のシード層が一旦堆積すると、段階101においてシード層は、プラズマで
処理される。そのプラズマは、RFエネルギーを一つ又はそれ以上のガスに作用
することによって発生される。本発明の一実施形態においては、前記プラズマガ
スは、たった一つのガス、例えばアルゴン、クリプトン、又はゼノン、のみで成
っている。本発明の別の実施形態においては、そのガスの混合は、複数のガスの
組み合わせ、例えばアルゴンと水素、クリプトンと水素、又はゼノンと水素、を
含む。
【0009】 プラズマ処理の間に銅が堆積していた基板は、バイアスをかけられる。このバ
イアスの結果、プラズマ中のイオンは、基板に向かって加速されることになる。
これらのイオンは銅の前記シード層に衝突し、それによってその銅を基板表面に
付着させる、すなわち、その銅の粒子が基板上に”組み込まれた”ことになり、
且つ荷電粒子の動き易さを増すためにその銅粒子を平坦にする。これが銅のシー
ド層の基板への粘着力を高める。また、もし水素イオンがプラズマ中に存在すれ
ばそれらの水素イオンは、前駆物質の汚染副産物と組み合わされて室から除去さ
れる。 前記プラズマ処理が段階101で一旦完了すると、段階102で銅のバルク堆
積が行われる。バルク堆積の間に堆積された銅は、プラズマ処理された銅の上に
堆積し、求められている厚さとウェーハ表面への強化された付着力とを持つ銅の
層を形成する。前記バルク堆積は、Cu(hfac)Lを用いた化学蒸着、又は
何か他の銅のバルク堆積プロセスを使って達成される。
【0010】 本発明の一実施形態においては、前記銅のシード層堆積、プラズマ処理、及び
バルク堆積は、化学蒸着とプラズマ処理との両方を行うことができる一つの室で
達成される。従って、前記銅の層は完全にその場所で形成される。本発明の別の
実施形態においては前記化学蒸着と前記プラズマ処理とは別々の室で行われる。 図2(a)から図2(d)は、本発明による集積回路への銅の層の形成を示し
ている。図2(a)は、2酸化珪素のような絶縁性材料の層111内に形成され
た(すなわちエッチングされた)バイア116を示す。絶縁性材料の前記層11
1は、集積回路内の他の部品と電気的に連結されることになる基板110上に重
なる。その基板110は、前記バイア116内に形成される内部接続構造によっ
て他の部品と連結されることになる。 拡散バリア112は、絶縁性材料の層111の上部表面と、基板110の上部
表面、そこはバイア116の周辺内であるが、とに被さっている。この拡散バリ
ア112は、内部接続構造内の金属の基板110の中への拡散を防ぐために用い
られる。本発明の一実施形態において、この内部接続構造内の金属は銅であり、
前記拡散バリアは高融点金属、又は非溶解性窒化物である。例えば、この高融点
金属窒化物は、タンタル窒化物が望ましいが、また、チタニウム窒化物、タンタ
ル、タングステン窒化物、或いは前記金属(例えば、銅)と基板110との間の
拡散バリアとして機能する他の適当な材料であることも可能である。
【0011】 図2(b)は、内部接続構造において用いられることになる銅のシード層11
3の堆積を示す。この銅のシード層113は、化学蒸着を使って拡散バリア11
2の上部表面の上に堆積される。本発明の一実施形態において、図2(b)に示
すように銅のシード層113は、不連続になるように堆積し、すなわち堆積区域
の間には隙間がある。他の実施形態においては(図示しない)、銅のシード層1
13は、厚さが10Åから300Åの厚さを持つ連続層として堆積する。シード
層の厚さは、そのシード層を処理するために使われたプラズマ処理パラメータに
依存する。すなわち、堆積の厚さは、選択された処理パラメータに適合しなけれ
ばならない。 シード層113の化学的蒸着は、前駆物質Cu(hfac)L、LはVTMS
とする、を使って達成されることが望ましい。液体Cu(hfac)Lは気化し
、拡散バリア112を包含する環境の中に流される。前駆物質の気化は、窒素、
或いは水素を前記液体前駆物質を通して”泡立たせること”によって達成させる
ことが可能である。その気化した前駆物質は、その環境に約0.1から1SCC
Mの流速で供給される。その環境は、圧力は0.5mTorrから1.5mTo
rr、基板の温度は、150から250℃の範囲になるように制御される。前記
堆積プロセスは、要求されるシード層の厚さによって30秒から5分の範囲の時
間で実行される。そのシード層は、銅の他の前駆物質、例えば水素の還元剤と共
にCu+2(hfac)2、を使って化学的に堆積することができる。概して、ど
のような形の銅の堆積も本発明の範囲に含まれると考えられる。
【0012】 一旦銅のシード層113を堆積すると、それは図2(c)に示すようにプラズ
マ114で処理される。本発明に従って、プラズマ114は、一つ又はそれ以上
のガス,すなわちガス状混合体にエネルギーを与えることによって形成されるが
、ここで前記ガス状混合体は銅の原子質量に近い原子質量を持つような不活性ガ
スを含む。そのようなガスにはアルゴン、ゼノン、及びクリプトンがある。本発
明の一実施形態においては前記ガス状混合体はアルゴンから成っている。 アルゴンを用いるときアルゴンガスは、銅のシード層113を包含する環境の
中に100から500sccmの範囲の流速で流し込まれる。そのアルゴンガス
は、100kHzから20MHzの範囲の周波数を持つRF信号からのエネルギ
ーを注ぎ込まれることによってプラズマに転換される。ここで100watts
から2000wattsの範囲のRFパワーレベルを使ったとき、13.56M
Hzが十分な処理結果を生み出すということが分かっている。一般的に言って、
プラズマに作用するパワーが高ければ高いほどシード層の処理は優れている。結
果として生じるプラズマ114は、10から60秒の範囲の時間で保たれる。
【0013】 プラズマ処理が行われているとき、銅のシード層113の環境は、圧力は0.
1から1.5Torrの範囲にあるように制御され、基板110の温度は150
から250℃の範囲に設定されるように制御される。 プラズマ114が形成されるとき前記アルゴンガスはイオン化される。結果と
して生じるアルゴンのイオンは、銅のシード層113に向かって加速し、衝突す
る。イオンからのその衝撃は、その衝突された銅の層113と拡散バリア112
との強化された結合を引き起こす。この拡散バリア112上への銅の”組み込み
”は、銅のシード層113の拡散バリア112への付着力を強化する。
【0014】 本発明の他の実施形態においては、プラズマ114は、水素と不活性ガス、例
えばアルゴン、クリプトン、又はゼノン、の混合であるガス状混合体で形成され
る。アルゴンが用いられるときはアルゴンと水素の比は、1:1から3:1の範
囲である。前記プラズマは、アルゴンのみのプラズマを形成するときと同じ方法
でガスにエネルギーを供給する方法によってアルゴンと水素とのガス状混合体で
形成される。 前記のように前駆物質Cu(hfac)Lを使う化学的蒸着は、汚染物質の(
hfac)副産物を伴った銅の堆積をもたらす。この汚染副産物は、シード層1
13の拡散バリア112への付着に逆効果を与える。プラズマ114に水素を加
えることは、他の汚染物質、例えばフッ素、酸素、及び/又は炭素、と同様に(
hfac)副産物の一部分を除去することになる。
【0015】 アルゴンと水素とのプラズマ114が用いられるとき、前記のように、アルゴ
ンイオンは銅113に衝突し、水素は、(hfac)副産物と以下の式によって
結合する。 H2 + (hfac)→ 2H(hfac) (式2) この2H(hfac)はこの反応のガス状副産物であり、シード層113は堆積
する環境から排出される。アルゴンと水素とのプラズマ114を用いることの結
果として、シード層113の拡散バリア112への付着力は、2つのメカニズム
によって強化される。最初のメカニズムは、銅と拡散バリア112との間の結合
を強化するためのアルゴンイオンによる銅への衝撃である。2番目のメカニズム
は、(hfac)副産物、及び/又は他の汚染物質の除去であり、それらは水素
と結合して室から取り除かれる。
【0016】 本発明の他の実施形態においてはプラズマ114を、銅のシード層113と下
層の拡散バリア112との間の付着力を強化するという利点を達成しながら、更
に変造することが可能である。例えば、クリプトンとゼノンといった他の不活性
ガスで、プラズマ114内のアルゴンを置き換えることができる。それらの置換
は、水素が用いられているかどうかに依存して実行可能である。更にプラズマ1
14は、水素のみで成ることが可能である。そのような実施形態においては銅の
シード層113と拡散バリア112との間の強化された付着力は、銅の結合を妨
げる可能性のある(hfac)副産物とその他の汚染物質とを除去したことによ
り生じる。 銅のシード層113が一旦プラズマ114で処理されると、銅のバルク堆積が
行われ、求められる厚さを持つ最終の銅の層115を形成する。図2(d)に示
すように、新たに堆積した銅は、化学的蒸着を使って堆積され、銅のシード層1
13に融合し(の上に成長し)、銅の最終層115を形成する。本発明の好適な
実施形態においては、銅の層115のバルクCVDは、図2(b)に関する前記
説明のように前駆物質Cu(hfac)Lを使って達成されるが、しかし水素還
元剤を使ったCu+2(hfac)2のような他のバルク堆積プロセスを使うこと
も可能である。
【0017】 銅のバルク堆積は、銅の最終層115が1000Åから1ミクロンの範囲の厚
さを持った時点で達成される。新たに堆積した銅は、下層の拡散バリア112へ
の付着力を強化しているシード層113の上に堆積しているため、その銅の最終
層115の拡散バリア112への付着力もまた強化されている。強化された付着
力の結果として、銅が研磨中に拡散バリア112から不本意に剥ぎ取られること
は起こりにくい。 図3は、本発明による銅の層を形成するために用いることができるCVDシス
テム120を示す。その室は、カリフォルニア州Santa ClaraのAp
plied Materials、Inc.によって製作された室モデルWxZ
で、本発明に従って銅の堆積を行うために改造されたものである。システム12
0は、銅の堆積とプラズマ処理とが行われる処理室137を持つ。処理室137
に含まれるものとしては、ウェーハを支えるためのウェーハ支持部130と反応
性ガスを処理室137の中に流すためのシャワーヘッド129とがある。
【0018】 処理室137は、絶縁体132によってウェーハ支持部130とシャワーヘッ
ド129から電気的にも熱的にも分離された一式の壁131とによって区画を定
められる。ウェーハ支持部130は、熱エネルギーを供給するために抵抗コイル
(図示しない)を持ち、それはウェーハ支持部130の表面に熱を供給する。プ
ラズマを形成するためのエネルギーを準備するために、シャワーヘッド129は
、100kHzから20MHzの範囲の周波数を持つ信号を提供する信号源12
6に連結している。処理室の壁131とウェーハ支持部130との両方は接地し
ている。
【0019】 圧力制御ユニット135、例えば真空ポンプ、は、処理室137内の圧力を設
定するために処理室137に連結している。また圧力制御ユニット135は、反
応性副産物を処理室から一掃する。 また、処理室137に反応剤を準備するために、システム120は、混合ブロ
ック127,気化器128,ガスパネル121,及び液体パネル122を持つ。
ガスパネル121はガス状反応剤を準備し、気化器128と混合ブロック127
との両方に連結する。液体パネル122は液状反応剤を準備し、気化器128に
連結する。
【0020】 気化器128は、液状反応剤をガス状反応剤に変換する。液状反応剤を用いる
とき、液体パネル122は、気化器128に液状反応剤を供給し、気化器128
はその液体を気化し、不活性希釈ガス、例えばヘリウム、水素、窒素、又はアル
ゴン、を搬送ガスとして使う。代わりに、前記気化器は、ガス状反応剤を蒸発に
よって作り出すことが可能である。ガス状と液状との両方の反応剤を用いるとき
、ガスパネル121は、気化器128にガス状反応剤を供給し、液体パネル12
2は、気化器128に液状反応剤を供給する。次に、前記気化器は、これらの反
応剤を結合し、気化する。混合ブロック127は、ガスパネル121と気化器1
28とからのガス状反応剤をシャワーヘッド129に送るために連結されている
【0021】 銅の層の形成は、図3に示すような一つの処理システム内でその場所で実行さ
れる。銅が堆積することになる上部表面を持つウェーハ140は、処理室137
内のウェーハ支持部130上に取り付けられるが、そのウェーハ支持部とシャワ
ーヘッド129とは約350milsの間隔がある。本発明の一実施形態におい
ては、ウェーハ140の前記上部表面は、例えば、チタニウム窒化物、タンタル
窒化物又はタングステン窒化物、のような高融点金属窒化物によって形成される
拡散バリアである。次に、前記ウェーハ(基板)は前述のように処理される。 前述の銅の層を形成するための処理段階(図2(a)から図2(d))は、プ
ロセッサベースの制御ユニットによって制御されるシステムにおいて行うことが
可能である。図4は、そのような役目に用いることができる制御ユニット200
を示す。この制御ユニットは、プロセッサユニット205、メモリ210、大容
量記憶装置220、入力制御ユニット270、及び表示ユニット250を持ち、
これらは全て制御ユニットバス225と連結している。
【0022】 プロセッサユニット205は、マイクロプロセッサか、メモリ内に記憶された
命令を実行する能力を持つその他の手段かのどちらかである。メモリ210は、
ハードディスクドライブ、ランダムアクセスメモリ(”RAM”)、読取り専用
メモリ(”ROM”)、RAMとROMの組み合わせ、又はその他のプロセッサ
読取り可能な記憶媒体を持つことが可能である。メモリ210には、前記処理段
階の遂行を円滑に進めるためにプロセッサユニット205が実行する命令が入っ
ている。メモリ210内の命令は、プログラムコードの形をとっている。そのプ
ログラムコードは、多くの異なるプログラム言語のどの1つとも適合させること
が可能である。例えば、プログラムコードは、C+,C++,BASIC,Pa
scal、又はその他の多くの言語で書くことが可能である。
【0023】 大容量記憶装置220は、データと命令とを記憶し、データとプログラムコー
ドとの命令をプロセッサ読取り可能な記憶媒体、例えば磁気ディスクか磁気テー
プ、から取り出す。例えば、大容量記憶装置220は、ハードディスクドライブ
、フロッピーディスクドライブ、テープドライブ、又は光ディスクドライブであ
ることが可能である。大容量記憶装置220は、プロセッサユニット205から
受け取る指令に応じて前記命令を記憶し、取り出す。大容量記憶装置220によ
って記憶され、且つ取り出されたデータとプログラムコードとの命令は、プロセ
ッサユニット205によって前記の処理段階を行うために用いられる。そのデー
タとプログラムコードとの命令は、最初大容量記憶装置220によって媒体から
取り出され、それからプロセッサユニット205による使用のためにメモリ21
0に移される。
【0024】 表示ユニット250は、プロセッサユニット205の制御のもとで室のオペレ
ータに画像表示と英数文字との形で情報を提供する。入力制御ユニット270は
、キーボード、マウス、又はライトペンといったデータ入力装置を制御ユニット
200に連結し、室のオペレータからの入力の受け入れを準備する。 制御ユニットバス225は、それに連結された全ての装置間のデータと制御信
号とを転送する。前記制御ユニットバスは、制御ユニット200内の装置と直接
接続する1つのバスとして表示してあるが、制御ユニットバス225は、複数バ
スの集合であることもまた可能である。例えば、表示ユニット250、入力制御
ユニット270,及び大容量記憶装置220は、入出力周辺バスに連結すること
が可能であり、一方、プロセッサユニット205とメモリ210とはローカルプ
ロセッサバスに連結される。そのローカルプロセッサバスと入出力周辺バスとは
互いに連結され、制御ユニットバス225を形成する。
【0025】 制御ユニット200は、本発明に従って銅の層を形成するときに用いられる図
3の室の構成装置に連結される。これらの構成装置の各々は、制御ユニット20
0とその構成装置との間の通信を円滑に行うために制御ユニットバス225に連
結される。これらの構成装置は次のものを含む:それらはガスパネル121、液
体パネル122,加熱装置230,例えばウェーハ支持部内の前記抵抗コイル(
図示しない)、圧力制御ユニット135,信号源126,気化器128、及び混
合ブロック127である。制御ユニット200は、室の装置に信号を供給し、そ
れによってその装置が銅の層を形成する処理段階のための前記操作を実行する。 操作上、プロセッサユニット205は、それがメモリ210から取り出したプ
ログラムコードの命令に応じ室の前記装置の操作を指示する。これらの命令に応
答して室の装置は、図1に関連して説明された前記処理段階を実行するように指
示される。
【0026】 一旦ウェーハが処理室内に取り付けられると、段階100(図1)で、銅のシ
ード層が、ウェーハ上に堆積する。段階100の堆積を行うためにプロセッサユ
ニット205は、メモリ210から取り出した命令を実行する。これらの命令の
実行は、図2(b)に関連して前述したように室の前記装置をして基板上に材料
の層を堆積するように操作される。 一旦銅のシード層が堆積すると、メモリ210から取り出した命令は、プロセ
ッサユニット205に室の装置120に段階101のプラズマ処理を実行させる
ように指示する。これらの指示の実行によって、図2(c)に関連して前述した
ように室の装置120は、堆積した銅をプラズマで処理するように操作されるこ
とになる。
【0027】 一旦前記プラズマ処理が完了すると、メモリ210から取り出した命令は、プ
ロセッサユニット205が室の装置120に段階102の銅のバルク堆積をする
ように指示させる。これらの指示の実行によって、室の装置120は、図2(d
)に関連して前述したような処理を前記銅に施すため、バルク堆積を行うように
操作されることになる。 本発明は、特定で規範的な実施形態を使って説明されてきたが、当業者によっ
て以下の請求項で規定される本発明の精神と範囲とから逸脱することなしに様々
な修正や変更が可能であることが理解される。
【図面の簡単な説明】
【図1】 本発明による銅の層の堆積のために行われる一連の操作を示す。
【図2(a)】 本発明による銅の層の堆積を示す。
【図2(b)】 本発明による銅の層の堆積を示す。
【図2(c)】 本発明による銅の層の堆積を示す。
【図2(d)】 本発明による銅の層の堆積を示す。
【図3】 本発明による銅の前記堆積で使用される蒸着室を示す。
【図4】 本発明による銅の堆積に使われる蒸着室の操作を制御する、本発
明で用いられる制御システムを示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チェン リン アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル ドートシャー ウェイ 784 (72)発明者 マック アルフレッド アメリカ合衆国 カリフォルニア州 94587 ユニオン シティー フルーアス コート 32722 (72)発明者 チャン メイ アメリカ合衆国 カリフォルニア州 95070 サラトガ コート ド アルギュ ーロ 12881 Fターム(参考) 4K030 AA11 AA16 AA17 BA01 CA12 DA02 FA03 KA17 KA23 KA30 KA41 LA15 4M104 BB04 BB13 BB30 BB32 BB33 CC01 DD06 DD22 DD43 DD75 FF13 FF17 FF18 FF22 GG13 HH04 HH08

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 基板への銅の付着を改善する方法であって、 (a)前記基板上に銅を堆積させる段階、及び (b)前記銅を前記基板の上側面に付着させるために前記銅にイオン衝撃によっ
    て処理する段階を含むことを特徴とする方法。
  2. 【請求項2】 前記段階(b)は、プラズマを形成する段階を含み、前記プ
    ラズマは、前記銅に衝撃を与えるイオンを含むことを特徴とする請求項1に記載
    の方法。
  3. 【請求項3】 前記銅は、連続層に堆積することを特徴とする請求項1に記
    載の方法。
  4. 【請求項4】 前記銅は、不連続層に堆積することを特徴とする請求項1に
    記載の方法。
  5. 【請求項5】 前記基板は、拡散バリアを包含することを特徴とする請求項
    1に記載の方法。
  6. 【請求項6】 前記拡散バリアは、高融点金属又は高融点金属窒化物から成
    ることを特徴とする請求項5に記載の方法。
  7. 【請求項7】 前記拡散バリアは、タンタル、チタニウム窒化物、タンタル
    窒化物、及びタングステン窒化物から成るグループから選ばれた材料であること
    を特徴とする請求項6に記載の方法。
  8. 【請求項8】 前記プラズマは、不活性ガスを用いて形成されることを特徴
    とする請求項2に記載の方法。
  9. 【請求項9】 前記不活性ガスは、銅の原子質量にほぼ一致する原子質量を
    有することを特徴とする請求項8に記載の方法。
  10. 【請求項10】 前記不活性ガスは、アルゴン、クリプトン、及びゼノンか
    ら成るグループから選ばれた一つ又はそれ以上のガスであることを特徴とする請
    求項9に記載の方法。
  11. 【請求項11】 前記不活性ガスは、水素と混合されることを特徴とする請
    求項8に記載の方法。
  12. 【請求項12】 (c)前記段階(a)で堆積され前記段階(b)で処理さ
    れた前記銅の上に、銅を堆積する段階を更に含むことを特徴とする請求項1に記
    載の方法。
  13. 【請求項13】 前記段階(c)で堆積された前記銅は、前記段階(a)で
    堆積された前記銅とともに銅の連続層を形成することを特徴とする請求項12に
    記載の方法。
  14. 【請求項14】 段階(a),(b)、及び(c)は、全て処理室内で行わ
    れ、且つ前記ウェーハは、段階(a),(b),及び(c)が全て完了するまで
    、前記処理室から取り除かれないことを特徴とする請求項13に記載の方法。
  15. 【請求項15】 前記段階(a)は、化学蒸着を使って行われることを特徴
    とする請求項1に記載の方法。
  16. 【請求項16】 前記化学蒸着は、Cu(hfac)L化合物を使って達成
    されることを特徴とする請求項1に記載の方法。
  17. 【請求項17】 ウェーハ上の材料層の上に銅の層を形成するための方法で
    あって、 (a)前記ウェーハを処理室の中に設置する段階、 (b)前記ウェーハが前記処理室内にある間、前記材料層の上に前記銅を化学蒸
    着させる段階、及び (c)前記ウェーハが前記処理室内にある間、前記銅が前記材料層の上側表面に
    付着するように前記銅を処理する段階を有することを特徴とする方法。
  18. 【請求項18】 前記段階(c)は、 プラズマを形成する段階を含み、前記プラズマは、前記銅に衝撃を与えるイオン
    を含むことを特徴とする請求項17に記載の方法。
  19. 【請求項19】 前記材料層は、拡散バリアであることを特徴とする請求項
    17に記載の方法。
  20. 【請求項20】 前記プラズマは、不活性ガスを含むガス状混合体を使って
    形成されることを特徴とする請求項17に記載の方法。
  21. 【請求項21】 前記不活性ガスは、銅の原子質量にほぼ一致した原子質量
    を有することを特徴とする請求項20に記載の方法。
  22. 【請求項22】 前記不活性ガスは、アルゴン、クリプトン、及びゼノンか
    ら成るグループから選ばれたガスであることを特徴とする請求項20に記載の方
    法。
  23. 【請求項23】 前記ガス状混合体は、アルゴン、クリプトン、ゼノン、及
    び水素から成るグループから選ばれた少なくとも一つのガスを含むことを特徴と
    する請求項20に記載の方法。
  24. 【請求項24】 (d)前記ウェーハが前記処理室内にある間、前記段階(
    b)で堆積された前記銅の上に銅を堆積する段階を更に含むことを特徴とする請
    求項17に記載の方法。
  25. 【請求項25】 前記段階(d)は、化学蒸着を使って行われることを特徴
    とする請求項24に記載の方法。
  26. 【請求項26】 前記ガス状混合体は、Cu(hfac)L化学的化合物を
    含むことを特徴とする請求項24に記載の方法。
  27. 【請求項27】 化学蒸着を行うための室と、前記室内での前記化学蒸着を
    制御するためのコンピュータとを備えた半導体ウェーハ処理システムにおいて、 前記コンピュータによって実行される時、 基板上に銅のシード層を堆積する段階と、 前記室に処理用ガスを供給する段階と、 前記銅シード層をイオンで衝撃を与えるために、前記処理用ガスを刺激してプラ
    ズマ化する段階とを、 前記半導体ウェーハ処理システムに行わせるようなプログラムを有することを特
    徴とするコンピュータ読取り可能な媒体。
  28. 【請求項28】 前記コンピュータによって実行される時、 前記銅のシード層の上に銅の層を堆積する段階を前記半導体ウェーハ処理システ
    ムに更に行わせるようなプログラムを更に有することを特徴とする請求項27に
    記載のコンピュータ読取り可能な媒体。
JP2000533605A 1998-02-25 1999-02-19 付着力が高められた銅の堆積方法 Withdrawn JP2002512437A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/030,555 US6171661B1 (en) 1998-02-25 1998-02-25 Deposition of copper with increased adhesion
US09/030,555 1998-02-25
PCT/US1999/003770 WO1999043867A1 (en) 1998-02-25 1999-02-19 Deposition of copper with increased adhesion

Publications (1)

Publication Number Publication Date
JP2002512437A true JP2002512437A (ja) 2002-04-23

Family

ID=21854775

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000533605A Withdrawn JP2002512437A (ja) 1998-02-25 1999-02-19 付着力が高められた銅の堆積方法

Country Status (6)

Country Link
US (2) US6171661B1 (ja)
EP (1) EP1062377A1 (ja)
JP (1) JP2002512437A (ja)
KR (1) KR20010041280A (ja)
TW (1) TW414813B (ja)
WO (1) WO1999043867A1 (ja)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6355562B1 (en) 1998-07-01 2002-03-12 Advanced Technology Materials, Inc. Adhesion promotion method for CVD copper metallization in IC applications
US6284652B1 (en) * 1998-07-01 2001-09-04 Advanced Technology Materials, Inc. Adhesion promotion method for electro-chemical copper metallization in IC applications
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6509268B1 (en) * 2001-08-27 2003-01-21 Sharp Laboratories Of America, Inc. Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
FR2851181B1 (fr) * 2003-02-17 2006-05-26 Commissariat Energie Atomique Procede de revetement d'une surface
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6872657B2 (en) * 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060130757A1 (en) * 2004-12-22 2006-06-22 Yicheng Li Apparatus for active dispersion of precursors
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
BRPI0821436A2 (pt) * 2007-12-28 2015-06-16 3M Innovative Properties Co Filmes refletores de infravermelho para controle solar e outros usos
JP2010209410A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8816477B2 (en) * 2011-10-21 2014-08-26 SK Hynix Inc. Semiconductor package having a contamination preventing layer formed in the semiconductor chip
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9355864B2 (en) 2013-08-06 2016-05-31 Tel Nexx, Inc. Method for increasing adhesion of copper to polymeric surfaces
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256780A (en) 1978-11-02 1981-03-17 Ford Motor Company Metallization process
JPS61251588A (ja) 1985-04-26 1986-11-08 株式会社豊田中央研究所 セラミツクス複合体の製造方法
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
FR2663042B1 (fr) 1990-06-08 1994-03-04 Nitruvid Procede de realisation d'un revetement de haute adherence sur un support et notamment sur une tole d'acier, et produit ainsi obtenu.
US5096737A (en) * 1990-10-24 1992-03-17 International Business Machines Corporation Ligand stabilized +1 metal beta-diketonate coordination complexes and their use in chemical vapor deposition of metal thin films
DE4222021C2 (de) * 1992-07-04 1994-06-23 Christian Dipl Chem Terfloth Verbindungen zur Abscheidung von Kupferschichten
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5395642A (en) * 1992-10-21 1995-03-07 Solvay Deutschland Gmbh Process for depositing layers having high specific electrical conductivity
US5654245A (en) * 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5480684A (en) * 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5661115A (en) * 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
KR970052089A (ja) 1995-12-05 1997-07-29
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion

Also Published As

Publication number Publication date
US6171661B1 (en) 2001-01-09
TW414813B (en) 2000-12-11
WO1999043867A1 (en) 1999-09-02
EP1062377A1 (en) 2000-12-27
US6355106B1 (en) 2002-03-12
KR20010041280A (ko) 2001-05-15

Similar Documents

Publication Publication Date Title
JP2002512437A (ja) 付着力が高められた銅の堆積方法
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
US8183150B2 (en) Semiconductor device having silicon carbide and conductive pathway interface
JP4901004B2 (ja) 基板表面上の酸化銅を除去する方法
US7026238B2 (en) Reliability barrier integration for Cu application
KR100672101B1 (ko) 개선된 피처 표면 커버리지를 향상시키는 구리 시드층을증착시키는 방법
TWI413179B (zh) 用於溝槽與介層洞輪廓修飾之方法
JP6529357B2 (ja) エッチング方法
US20010018269A1 (en) Method for PECVD deposition of selected material films
TWI766866B (zh) 蝕刻方法
JPH0722339A (ja) 薄膜形成方法
JP2001267310A (ja) プラズマ成膜方法及びその装置
TWI809086B (zh) 蝕刻方法及電漿處理裝置
JPH1060658A (ja) 化学気相堆積およびスパッタリング装置と方法
JP3931394B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP2002134436A (ja) プラズマ処理装置及び方法並びに基板生産物
JP3413276B2 (ja) 薄膜の形成方法
JPH1187267A (ja) 金属膜の形成方法および半導体装置の製造方法
Schwartz Methods/principles of deposition and etching of thin-films

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060509