JP2002293516A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置

Info

Publication number
JP2002293516A
JP2002293516A JP2001093499A JP2001093499A JP2002293516A JP 2002293516 A JP2002293516 A JP 2002293516A JP 2001093499 A JP2001093499 A JP 2001093499A JP 2001093499 A JP2001093499 A JP 2001093499A JP 2002293516 A JP2002293516 A JP 2002293516A
Authority
JP
Japan
Prior art keywords
gas
film forming
film
diborane
nitrogen gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001093499A
Other languages
English (en)
Other versions
JP5013353B2 (ja
Inventor
Hitoshi Sakamoto
仁志 坂本
Noriaki Ueda
憲照 上田
Takashi Sugino
隆 杉野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Heavy Industries Ltd
Original Assignee
Mitsubishi Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Industries Ltd filed Critical Mitsubishi Heavy Industries Ltd
Priority to JP2001093499A priority Critical patent/JP5013353B2/ja
Priority to TW091106145A priority patent/TW554416B/zh
Priority to KR1020027016104A priority patent/KR20030007722A/ko
Priority to PCT/JP2002/003071 priority patent/WO2002080256A1/ja
Priority to US10/471,796 priority patent/US6958175B2/en
Publication of JP2002293516A publication Critical patent/JP2002293516A/ja
Application granted granted Critical
Publication of JP5013353B2 publication Critical patent/JP5013353B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/583Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 窒化ホウ素膜を成膜できるようにする。 【解決手段】 成膜室2内にプラズマ10を生成し、成
膜室2内で窒素ガス11を主に励起した後に水素ガス希
釈のジボランガス13を反応させ、基板4に窒化ホウ素
膜15を成膜し、機械的・化学的耐性に優れ、熱伝導性
の高い比誘電率κである低比誘電率の窒化ホウ素膜15
を高速に成膜する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、窒化ホウ素膜、炭
窒化ホウ素膜を生成する成膜方法及び成膜装置に関す
る。
【0002】
【従来の技術】従来、集積回路においては、層間絶縁膜
としてプラズマCVD(Chemical Vapor Deposition) 法
によるシリコン酸化膜(SiO2膜)が用いられていた。し
かし、トランジスタの高集積化やスイッチング動作の高
速化のため、配線間の容量による損失が問題となってき
ている。この解消のためには、層間絶縁膜の低比誘電率
化が必要であり、より低比誘電率の層間絶縁膜が求めら
れている。このような状況で、有機系材料等の膜(例え
ば有機系ケイ素の膜やアルモファスカーボンにフッ素を
添加した膜)においては、極めて低比誘電率(比誘電率
κが2.5 以下)にすることも可能ではあるが、機械的・
化学的耐性や熱伝導性の点で問題があった。また、膜の
密着性にも問題があるとともに、密度の点で耐吸湿性に
問題があった。
【0003】
【発明が解決しようとする課題】このような状況で、耐
熱性に優れ極めて低比誘電率(比誘電率κが2.5 以下)
をもつ窒化ホウ素(BN)や炭窒化ホウ素(BNC)が
注目されてきている。しかしながら、プラズマCVD(C
hemical Vapor Deposition) 法によりBN膜やBNC膜
を成膜する技術は確立されていないのが現状であり、B
N膜やBNC膜が製品として成膜できる成膜方法及び成
膜装置の出現が望まれている。
【0004】本発明は上記状況に鑑みてなされたもの
で、窒化ホウ素や炭窒化ホウ素の膜を成膜することがで
きる成膜方法及び成膜装置を提供することを目的とす
る。
【0005】
【課題を解決するための手段】上記目的を達成するため
の本発明の成膜方法は、成膜室内にプラズマを生成し、
成膜室内で窒素ガスを主に励起した後に水素ガス希釈の
ジボランガスを反応させ、基板に窒化ホウ素膜を成膜す
ることを特徴とする。
【0006】また、上記目的を達成するための本発明の
成膜方法は、成膜室内にプラズマを生成し、成膜室内で
窒素ガスを主に励起した後に水素ガス希釈のジボランガ
ス及び有機系ガスを反応させ、基板に炭窒化ホウ素膜を
成膜することを特徴とする。
【0007】そして、窒素ガスの流量とジボランの流量
との比である(窒素ガス/ジボラン)を0.1 〜10.0に設
定したことを特徴とする。また、(窒素ガス/ジボラ
ン)を0.2 〜1.2 に設定したことを特徴とする。また、
有機系ガスの流量とジボランの流量との比である(有機
系ガス/ジボラン)を0.01〜1.0 に設定したことを特徴
とする。また、1MHz乃至100MHz、1kW 乃至10kWの高周波
を印加してプラズマを発生させ、基板の温度を200 ℃乃
至400 ℃に設定したことを特徴とする。
【0008】上記目的を達成するための本発明の成膜装
置は、成膜室内にプラズマを生成するプラズマ生成手段
を成膜室の上部に備えると共に、成膜室の下部に基板保
持部を備え、成膜室内に窒素ガスを導入する窒素ガス導
入手段を設け、窒素ガス導入手段の下方側の成膜室内に
水素ガス希釈のジボランガスを導入するジボランガス導
入手段を設けたことを特徴とする。
【0009】また、上記目的を達成するための本発明の
成膜装置は、成膜室内にプラズマを生成するプラズマ生
成手段を成膜室の上部に備えると共に、成膜室の下部に
基板保持部を備え、成膜室内に窒素ガスを導入する窒素
ガス導入手段を設け、窒素ガス導入手段の下方側の成膜
室内に水素ガス希釈のジボランガス及び有機系ガスを独
立に導入する手段を設けたことを特徴とする。
【0010】
【発明の実施の形態】以下、図1乃至図4に基づいて本
発明の成膜方法及び成膜装置を説明する。
【0011】図1及び図2に基づいて第1実施形態例を
説明する。図1には本発明の第1実施形態例に係る成膜
方法を実施する成膜装置としてのプラズマCVD装置の
概略側面、図2にはジボラン及び窒素の割合と比誘電率
の関係を表すグラフを示してある。
【0012】図1に示すように、円筒状の容器1内には
成膜室2が形成され、容器1の上部には円形の天井板3
が設けられている。容器1の中心における成膜室2には
基板保持部としての静電チャック4が備えられ、静電チ
ャック4には静電チャック用直流電源5が接続されて半
導体の基板6が静電的に吸着保持される。
【0013】天井板3の上には、例えば、円形リング状
の高周波アンテナ7が配置され、高周波アンテナ7には
整合器8を介して高周波電源9が接続されている。高周
波アンテナ7に電力を供給することにより電磁波が容器
1の成膜室2に入射する。容器1内に入射された電磁波
は、成膜室2内のガスをイオン化してプラズマ10を発
生させる(プラズマ生成手段)。
【0014】容器1には成膜室2内に窒素ガス(N2
ス)11(>99.999 %) を導入する窒素ガス導入手段と
しての窒素ガスノズル12が設けられ、窒素ガスノズル
12の下方側の成膜室2内にジボラン(B2H6)含有ガス
13を導入するジボランガス導入手段としてのジボラン
ガスノズル14が設けられている。ジボランガスノズル
14から成膜室2内に導入されるB2H6含有ガス13は、
水素(H2)ガスで希釈されたB2H6ガス(1%〜5%)と
なっている。
【0015】上述したプラズマCVD装置では、静電チ
ャック4に基板6が載せられて静電的に吸着される。窒
素ガスノズル12からN2ガス11が所定流量で導入さ
れ、ジボランガスノズル14からB2H6含有ガス13が所
定流量で導入される。高周波電源9から高周波アンテナ
7に電力を供給して整合器8を通して高周波(1MHz乃至
100MHz、1kW 乃至10kW)を印加することにより、成膜室
2内で主にN2ガス11が励起されてプラズマ状態とな
り、N2ガス11が励起された後、B2H6含有ガス13と混
合されて反応し、基板6上に窒化ホウ素(BN)膜15
が成膜される。このとき、基板6の温度は200 ℃から40
0 ℃に設定される。
【0016】作成したBN膜15に対して電圧−容量測
定を行ったところ、作成した膜の比誘電率κがκ=2.2
〜2.6 であることが確認された。
【0017】成膜室2内では、窒素ガスノズル12が高
周波アンテナ7側に設けられているため、主にN2ガス1
1が励起されてプラズマ化して気体となり、プラズマ化
した気体とH2ガスで希釈されたB2H6ガスが反応する。こ
の反応により、BNとH2ガスもしくはアンモニアが生成
され、H2ガスもしくはアンモニアが排気されてBN膜1
5が基板6に成膜される。尚、ジボランガスノズル14
を高周波アンテナ7側に配置してB2H6含有ガス13をプ
ラズマ化すると、ホウ素が固体化して窒素と反応しなく
なる。
【0018】窒素ガスノズル12からのN2ガス11の流
量と、ジボランガスノズル14からのB2H6含有ガス13
の流量との範囲は、N2ガスの流量とB2H6の流量の比であ
る(N2ガス/B2H6)が0.1 〜10.0となるように設定され
ている。そして、(N2ガス/B2H6)が0.2 〜1.2 となる
ように設定するのが好ましく、更には、(N2ガス/B
2H6)が1.0 となるように設定するのが好ましい。
【0019】図2に示すように、膜厚が一定の条件で、
B2H6/N2の値が大きくなると(N2ガスの流量が少なくな
ると)比誘電率κが高くなり、B2H6/N2の値が1.0 のと
きに比誘電率κが2.2 となる。このため、N2ガス/B2H6
を0.1 〜10.0(好ましくは0.2 〜1.2 、更には1.0 )の
範囲にしてN2ガス11の流量とB2H6含有ガス13の流量
を設定し、プラズマ10を発生させることにより、比誘
電率κが極めて低いκ=2.2 〜2.6 となるBN膜15が
形成される。また、N2ガス11の流量が少ないと、ホウ
素が固体化し、N2ガス11の流量が多いと、膜として析
出しなくなる。
【0020】上述したプラズマCVD装置を用いた成膜
方法では、機械的・化学的耐性に優れ、熱伝導性の高い
比誘電率κである低比誘電率(κ=2.2 〜2.6 )のBN
膜15を成膜することができる。B2H6を用いたことによ
り、高速に成膜することが可能になる。
【0021】図3及び図4に基づいて第2実施形態例を
説明する。図3には本発明の第2実施形態例に係る成膜
方法を実施する成膜装置としてのプラズマCVD装置の
概略側面、図4にはテトラエトキシシランの吸湿性への
影響を説明するグラフを示してある。尚、図1に示した
部材と同一部材には同一符号を付して重複する説明は省
略してある。
【0022】容器1には成膜室2内に窒素ガス(N2
ス)11(>99.999 %) を導入する窒素ガスノズル12
が設けられ、窒素ガスノズル12の下方側の成膜室2内
に、ジボラン(B2H6)含有ガス13及び有機系ガスとし
てのテトラエトキシシラン(Si(O-C2H5)4:以下TEOSと称
する) ガス(TEOSガス)16を導入するジボランガスノ
ズル14及び有機系ガスノズル17が設けられている。
ジボランガスノズル14から成膜室2内に導入されるB2
H6含有ガス13は、水素(H2)ガスで希釈されたB2H6
ス(1%〜5%)となっている。
【0023】尚、有機系ガスとしては、エタノール、ア
セトン等を採用することも可能である。
【0024】上述したプラズマCVD装置では、窒素ガ
スノズル12からN2ガス11が所定流量で導入され、ジ
ボランガスノズル14からB2H6含有ガス13が、有機系
ガスノズル17からTEOSガス16が所定流量で導入され
る。高周波電源9から高周波アンテナ7に電力を供給し
て整合器8を通して高周波(1MHz乃至100MHz、1kW 乃至
10kW)を印加することにより、成膜室2内で主にN2ガス
11が励起されてプラズマ状態となり、N2ガス11が励
起された後、B2H6含有ガス13及びTEOSガス16と反応
し、基板6上に炭窒化ホウ素(BNC)膜18が成膜さ
れる。このとき、基板6の温度は200 ℃から400 ℃に設
定される。
【0025】作成したBNC膜18に対して電圧−容量
測定を行ったところ、作成した膜の比誘電率κがκ=2.
2 〜2.6 であることが確認された。
【0026】成膜室2内では、窒素ガスノズル12が高
周波アンテナ7側に設けられているため、主にN2ガス1
1が励起されてプラズマ化して気体となり、プラズマ化
した気体とB2H6含有ガス13及びTEOSガス16が反応す
る。この反応により、BNとH2ガスもしくはアンモニア
が生成されると共に、TEOSガス20のエチル基がとりこ
まれ、六方晶の結晶構造であるBNのN原子の一部が炭
素原子(C)に置換してBNCが生成される。H2ガスも
しくはアンモニアが排気されてBNC膜18が基板6に
成膜される。
【0027】窒素ガスノズル12からのN2ガス11の流
量と、ジボランガスノズル14からのB2H6含有ガス13
の流量との範囲は、N2ガスの流量とB2H6の流量の比であ
る(N2ガス/B2H6)が0.1 〜10.0となるように設定され
ている。そして、(N2ガス/B2H6)が0.2 〜1.2 となる
ように設定するのが好ましく、更には、(N2ガス/B
2H6)が1.0 となるように設定するのが好ましい。
【0028】また、ジボランガスノズル14からのB2H6
含有ガス13と有機系ガスノズル17からのTEOSガス1
6との流量の範囲は、TEOSとB2H6の流量の比(有機系ガ
ス/ジボラン)である(TEOS/B2H6)が0.01〜1.0 とな
るように設定されている。
【0029】図4に実線で示すように、BNC膜の性質
として、膜厚が一定の条件で、TEOS/B2H6の値が大きく
なると、即ち、TEOS/B2H6が0.1 程度までは水酸基(OH
基)濃度が徐々に減少して水分を吸湿していない状態
(耐吸湿性に優れた状態)になることが判る。反面、図
4に点線で示すように、TEOS/B2H6の値が大きくなる
と、比誘電率κが高くなる。このため、TEOS/B2H6を0.
01〜1.0 となるように設定することで、耐吸湿性に優れ
比誘電率κが低いBNC膜18が得られる。
【0030】上述したプラズマCVD装置を用いた成膜
方法では、耐吸湿性に優れ、機械的・化学的耐性に優
れ、熱伝導性の高い比誘電率κである低比誘電率(κ=
2.2 〜2.6 )のBNC膜18を膜の種類によらず密着性
よく成膜することができる。B2H6を用いたことにより、
高速に成膜することが可能になる。
【0031】図5に基づいて上述した第1実施形態例及
び第2実施形態例におけるプラズマCVD装置を用いた
成膜方法で成膜できるBN膜もしくはBNC膜の適用例
を説明する。図5には本発明のプラズマCVD装置を用
いた成膜方法で成膜を行った集積回路の概略構成を示し
てある。
【0032】図に示すように、高集積回路(LSI)で
は、トランジスタ31の高集積化やスイッチング動作の
高速化のため、配線32間の容量による損失を解消する
ことが行われている。このため、製造プロセスにおける
配線32間の層間絶縁膜33には、低比誘電率の膜が用
いられるようになっている。層間絶縁膜33としては、
低比誘電率の有機塗布膜やポーラス膜が採用される。
【0033】そして、層間絶縁膜33の間に、保護膜3
4としてBN膜もしくはBNC膜が成膜されている。保
護膜34としてBN膜を成膜する場合、第1実施形態例
もしくは第3実施形態例のプラズマCVD装置を用いた
成膜方法で成膜を行う。保護膜34としてBNC膜を成
膜する場合、第2実施形態例もしくは第4実施形態例の
プラズマCVD装置を用いた成膜方法で成膜を行う。
【0034】有機塗布膜やポーラス膜の層間絶縁膜33
は、低比誘電率であっても、機械的・化学的耐性や熱伝
導性の点で問題があった。このため、機械的・化学的耐
性に優れ、熱伝導性の高い低比誘電率の保護膜34とし
て更なる低比誘電率膜を組み合わせることにより、密着
性や耐吸湿性を維持した状態で、加工条件が厳しくなる
LSIプロセスに適合した層間絶縁膜33の要求に応え
ることが可能になる。
【0035】尚、有機塗布膜やポーラス膜の層間絶縁膜
33と保護膜34に対して電圧−容量測定を行った結
果、比誘電率κ<2.2 が得られたことが確認されてい
る。
【0036】
【発明の効果】本発明の成膜方法は、成膜室内にプラズ
マを生成し、成膜室内で窒素ガスを主に励起した後に水
素ガス希釈のジボランガスと混合させて反応させ、基板
に窒化ホウ素膜を成膜するようにしたので、機械的・化
学的耐性に優れ、熱伝導性の高い比誘電率κである低比
誘電率の窒化ホウ素膜を高速に成膜することが可能にな
る。
【0037】また、本発明の成膜方法は、成膜室内にプ
ラズマを生成し、成膜室内で窒素ガスを主に励起した後
に水素ガス希釈のジボランガス及び有機系ガスを反応さ
せ、基板に炭窒化ホウ素膜を成膜するようにしたので、
耐吸湿性及び機械的・化学的耐性に優れ、熱伝導性の高
い比誘電率κである低比誘電率の炭窒化ホウ素膜を高速
に成膜することが可能になる。
【0038】本発明の成膜装置は、成膜室内にプラズマ
を生成するプラズマ生成手段を成膜室の上部に備えると
共に、成膜室の下部に基板保持部を備え、成膜室内に窒
素ガスを導入する窒素ガス導入手段を設け、窒素ガス導
入手段の下方側の成膜室内に水素ガス希釈のジボランガ
スを導入するジボランガス導入手段を設けたので、成膜
室内にプラズマを生成し、成膜室内で窒素ガスを主に励
起した後に水素ガス希釈のジボランガスと混合させて反
応させることで、基板に窒化ホウ素膜が成膜できる。こ
の結果、機械的・化学的耐性に優れ、熱伝導性の高い比
誘電率κである低比誘電率の窒化ホウ素膜を高速に成膜
することが可能になる。
【0039】また、本発明の成膜装置は、成膜室内にプ
ラズマを生成するプラズマ生成手段を成膜室の上部に備
えると共に、成膜室の下部に基板保持部を備え、成膜室
内に窒素ガスを導入する窒素ガス導入手段を設け、窒素
ガス導入手段の下方側の成膜室内に水素ガス希釈のジボ
ランガス及び有機系ガスを独立して導入する手段を設け
けたので、成膜室内にプラズマを生成し、成膜室内で窒
素ガスを主に励起した後に水素ガス希釈のジボランガス
及び有機系ガスを反応させ、基板に炭窒化ホウ素膜が成
膜できる。この結果、耐吸湿性及び機械的・化学的耐性
に優れ、熱伝導性の高い比誘電率κである低比誘電率の
炭窒化ホウ素膜を高速に成膜することが可能になる。
【図面の簡単な説明】
【図1】本発明の第1実施形態例に係る成膜方法を実施
する成膜装置としてのプラズマCVD装置の概略側面
図。
【図2】ジボラン及び窒素の割合と比誘電率の関係を表
すグラフ。
【図3】本発明の第2実施形態例に係る成膜方法を実施
する成膜装置としてのプラズマCVD装置の概略側面
図。
【図4】テトラエトキシシランの吸湿性への影響を説明
するグラフ。
【図5】本発明のプラズマCVD装置を用いた成膜方法
で成膜を行った集積回路の概略構成図。
【符号の説明】
1 容器 2 成膜室 3 天井板 4 静電チャック 5 静電チャック用直流電源 6 基板 7 高周波アンテナ 8 整合器 9 高周波電源 10 プラズマ 11 窒素(N2)ガス 12 窒素ガスノズル 13 ジボラン含有ガス(B2H6含有ガス) 14 ジボランガスノズル 15 窒化ホウ素(BN)膜 16 テトラエトキシシランガス(TEOSガス) 17 有機系ガスノズル 18 炭窒化ホウ素(BNC)膜 31 トランジスタ 32 配線 33 層間絶縁膜 34 保護膜
───────────────────────────────────────────────────── フロントページの続き (72)発明者 上田 憲照 兵庫県神戸市兵庫区和田崎町一丁目1番1 号 三菱重工業株式会社神戸造船所内 (72)発明者 杉野 隆 大阪府豊中市上新田3−4−1−322 Fターム(参考) 4K030 AA07 AA17 AA18 BA39 BA41 CA04 FA03 JA06 JA10 JA18 KA49 LA15 5F045 AA08 AB15 AB17 AC01 AC15 AD06 AD07 BB08 CB05 DP04 EE02 EE12 EH02 EM05

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 成膜室内にプラズマを生成し、成膜室内
    で窒素ガスを主に励起した後に水素ガス希釈のジボラン
    ガスを反応させ、基板に窒化ホウ素膜を成膜することを
    特徴とする成膜方法。
  2. 【請求項2】 成膜室内にプラズマを生成し、成膜室内
    で窒素ガスを主に励起した後に水素ガス希釈のジボラン
    ガス及び有機系ガスを反応させ、基板に炭窒化ホウ素膜
    を成膜することを特徴とする成膜方法。
  3. 【請求項3】 請求項1もしくは請求項2のいずれか一
    項において、窒素ガスの流量とジボランの流量との比で
    ある(窒素ガス/ジボラン)を0.1 〜10.0に設定したこ
    とを特徴とすることを特徴とする成膜方法。
  4. 【請求項4】 請求項3において、(窒素ガス/ジボラ
    ン)を0.2 〜1.2 に設定したことを特徴とする成膜方
    法。
  5. 【請求項5】 請求項2において、有機系ガスの流量と
    ジボランの流量との比である(有機系化ガス/ジボラ
    ン)を0.01〜1.0 に設定したことを特徴とする成膜方
    法。
  6. 【請求項6】 請求項1乃至請求項5のいずれか一項に
    おいて、1MHz乃至100MHz、1kW 乃至10kWの高周波を印加
    してプラズマを発生させ、基板の温度を200 ℃乃至400
    ℃に設定したことを特徴とする成膜方法。
  7. 【請求項7】 成膜室内にプラズマを生成するプラズマ
    生成手段を成膜室の上部に備えると共に、成膜室の下部
    に基板保持部を備え、成膜室内に窒素ガスを導入する窒
    素ガス導入手段を設け、窒素ガス導入手段の下方側の成
    膜室内に水素ガス希釈のジボランガスを導入するジボラ
    ンガス導入手段を設けたことを特徴とする成膜装置。
  8. 【請求項8】 成膜室内にプラズマを生成するプラズマ
    生成手段を成膜室の上部に備えると共に、成膜室の下部
    に基板保持部を備え、成膜室内に窒素ガスを導入する窒
    素ガス導入手段を設け、窒素ガス導入手段の下方側の成
    膜室内に水素ガス希釈のジボランガス及び有機系ガスを
    独立に導入する手段を設けたことを特徴とする成膜装
    置。
JP2001093499A 2001-03-28 2001-03-28 成膜方法及び成膜装置 Expired - Fee Related JP5013353B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2001093499A JP5013353B2 (ja) 2001-03-28 2001-03-28 成膜方法及び成膜装置
TW091106145A TW554416B (en) 2001-03-28 2002-03-28 Film forming method and film forming device
KR1020027016104A KR20030007722A (ko) 2001-03-28 2002-03-28 성막방법 및 성막장치
PCT/JP2002/003071 WO2002080256A1 (fr) 2001-03-28 2002-03-28 Procede de formation de film et dispositif de formation de film
US10/471,796 US6958175B2 (en) 2001-03-28 2002-03-28 Film forming method and film forming device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001093499A JP5013353B2 (ja) 2001-03-28 2001-03-28 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2002293516A true JP2002293516A (ja) 2002-10-09
JP5013353B2 JP5013353B2 (ja) 2012-08-29

Family

ID=18947829

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001093499A Expired - Fee Related JP5013353B2 (ja) 2001-03-28 2001-03-28 成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US6958175B2 (ja)
JP (1) JP5013353B2 (ja)
KR (1) KR20030007722A (ja)
TW (1) TW554416B (ja)
WO (1) WO2002080256A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008187187A (ja) * 2001-09-10 2008-08-14 Watanabe Shoko:Kk 低誘電率膜およびその成膜方法並びにその膜を用いた電子装置
JP2013053016A (ja) * 2011-09-01 2013-03-21 Denki Kagaku Kogyo Kk 六方晶窒化ホウ素及び六方晶炭窒化ホウ素の混合物、その製造方法及び組成物
WO2020189158A1 (ja) * 2019-03-15 2020-09-24 東京エレクトロン株式会社 六方晶窒化ホウ素膜を形成する方法および装置

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4694108B2 (ja) * 2003-05-23 2011-06-08 東京エレクトロン株式会社 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9698043B1 (en) * 2016-05-20 2017-07-04 International Business Machines Corporation Shallow trench isolation for semiconductor devices
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11462477B2 (en) 2019-10-29 2022-10-04 Samsung Electronics Co., Ltd. Interconnect structure and electronic apparatus including the same
US11424186B2 (en) 2019-10-29 2022-08-23 Samsung Electronics Co., Ltd. Semiconductor memory device and apparatus including the same
US11624127B2 (en) 2019-10-29 2023-04-11 Samsung Electronics Co., Ltd. Boron nitride layer, apparatus including the same, and method of fabricating the boron nitride layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6383273A (ja) * 1986-09-26 1988-04-13 Res Dev Corp Of Japan 窒化ホウ素膜の合成方法
JPS6417867A (en) * 1987-07-13 1989-01-20 Semiconductor Energy Lab Manufacture of carbon and boron nitride
JPH02248307A (ja) * 1989-03-22 1990-10-04 Babcock Hitachi Kk 窒化物合成方法およびその装置
JPH038705A (ja) * 1989-06-02 1991-01-16 Mitsubishi Heavy Ind Ltd 窒化ホウ素製造法
JPH0379769A (ja) * 1989-08-19 1991-04-04 Semiconductor Energy Lab Co Ltd 窒化ホウ素の作製方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT396437B (de) * 1986-10-25 1993-09-27 Voith Gmbh J M Vordosiereinrichtung in einer streichanlage
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
JPH04228572A (ja) * 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5320878A (en) * 1992-01-10 1994-06-14 Martin Marietta Energy Systems, Inc. Method of chemical vapor deposition of boron nitride using polymeric cyanoborane
FR2726579A1 (fr) * 1994-11-07 1996-05-10 Neuville Stephane Procede de depot d'un revetement protecteur de type pseudo carbonne diamant amorphe

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6383273A (ja) * 1986-09-26 1988-04-13 Res Dev Corp Of Japan 窒化ホウ素膜の合成方法
JPS6417867A (en) * 1987-07-13 1989-01-20 Semiconductor Energy Lab Manufacture of carbon and boron nitride
JPH02248307A (ja) * 1989-03-22 1990-10-04 Babcock Hitachi Kk 窒化物合成方法およびその装置
JPH038705A (ja) * 1989-06-02 1991-01-16 Mitsubishi Heavy Ind Ltd 窒化ホウ素製造法
JPH0379769A (ja) * 1989-08-19 1991-04-04 Semiconductor Energy Lab Co Ltd 窒化ホウ素の作製方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008187187A (ja) * 2001-09-10 2008-08-14 Watanabe Shoko:Kk 低誘電率膜およびその成膜方法並びにその膜を用いた電子装置
JP2013053016A (ja) * 2011-09-01 2013-03-21 Denki Kagaku Kogyo Kk 六方晶窒化ホウ素及び六方晶炭窒化ホウ素の混合物、その製造方法及び組成物
WO2020189158A1 (ja) * 2019-03-15 2020-09-24 東京エレクトロン株式会社 六方晶窒化ホウ素膜を形成する方法および装置

Also Published As

Publication number Publication date
US20040083973A1 (en) 2004-05-06
KR20030007722A (ko) 2003-01-23
JP5013353B2 (ja) 2012-08-29
TW554416B (en) 2003-09-21
US6958175B2 (en) 2005-10-25
WO2002080256A1 (fr) 2002-10-10

Similar Documents

Publication Publication Date Title
JP2002293516A (ja) 成膜方法及び成膜装置
US20010019889A1 (en) Method for PECVD deposition of selected material films
KR101057252B1 (ko) 플라즈마 cvd 장치, 박막형성 방법 및 반도체 장치
JP4986625B2 (ja) 膜の製造方法および当該方法で製造された膜を用いた半導体装置
KR100870172B1 (ko) 막형성 방법 및 막형성 장치와 절연막 및 반도체 집적회로
US7314651B2 (en) Film forming method and film forming device
JP2002289617A (ja) 集積回路構造
JP2000058538A (ja) 半導体装置の層間絶縁膜の形成方法
US20040092086A1 (en) Film forming method and film forming device
JP2004186403A (ja) 窒化ホウ素膜の成膜方法及び成膜装置
JP3988496B2 (ja) プラズマ反応性ガスおよびフッ素含有有機膜の形成方法
JP5221840B2 (ja) 成膜方法並びに絶縁膜及び半導体集積回路
JP4600427B2 (ja) 薄膜形成方法および半導体装置
TW511230B (en) Method for producing porous film with low dielectric constant
JP2004193262A (ja) 絶縁性材料および絶縁膜形成方法
KR20210084267A (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 시스템
JPH01239850A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040708

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20040809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040809

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20050314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050314

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A073

Effective date: 20050601

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050816

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120425

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120529

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees