TW384515B - Electronic device and its manufacturing method - Google Patents

Electronic device and its manufacturing method Download PDF

Info

Publication number
TW384515B
TW384515B TW085116146A TW85116146A TW384515B TW 384515 B TW384515 B TW 384515B TW 085116146 A TW085116146 A TW 085116146A TW 85116146 A TW85116146 A TW 85116146A TW 384515 B TW384515 B TW 384515B
Authority
TW
Taiwan
Prior art keywords
film
insulating film
electronic component
nitrogen
silicon
Prior art date
Application number
TW085116146A
Other languages
English (en)
Inventor
Masanori Miyazaki
Koichi Fukuda
Masaru Kubota
Tadahiro Ohmi
Osamu Yoshida
Original Assignee
Frontec Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP34662593A external-priority patent/JP2640910B2/ja
Priority claimed from JP3576794A external-priority patent/JP2662180B2/ja
Application filed by Frontec Inc filed Critical Frontec Inc
Application granted granted Critical
Publication of TW384515B publication Critical patent/TW384515B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

A7 £7___—_ 五、發明説明(1 ) (產業上之利用領域) 本發明係有關於一種電子元件及其製造方法,更詳細 地說係有關於在被形成在絕緣性基底之表面的導電性構件 上形成有氮化矽系之絕緣膜之電子元件及其製造方法。 (習知技術) 電子元件則以使用在驅動圖9所示之主動矩陣液晶.顯 示元件之薄膜電晶體(以下稱爲「TFT」)爲習知技術 的例來加以說明。 圖10係表圖9之沿著X—X線之斷面圖,而概念式 地表示TFT陣列部分。此外,圖1 〇係一概念式表示者 ,其尺寸與實際之元件完全不同。 在圖1 0中,1 0 9係被圖案形成在基板1 0 5上之 閘電極(配線圖案),110係閘配線(配線圖案), 103係絕緣膜,其中,103a係閘絕緣膜,103b 係配線交叉部之層間絕緣膜。 111係源極、112係源極配線、1 1 3係汲極· 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 該閘絕緣膜1 0 3 a或TFT陣列之多層金屬配線之 交叉部103b大多使用氮化矽薄膜。 該氮化矽薄膜之組成,其中矽:氮的原子比約爲3 : 4,爲了要使膜的品質能夠穩定化,則最好含有微量的氫 。該絕緣膜主要是以電漿CVD法(化學'h相澱積法)來 形成膜,而一般之原料氣體係使用矽烷-氮系、矽烷一氨 一氮系、砂院一氨一氫系、砍焼—氮一氫系,更者砂院一 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~ 4 - A7 B7_ 五、發明説明(2 ) 氨一氮一氫系。 然而,使用該氮化矽絕緣膜作爲閘絕緣膜1 0 3 a或 多層配線之交叉部之層間絕緣膜1 0 3 b之以TFT爲代 表的電子元件,在閘極1 0 9、閘極配線11 0以及經由 絕緣膜而形成之配線(源極配線1 12、源極1 1 1等) 之間會有產生電性短路的情形。特別是對髙積體化之大面 積基板而言發生上述短路的機率非常高。上述短路係在使 用最終產品之時或是製造過程中產生。當爲最終產品時, 則具有會導致製品之可靠性降低的缺點,又在製造過程時 ,則具有導致良品率降低的缺點·例如在形成源極配線 1 1 2等後,爲了要形成接觸孔(contact hole)乃實施 光蝕刻過程,而在此過程中,在源極配線1 1 2與閘極配 線1 10或是閘極1 0 9之間會產生絕緣破壞。 經濟部中央標準局員工消費合作社印製 (諳先閲讀背面之注意事項再填寫本頁). 根據該缺點係起因於在絕緣膜中存在有針孔(pin hole)而在日本國專利特開昭58 -190042號公報 ,乃採用非滲雜(nondope)之非晶政(amorphous silioon)層11 5疊層在閘極配線1 1 0與源極配線 112之交叉部上之所謂多層絕緣膜構造而意圖解決上述 缺點。伹是,該技術,究竟絕緣層不是一層構造,既然必 須是多層絕緣膜構造,則難免製造過程會變得複雜。 爲此,期待即使是一層的絕緣層構造,亦具有優越的 絕緣特性,且容易製造之以T F T爲代表的電子元件。 特別是現在由於電子元件在過於嚴苛之環境下使用之 頻率爲高,因此期待絕緣膜之絕緣耐壓有1 〇 〇 V以上。 本紙張尺度適用中國國家標準(CMS ) A4規格(210X297公釐) 經濟部中央標率局員工消費合作社印製 A7 B7 五、發明説明(3 ) 又,由電子元件之微細化的觀點來看’則期待絕緣膜之膜 厚在50〇nm以下,最好是抑制在200〜400nm 。因此,即使是2 0 0 nm左右之薄的厚度’仍期待具有 1 〇 〇 V以上之絕緣耐壓之絕緣膜。 而現在足以滿足上述要求之電子元件仍未被開發出。 又以往之電子元件之絕緣膜,使用在驅動例如圖9及 圖1 0所示之主動矩陣液晶顯示元件之T F T陣列之多層 金屬配線之交叉部的絕緣膜1 〇 3則大多使用氮化矽薄膜 。該膜係主要由CVD法來形成,而原料氣體系則使用周 知之矽烷一氮系、矽烷-氨一氮系、矽烷一氨一氫系、矽 烷一氮一氫更者矽烷一氬一氮-氫系。此時爲了使膜的品 質能夠穩定化,乃在3 0 0 °C以上之溫度下來成膜,該溫 度係一較被使用在電子元件爲多之非晶矽之成膜溫度有高 大約數+ °C的溫度•又,當藉噴濺法來形成同樣的絕緣膜 時,則將氬元素放在0.50Pa以上之環境下進行》 (本發明所欲解決的課題) 但是,由使用上述混合氣體系之c VD法或是噴濺法 而成膜之氮化矽薄膜,則與由矽之髙溫氧化所形成之氧化 膜不同,其中由於針孔多,而絕緣耐壓變爲不好,當使用 在電子元件時,只以1層時很難得到所必要的絕緣耐壓。 因此,如圖9及圖1 0所示,在TFT陣列中,將其他的 膜疊層在多層金靥配線間之交叉部,以作爲多層膜來獲取 所必要的絕緣耐壓。爲此,會有製造過程變得複雜的問題 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -----------0¾.------訂·----一I-Q (請先閔讀背面之注意事項再填寫本頁) ~ 6 — 經濟部中央標準局員工消費合作社印製 A7 s_____B7___ 五、發明説明(4 ) ο 又,由於絕緣膜在CVD法下之成膜溫度高,因此在 成膜時,異物會大量自成膜裝置之內壁放出,而有對膜之 品質帶來惡劣影響的情形。 更者,對於具有直接與絕緣膜相接之非晶矽膜之 T F T的電子元件而言,由於上述絕緣膜與上述非晶矽膜 之成膜溫度不同,因此在第一層膜成膜後,爲了要達到下 一個膜的成膜溫度必須將基板之溫度冷卻或是加熱,使之 對於該溫度調節過程有需要花費長時間。 本發明係擬提供即使膜厚薄如0 . 2 左右之單層 絕緣膜構造,亦具有絕緣耐壓爲1 0 0 V以上之優異之絕 緣耐壓特性之絕緣膜之T F T爲代表之電子元件,以及能 使之有效率且確實地製造之製造方法。 (解決課題的手段) 爲了要解決上述課題,本發明之電子元件,主要係在 至少表面爲絕緣性之基底的該表面形成有導電性配線圖案 ,而以覆蓋上述基底以及上述配線圖案之一部分或是全部 來形成絕緣膜,其特徵爲:上述絕緣膜之主要成分爲矽以 及氮,上述矽:氮的比約爲3 : 4 ’而上述絕緣膜之稀有 氣體元素的含有率爲0.0 1〜3 atm%。 上述電子元件爲薄膜電晶體爲其理想。 稀有氣體元素爲氫元素爲其理想。 又有關本發明之電子元件之製造方法’主要係在至少 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁)
-7 - 經濟部中央標準局員工消费合作社印製 A7 ____B7___ 五、發明説明(5 ) 表面爲絕緣性之基底的該表面形成導電性之配線圖案,而 以覆蓋上述基底以及上述配線圖案之一部分或是全部來形 成主要成分爲矽與氮的絕緣膜,其特徵爲:用於藉電漿 CVD法而形成上述絕緣膜的氣體組成,係一至少含有矽 烷,氨,氮及稀有氣體元素的混合氣體,而上述稀有氣體 元素與氮氣之莫耳比爲稀有氣體元素/氮氣=0 · 1〜 1 0的範圍》 上述絕緣膜是在2 2 0 °C〜2 8 0乞之範圍下來成膜 〇 本發明方法中之電子元件係一具有直接接觸於上述絕 緣膜之非晶矽膜的電子元件,而上述絕緣膜與上述非晶矽 膜係在幾乎相同的溫度下製成。 再者,有關本發明之電子元件之另一製造方法,主要 係在至少表面爲絕緣性之基底的該表面形成導電性之配線 圖案,而以覆蓋上述基底以及上述配線圖案之一部分或是 全部來形成主要成分爲矽與氮的絕緣膜,其特徵爲:用於 藉噴濺法形成上述絕緣膜的靶體至少含有矽,而在噴濺成 膜時之環境氣體係一至少爲稀有元素氣體,氫氣,氮氣或 是氨氣的混合體系,而該些氣體的分壓爲稀有氣體元素分 壓爲0 . 20 — 0 · 40Pa ,氫氣分壓爲〇 . 02〜 0 . 1 5 P a。 稀有氣體元素爲氬元素爲其理想。 (作用) 本紙張尺度適用中國國家標隼(CNS ) A4规格(210 X 297公釐) (#先聞讀背面之注意事項再填寫本貰) '裝. 訂 ~ 8 - A7 £7_ 五、發明説明(6 ) 以下則就實施本發明時所得到之見識來說明本發明之 作用。 本發明人乃對以往之電子元件重新進行探討。使電子 元件之耐壓惡化之原因乃有許多。例如氮化矽絕緣膜之膜 厚、膜的品質。 本發明人首先考慮氮化矽絕緣膜之膜的品質是否成爲 最大的原因。其中一者,在日本國特開昭 5 8 - 1 9 0 0 4 2號公報中乃舉出原因是絕緣膜中之針 孔,然而爲了要確認上述針孔是否爲導致絕緣膜特性惡化' 的唯一原因。爲此,乃形成針孔被減少之氮化膜,亦即, 利用電漿CVD法,控制基板溫度、RF電力、原料氣體 之組成來形成氮化矽絕緣膜,並確認有無針孔形成,以形 成幾乎沒有針孔之氮化矽絕緣膜》而察明即使是如此形成 之幾乎無針孔的氮化矽絕緣膜,亦無法確保充分的絕緣特 性* 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 根據本發明之電子元件,作爲絕緣膜之氮化矽薄膜的 絕緣耐壓乃可大幅地提升,且使用該薄膜之電子元件的絕 緣耐壓亦提升•本來因會成爲雜質而理應不受歡迎之稀有 氣體元素雖是微量狀,惟以包含有一定範圍之時會顯示令 人滿意的理由,雖然並不十分的了解,但是與矽或氮相比 ,在膜中可導入適量之惰性且穩定的元素,而想必是由於 一種楔塊效應而導致膜的穩定化。另一方面,當稀有氣體 元素過多時,則認爲氮化矽所擁有之優越的特性會被減低 而絕緣耐壓會惡化。 ^紙張尺度適用中國國家標芈(CNS ) A4規格(210X297公釐) ' -9 - A7 B7 五、發明説明(7 .) 前述電子元件係TFT之時,上述絕緣膜就形成可當 作T F T之閘極絕緣膜以及多層配線之交叉部的絕緣膜來 產生功能。因此,T F T之閘極上之絕緣膜的特性可以穩 定,而增進閘極耐壓或是多層配線間的絕緣耐壓。 前述稀有元素若使用與其他之稀有元素相比可以便宜 且穩定地獲得的氬元素之時,就能以便宜且穩定地來提供 上述電子元件。.而此乙事對於產業上非常有用。 根據本發明之電子元件之製造方法,在製造以c V D 法形成主要成分爲矽與氮之絕緣膜的電子元件時,用於形 成上述絕緣膜之稀釋氣體則使用氮與稀有元素,由於氮與 稀有元素之混合比係設在稀有元素/氮=0 . 1〜10之 範圍,因此可將一定量之稀有氣體元素導入絕緣膜中,而 增進電子元件之絕緣耐壓。 經濟部中央標準局員工消費合作社印製 {讀先M讀背面之注意事項再填寫本頁) 由於稀有氣體元素氣體,其中表示電離容易度之特性 的電離特性與氮不同,因此藉在稀釋氣體中添加稀有氣體 元素而控制混合氣體的組成,就能夠獨立地控制CVD成 膜中之電漿密度與電漿之電位(potential )。根據發明 人等之實驗,當稀釋氣體之氮含有率增加時,則電漿之電 位會增加。亦即,有適度的氮含率’雖然可以得到緻密且 良質的絕緣膜,但是若氮之成分過度增加時,則會對成膜 中的膜帶來損傷。另一方面’稀有氣體元素組成’則與電 漿密度的控制有關,當稀有氣體含有率少择’雖然電漿密 度之分布良好,但是密度低,相反地’當稀有氣體含有率 過多時,則電槳密度之分布會變差,而在基板端部容易產 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) 經濟部中央標準扃員工消費合作社印製 A7 B7_ 五、發明説明(8 ) 生異常放電。因此,在稀釋氣體中之氮與稀有氣體元素的 組成比乃存在最佳範圍,若是在上述之組成範圍內,則不 會對成膜中的膜造成損傷又不會產生異常放電,並可得到 緻密且絕緣耐壓高之良質的絕緣膜。 倘若上述絕緣膜之成膜溫度低到2 2 0 °C〜2 8 0°C ,就在成膜時,異物較少自成膜裝置之內壁放出· 在本發明方法之電子元件之製造方法,由於絕緣膜與 上述非晶矽膜幾乎是以相同之溫度來成膜,因此在形成第 一層的膜後,不需要對基板實施冷卻或是加熱,即可立即 地形成下一個膜,在溫度調節過程不需要花費長時間。 再者,根據有關本發明之電子元件之另一製造方法, 可以導入較以往以噴濺法所形成之絕緣膜較少之一定量的 稀有氣體元素,由於膜的構造可以穩定化,因此可增進電 子元件的絕緣耐壓。又由於含有適量的氫氣,因此氫原子 可與在所形成之膜中之矽原子之未結合鍵結合而減少膜中 之缺陷,同時可增進電子元件之絕緣耐性。 又,由於對象目檩係使用矽結晶或是氮化矽之燒結體 ,因此亦可不使用危險且必須慎重處理之矽烷氣體、 有關上述本發明之電子元件之另一製造方法,做爲稀 有氣體元素,若使用與其他之稀有氣體元素相比可以便宜 且穩定地獲得的氬元素時,就能以便宜且穩定地來提供上 述電子元件,而該一點對於工業上非常有用。 (實施態樣例) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐] „ ; 裝 ϋ I 訂—— (請先閱讀背面之注意事項再填寫本頁) -11 - 經濟部中央樣準局員工消費合作杜印製 A7 ___B7 ___ 五、發明説明(9 ) 以下,就本發明之構成與實施態樣例分別說明。 (電子元件) 在本發明中作爲對象的電子元件,係一在至少表面爲 :絕緣性之基座的該表面形成導電性的配線圖案,而以覆蓋 上述基底以及上述配線圖案之一部分或是全部來形成氮化 矽絕緣膜的電子元件。 上述電子元件可例如爲T F T元件、電容元件、發光 元件、光感測器、太陽電池等。 此外,基底亦可直接使用只要至少其表面爲絕緣性, 而其本身爲絕緣性的基底(例如、玻璃等之陶瓷基板)、 又亦可使用導電性基底、在半導體基底之表面上形成絕緣 膜(例如S i 02膜、s i3N4等)者。可依電子元件的 種類來適當選擇。 又*本發明雖然對於絕緣膜層爲一層構造時特別有效 ,但是對於多層構造時,絕緣耐壓可以更加提升’而該場 合亦包含於本發明之範圍內。 導電線之配線圖案,其材料雖未特別限定’但是亦可 適當使用例如C r,A)2,Cu ’其他之金靥或是合金。 又,配線圖案之線寬,在閘電極最好是在1 〇 以下’ 而7 以下更佳,5 爲最佳。又,厚度最好是 1 0 0〜2 0 0 nm。當在該範圍內時’則可以減少 T F T陣列上之配向膜表面的段差,而可以改善L C D之 顯示性能* 本紙張尺度適用中國國家標準(CMS ) A4規格(210X297公釐) .----------裝------訂------ώ ..—\ - is (讀先閣讀背面之注意事項再填寫本頁) -12 - 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(10 ) (絕緣膜膜厚) 在本發明中,絕緣膜的厚度最好是在5 Ο Ο n m以下 ,又更好是在2 0 0〜4 0 0 nm ·即使是如2 0 0 nm 之薄的情況,亦顯示可以得到1 〇 〇 V以上之絕緣耐壓之 處,具有大的特徵。 (實施例) 以下舉出本發明之實施例加以詳細說明。此外當然本 發明之範圍並未限定於以下之實施例。 (實施例1 ) 本發明之電子元件爲電容元件之實施例則利用圖1來 說明。圖1(A)係表示電容元件127之俯視概略圖。 圖1(B)係沿著圓1 (A)之線的斷面概略 圖。電容元件1 2 7之構成係在縱橫各1 cm之基板 1 0 5表面經由絕緣膜1 2 5形成有下側配線端子1 2 8 以及被連接在此之下側配線1 2 1、與上側配線端子 1 2 9以及被連接在此之上側配線1 2 3。而上側配線 1 2 1與上側配線1 2 3之交叉處在1 〇行X 1 〇列中共 有1 0 0處,而各配線係由C r所形成’其膜厚以及線寬 設成0 . 1/zm以及又’絕緣膜125則是依後 述之CVD法來形成,其膜厚爲〇·2μιη· 膜之主要成分爲矽與氮,係一元素比約爲3 : 4的氣 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) .—'1 -------;裝------tT------lj ,' /.V (#先閱讀背面之注意事項再填寫本萸) A7 B7 五、發明説明(11 ) 化矽薄膜,使用膜中之氬元素含有率自0 a t m% (以往 之絕緣膜)到超過3 a t m%爲止之絕緣膜1 2 5 *此外 ,氬元素之定量分析則是使用巴津耶魯馬公司製的S I Μ 6 6 0 0而進行。在該電容元件1 2 7,電荷係被保存在 下側配線1 2 1與上側配線1 2 3之1 〇 〇處的交叉部。 使用該電容元件1 2 7,利用休魯特巴卡德公司製之 # 4 1 4 2 Β來測量下側配線端子1 2 8與上側配線端子 1 2 9之間的絕緣耐壓。 結果則表示在圓2。在圖2中,縱軸表絕緣耐壓,橫 軸表膜中之氬元素含有率,絕緣耐壓一般必須要在1 〇 〇 V以上,由圖2可知,當電容元件1 2 7之絕緣膜1 2 5 之氬元素的含有率不到0 . 0 1 a t m%時,則絕緣耐壓 會成1 0 0 V以下。相反地,當氬元素之含有率即使是超 過3a tm%,則絕緣耐壓亦成爲100V以下。氬元素 的含有率最好是0 . 0 1 a tm%〜3 a tm%,更者, 則確認最好爲1 a tm%〜2a tm%。 經濟部中央標準局貝工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) 利用福雷庫薩斯公司製的基板應力測量裝置來測量上 述絕緣膜1 2 5之內部應力的結果則表示在圚3中◊在圖 3中,縱軸表內部應力,橫軸表膜中之氬含有率。內部應 力一般而言,絕對值小者爲好,而必須在±4 x 1 〇β d y n/Crri以內。由圖3可知,當電容元件12 7之絕 緣膜1 2 5之氬元素的含有率不到0 . 0 l a t m%時, 則內部應力超過4X 1 〇edy n/cma,相反地,即使 氬元素之含有率超過3 a tm% ’內部應力則在 本紙張尺度遑用中國國家標準(CNS ) A4規格(210x297公釐) A7 B7 五、發明説明(12 ) ~4 X 1 Oed y n/ciri以下,而氬元素之含有率則最 好是0 . 0 1 a tm%〜3 a tm%,更者,則確認最好 爲 latm% 〜2atm%e 如以上所詳述,若是一使用氣元素之含有率在 0 · 〇 1 a tm%〜3 a tm%之範圍的氮化矽薄膜當作 絕緣膜之本實施例所記載之電容元件時,則可確認即使是 單層的絕緣膜,亦具有充分的絕緣耐壓》 其次,則詳述本實施例中所使用之絕緣膜125的成 膜方法。絕緣膜1 2 5係利用阿鎳爾巴公司製之平行平板 型的一字型之成膜裝置並由從表1所示之成膜條件No . 7到成膜條件No.12爲止之各種條件的CVD法來形 成膜。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印製 i紙張尺度it财國S( 21 OX297公釐) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(13 ) 〔表1〕 氣化矽薄膜成膜條件 成膜條件 No. 7 No. 8 No. 9 No. 10 No. 11 No. 12 導 入 氣 體 條 件 *1 矽烷 30 30 30 30 30 30 氨 60 60 60 60 60 60 氮 1000 900 750 250 90 0 氬 0 90 250 750 900 1000 壓力 100 Pa 激發頻率 13.56 MHz 高頻電力 0· 11 ff/cra2 成膜溫度 220 °C 注*1 導入氣體單位爲S c c m (討先閔讀背面之注意事項再稹寫本頁) 裝. -6 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 五、發明説明(14 ) 由以表1所示之成膜條件所形成之絕緣性1 2 5的膜 特性則表示在表2。 經濟部中央標準局員工消費合作社印製 準 標 家 國 國 中 用 .適 度 尺 張 -紙 本 I釐 公 7 9 2 :ml— (n m^i ^^1 ^^^1 ml ^^^1 m (詩先閱讀背面之注意事項再填寫本頁) 0: 17
7 7 A B 五、發明説明(15 ) 〔表2〕 依據成膜條件之膜持件 成膜條件 No. 7 No. 8 No. 9 No. 10 No. 11 No. 12 膜元素組成 矽=氮 3:4 3:4 3:4 3:4 3:4 3:4 M a t m % 0 0. 01 1 2 3 3. 5 絕緣耐壓(V) 20 100 175 200 100 20 內部應力*1 4.2 2 0 -2 -3.8 -5. 6 注*1內部應力,正者爲拉伸應力,負者爲壓縮應力。 又,單位爲1 09d y n/ciri ,-----------1,裝------訂------Q (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標隼(CNS ) A4规格(21〇Χ297公釐) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(16 ) 由表2可知,主要成分,在所有的條件皆爲矽與氮, 該元素組成比,根據X線光電子分光分析裝置(巴金耶爾 馬製pHl 5 5 0 0 )之測定的結果約爲3 : 4。膜中之 氬元素含有率,在氣體組成中未含有氬氣體之習知成膜方 法的情況下(成膜條件No .7)當然爲0 a tm%,而 在氣體組成之稀釋氣體(氮與氬)全部皆做爲氬氣體時( 成膜條件No . 12),則爲3 . 5a tm%。當將該稀 釋氣體之組成設成氮1 0 0%或是氬1 0 0%時,則絕緣 耐壓低到20V。相對於此,如成膜條件No.8到成膜 條件No · 1 1所示,在稀釋氣體之氬/氮的值在〇 . 1 (成膜條件N 〇 . 8 )〜1 0 (成膜條件No. 11)的範 圍時,.則在絕緣膜中放入0 . 0 1 a tm%〜3 a tm% 的氬元素,如圖2所示,分別顯示有1 0 0V以上之良好 的絕緣耐壓。更者,如成膜條件No.9以及成膜條件 No . 10所示,在稀釋氣體之氬/氮的值爲〇 . 33 ( 成膜條件No . 9)〜3 (成膜條件No · 10)的範圍 時,則在絕緣膜中放入1 a tm%〜2a tm%的氬元素 ’而分別爲3 0 0 V以上,顯示更佳之絕緣耐壓。 又,如表2所示,當藉成膜條件改變內部壓力,而將 稀釋氣體之組成設成氮100%(成膜條件No.7)或 是氬100% (成膜條件No . 12)時,則顯示內部應 力分別爲 4 . 2xl〇edyn/ciri 以及一 5 . 6x 1 09d y n/Cma之大的絕對值。在該些元件中,內部 應力必須要在±4 X 1 〇ed y n/ciri以內。相對於此 本紙張尺度適用中國國家標毕(CNS ) A4規格(21〇X297公釐) (請先閣讀背面之注意事項再填寫本頁) 裝. 訂 -19 - A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明( 17 ) 1 I 9 如 成 膜 條 件 N 0 .8到成膜條件Ν ο . 1 1所 示,在稀 1 釋 氣 體 之 Μ / 氮 的 值在0 . 1 (成膜條件 No . 8 )〜 1 1 0 ( 成 膜 條 件 N o.ll) 之範圍下, 如圖3 所示,則 許 1 ] 顯 示 內 部 應 力 分 別 爲 2 X 1 0 0 d y η / (. :iri 至- -3.8 先 Μ 讀 X 1 0 9d y n/ciri之小的絕對值。更者,如成膜條件 背 面 1 之 1 I N 0 8 至 成 膜 條 件 Ν 〇 . 1 0所示,在 稀釋氣 體之氬/ >主 意 1 I 事 1 氮 的值 爲 0 . 1 ( 成膜條件N 〇 . 8 )〜 3 (成 膜條件 項 再 1 / 、 填 ν ; 裝 N 0 • 1 0 ) 之 範 圍下,內部 應力則在於 ± 2 X 1 0 9 本 頁 d y η / C πί 之 範 圍內,而顯 示更良好之 內部應 力的特性 1 1 | 更 者 在 表 1 所示之成膜 條件中,僅 將成膜 溫度設成 1 1 1 訂 1 2 8 0 °C 來 形 成 絕 緣膜1 2 5 。結果,膜 元素組 成以及絕 緣 耐 壓 則 顯 示 與 表 2所示之特 性相等,只 有內部 應力移位 1 1 約 2 X 1 0 9 d y r 1 / c rri到拉伸應力側 亦即 .當將稀 1 | 釋 氣 體 之 組 成 設 成 氮 1 0 0 % (成膜條件 No. 7 )或是 6 氬 1 0 0 % ( 成 膜 條件N 0 . 1 2 )時, 則顯示 內部應力 1 I 分 別 爲 7 X 1 0 0 d y n / c η [ί 以及一 4 X 1 0 β άγη/ 1 1 I C nf 之 大 的 絕 對 值 ,而如成膜 條件Ν 〇 . 8至成 膜條件 1 1 N 0 1 1 所 示 在稀釋氣體 之氬./氮的 值爲0 .1 (成 膜 條 件 N 0 • 8 ) 〜1 0 (成 膜條件Ν 〇 • 1 1 )之範圍 | 下 如 圖 3 所 示 顯示內部應 力分別爲4 X 1 0 9 d y η 1 I / C πί — 2 X 1 〇 0 d y n / C πί之小的絕對值。更者 1 1 1 如 成 膜 條 件 N 0 .9至成膜 條件Ν 〇 . 1 1所 示,在稀 1 1 I 釋 氣 體 之 Μ / 氮 的 值爲1 (成 膜條件Ν 〇 • 9 ) 〜1 0 ( 1 1 本紙張尺度適用中國國家標準(CNS ) A4C格(210X297公釐) A7 B7___ 五、發明説明(19 ) 施例1中所使用之絕緣膜的實施例。此外’圖5係表示沿 著圖4之V — V —線的斷面概略圖。 T F Τ之基本構造如以往所知,係一反向交錯構造, 在一面上形成有作爲像素電極之一定形狀之透明電極 107之玻璃基板(康寧# 7 059) 105的表面形成 C r膜之膜厚爲0 . 1 ,而寬度爲7 em之閘極配線 1 1 0以及與此呈連續之寬度爲15 jwm的閘極1 〇 9。 在基板1 0 5以及閘極配線1 1 0之上則由膜厚爲0 . 2 之氮化矽形成絕緣膜1 0 3。在該絕.緣膜1 0 3之表 面則疊層有由藉氫而被穩定化之非晶矽所構成的半導體層 115 (膜厚0 .2/zm),更者,則經由通道部疊層有 由添加有磷之非晶矽所構成的歐姆接觸層117(膜厚 0.02#111)以及由(:1*所形成,寬度爲12从111的源 極1 11與汲極1 1 3。在源極1 1 1連接有寬度爲7 以m的源極配線1 1 2,在汲極1 1 3則經由接觸孔連接 有透明電極107。該TFT 101之通道長度爲7 从m、通道寬度爲12μπι。 經濟部中央標準局員工消費合作社印装 (請先閱讀背面之注意事項再填寫本頁) 對該TFT,當與實施例1同樣地對閘極配線1 1 〇 與源極配線1 1 2之間的絕緣耐壓進行評價時,則根據絕 緣膜中之氬元素的含有率,可以得到與電容元件所得到之 圖2同樣的耐壓特性,當將氬元素之含有率在〇 . 〇 1 a t m%〜3 a t m%之範圍的氮化矽薄膜當作絕緣膜使 用之T F T時,確認即使是單層的絕緣膜,亦具有充分的 絕緣耐.壓。此外,該些TFT之閾值(臨限傖> 、ON電 _本紙張认適用中國國家標準(〇則八4胁(21〇><297公釐)' -22 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(20 ) 流、Ο F F電流則全部與使用以往未含有氬元素之氮化矽 之絕緣膜的T F T的特性同等而完全沒有問題。 在本實施例中所使用之絕緣膜1 0 3的成膜方法,則 與上述實施例1相同,而依上述表1所示之成膜條件 No . 7到成膜條件No . ΐέ的各種條件以及與上述實 施例1同樣地改變成膜溫度、原料氣體組成、稀釋氣體相 對於原料氣體流量的流量等特性之C VD法來成膜。其結 果所獲得之絕緣膜1 0 3之膜特性爲與前述表2所示之膜 特性相同,而對於主要成分,所有的條件均爲矽與氮,其 元素組成比約爲3 : 4,膜中之氬元素含有率則根據成膜 時之氣體組成中之稀釋氣體的氬氣含有率而爲0 a tm% 〜3 . 5a tm%。又,絕緣耐壓,內部應力均如上述實 施例1所示。 如上所述,藉使用與實施例1所說明之絕緣膜同等的 絕緣膜,可以使絕緣膜1 0 3之絕緣耐壓在1 〇 〇 V以上 ,而內部應力則在於±4元素X 1 〇9d y n/cm*的範 圍內,並確認使用該些絕緣膜之TFT較使用以往之絕緣 膜者爲佳。 (實施例3 ) 本實施例與實施例2之不同點僅在於所使用之絕緣膜 1 0 3的成膜方法’以下將對於使用在成形之噴濺法加以 詳述。絕緣膜1 0 3係根據表3所示之成膜條件N 〇 . 13到成膜條件No.18之各種條件的噴濺法來成膜。 本紙張尺度通用中國國家標準(CNS ) A4規格(2丨0^^着) ----- ,__Γ-----r)裝----,—訂------ο (請先閱讀背面之注意事項再填寫本頁) 23 A7 B7 五、發明説明(21 ) 在表3中同時記載所形成之絕緣膜103的膜特性 L---„------裝! (請先閱讀背面之注意事項再填寫本頁) -° 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4C格(210X297公釐) 24
B 五、發明説明(22 ) 經濟部中央標準局員工消費合作社印製 〔表3〕 喳濺成膜條件以及膜特性 成膜條件 No. 13 No. 14 No. 15 No. 16 No. 17 No. 18 對象 矽 氮化砂 環境氣體條件(P a) Μ 0.10 0.20 〜0.40 0.50 0. 10 0.20 〜0.40 0.50 氫 0.02 〜0.15 0.02 〜0· 15 0.02 〜0.15 0. 02 〜0· 15 0.02 〜0· 15 0. 02 〜0· 15 全壓力 2.0 激發頻率 13.56 MHz 高頻電力 35 W/cm2 成膜溫度 280°C 〜320°C 膜元素組成 矽:氮 - 3:4 3:4 - 3:4 3:4 氬 a t m% - 0.01 〜3 5 - 0.01 〜3 5 絕緣耐壓(V) - 100〜 180 20 - 100〜 150 20 內部應力 - -2 〜-3 -6 - -2 〜-3 -6 注1 :內部應力,正者爲拉伸應力,負者爲壓縮應力。 又,單位爲109dyn/cma 2 :當氬分壓爲0 . 1 P a時,則幾乎無法形成膜。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、vs Γ -25 - 經濟部中央標準局員工消費合作社印製 A7 _B7_ 五、發明説明(23 ) 由表3可知,所形成之膜的主要成分,所有的條件皆 爲矽與氮,其元素組成比與實施例1同樣地約爲3 : 4 » 在環境氣體之氬氣分壓爲0.10Pa (成膜條件No. 13以及成膜條件No.16)下,則不管目標對象之種 類,氫分壓,成膜溫度如何,電漿均無法穩定,而幾乎不 能形成膜。此外,環境氣體亦使用了氮氣或是氨氣(在對 象使用矽之成膜條件No.13至成膜條件No.15時 ,氮元素被放入膜中當作一部分之反應氣體)。相對於此 ,隨著環境氣體組成中之氬氣分壓增加到0 . 2 0 P a〜 0 . 40Pa (成膜條件No . 14以及成膜條件No . 1 7 )之範圍,電漿會變得穩定,而可穩定地形成膜,膜 中之氬元素含有率則增加到0 . 0 1 a t m %〜3 a t m %。對於在以往含有0 . 50Pa (成膜條件No . 15 以及成膜條件Ν ο . 1 8 )左右之氬氣之環境氣體下的成 膜,則膜中之氬含有率爲5 atm%» 所成膜之氮化矽薄膜之絕緣耐壓以及內部壓力,則如 表3所示,在成膜條件No.14以及成膜條件No. 1 7中顯示有良好的結果,顯示具有可適合作爲TFT之 絕緣膜的特性。相對於此,在以往大量使用氬氣之噴濺法 (成膜條件Ν 〇 . 1 5以及成膜條件Ν 〇 . 1 8 ),則絕 緣耐壓爲2 0V左右,內部應力爲一 6 X 1 0ed y η/ cni左右,並不適合作爲TFT之絕緣膜使用。 如上所述,在成膜條件No . 14以及成膜條件 No . 17下,絕緣膜103之絕緣耐壓在100 V以上 本紙張尺度適用中國國家標準(CNS ) A4規格(210χ297公着) ---Γ------: )裝-- (诗先聞讀背由之注意事項再填寫本X) -3 經濟部中央標準局員工消費合作杜印製 A 7 B7 五、發明説明(24 ) ,而內部應力則在於±4 X 1 Oed y n/cm*的範圍內 ,可確認使用該些絕緣膜之T F T較使用以往之絕緣膜者 爲佳》 本實施例之環境氣體組成中的氬分壓爲〇.02〜 0 . 1 5P a。在該範圍內可以得到大致同等的膜特性。 該氫元素被認爲對氮化矽膜中之未結合鍵的終端處理極有 貢獻。又,在表3中雖然是表示全部壓力爲2.OPa之 條件,但是全部壓力約爲1·OPa〜7.OPa時,亦 可得到大約同等的膜特性*又,根據本實施例,由於對象 係使用矽結晶或是氮化矽的燒結體*而具有可不使用危險 且需慎重處理之矽烷氣體的效果。 (實施例4 ) 本實施例與實施例1之不同點僅在於絕緣膜12 5之 形成方法,以下將對於用於形成之離子注入法加以詳述。 對於在上述成膜條件No.7所得到之絕緣膜,藉離 子注入法將氬元素摻雜。氬元素之摻雜係使用巴里昂公司 製之具有熱陰極放電型之離子源的裝置來進行,加速電壓 爲1 5 OkV、離子電流爲8 0 0 μΑ。依各種處理時間 所得到之膜中之氬元素含有量則使用上述巴金耶爾馬公司 製的S ΙΜ 6600來進行,結果,則與上述表2所示 之結果同樣地,可以得到氬元素之含有率在〇 . 0 1 a tm%〜3a tm%之範圍內’且100V以上之良好 的絕緣耐壓。 本紙張尺度通用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 -27 - 經濟部中央標準局員工消費合作社印製 A7 _:_^_ B7_____ 五、發明説明(25 ) 如上所述,當使用一將氬元素之含有率在〇 . 〇 1 a tm%〜3 a tm%之範圍的氮化矽薄膜當作絕緣膜使 用之本實施例記載的電容元件時,可確認即使是單層的絕 緣膜,亦具有充分的絕緣耐壓。 如上所述,氮化矽之絕緣膜,不管CVD法,噴濺法 或是離子注入法等之絕緣膜的製法爲如何,藉在膜中含有 0 . 0 1 a t m%〜3 a tm%的氬元素,就可成爲具有 良好絕緣耐壓的膜。因此,使用該絕緣膜的電子元件,即 使是單層的絕緣膜,亦可得到充分的絕緣耐壓。 (實施例5 ) 將說明本發明之電子元件爲MO S型電晶體的實施例 •做爲Μ 0 S型電晶體鈍化(passivation)膜則使用依 上述表1所記載之成膜方法所成膜之氮化矽的絕緣膜。在 本實施例中,MO S型電晶體之各種特性乃形成穩定《其 中,對於鈍化膜之特性則有敏感的關係,有關鈉離子之浸 透性,則使用圖6來詳細說明。圖6係表示膜中之氬元素 含有率與浸透在鈍化膜中之鈉離子之峰值濃度的關係。鈉 離子之浸透試驗係將作爲試件之MO S型電晶體在一般室 內之大氣環境中加熱2 0小時到5 5 0 °C而進行。此外, 對於鈉離子之峰值濃度的測量’則是使用上述巴金耶魯馬 公司製的S IMS 6600,邊將膜噴濺於深度方向而 邊進行者。 由圖6可知,當MOS電晶體之鈍化膜之氬元素的含 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ill·-------一裝------訂------Q (#先聞讀背面之注意事項再填寫本頁) -28 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(26 ) 有率爲0 . Ol a tm%〜3a tm%時,則可確認鈉離 子之浸透量非常少。結果顯示氬元素之含有率在0 . 01 a t m%〜3 a t m%之鈍化膜係一非常緻密的膜,又, MO S電晶體之絕緣耐壓亦可由上述表2之結果所預測般 的良好。 以上,稀有氣體元素雖是說明氬元素的實施例,但是 本發明之效果並不爲其所限定,其亦可使用氦元素、氖元 素、氪元素或是氙元素等之稀有氣體元素,只是與其他元 素相比較,使用便宜且容易穩定得到之氬元素,對於產業 上最爲適合。 (實施例6 ) 在本實施例中,與實施例2同樣的TFT陣列電子元 件的絕緣膜103、由非晶矽所構成之半導體層1 1 5, 更者,由添加磷之非晶矽所構成的歐姆接觸層1 1 7全部 在2 5 0 °C下成膜。絕緣膜1 0 3之其他的成膜條件則如 表1所示,其他之各條件則與實施例2相同。 本實施例之絕緣膜1 〇 3、由非晶矽所構成的半導體 層1 1 5,更者由添加有磷之非晶矽所構成的歐姆接觸層 1 1 7,在成膜時的溫度曲線則在圖7中以實線來表示· 比較例則是以藉以往未含有稀有氣體元素之CV D法來形 成絕緣膜時之一般成膜溫度(3 2 0 °C)來形成絕緣膜, 在冷卻到2 5 0 t後’由非晶矽所構成之半導體層1 1 5 以及由添加磷之非晶矽所構成之歐姆接觸層1 1 7,在成 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) —2 9 _ (請先閎讀背面之注意事項再填寫本頁) 裝. 訂 經濟部中央標準局員工消費合作社印製 A7 _ B7____ 五、發明説明(27 ) 膜時之溫度曲線在圖7中係以虛線來表示。 在圖7中,A及B分別係將基板投入本實施例以及比 較例之成膜裝置,而形成上述三層直至拿出基板爲止的時 間。其中,A 1 ,B 1爲形成絕緣膜所需的時間(1小時 ),A2,B 2爲形成由非晶矽所構成之半導體層所要的 時間(30分鐘),更者,A3,B3爲形成由添加有磷 之非晶矽所構成之歐姆接觸層所要的時間(1 0分鐘)。 本實施例與比較例相等。形成三層膜所需的時間,雖如上 所述爲1小時4 0分鐘,但是自投入基板到拿出爲止的時 間則有顯著差異,而此是由於溫度控制之時間有顯著差異 使然。 到達絕緣層成膜溫度爲止之時間,在比較例要3 0分 鐘,而在本實施例則大約爲2 0分鐘。又,爲了要形成由 非晶矽所構成之半導體層,在比較例需要1小時3 0分鐘 冷卻到2 5 0 °C,在本實施例,由於係在與絕緣膜成膜溫 度同溫下來成膜,因此在形成絕緣膜後,立即開始形成半 導體層。結果,自投入基板到完成所有之成膜,將基板冷 卻到室溫而自成膜裝置取出爲止所需的時間,比較例需要 4小時3 0分,而本實施例則需2小時5 0分,本實施例 所用之時間縮短1小時4 0分(約3成)。在本實施例中 ,成膜所用的時間可大幅地被縮短,且成膜裝置並不需要 設置一在形成絕緣膜後用於調節溫度的機構,因此變得更 輕巧,且清潔室(clean room)內之設置面積可以較小, 而非常的經濟。 本紙張尺度埠用中國國家標準(CNS > A4規格(21 Οχ297公釐)^ · 一 -30 - ^IL------Γ 裝-------訂------ο (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(28 ) (實施例7 ) 本實施例係在將成膜溫度設成3 2 0 °C、3 0 〇°C、 280 °C、250 °C以及220,而與上述實施例6同樣 地以相同的溫度來形成絕緣膜1 〇 3以及由非晶矽所構成 之半導體層1 1 5 ,其他之各條件則與實施例2相同。 對如此所形成之由非晶矽所構成之半導體層1 1 5中 之異物的個數加以計數,其結果顯示在圖8 ·橫軸乃表示 2層之連續成膜溫度,縱軸乃表示在同一條件下所形成之 10 0個lcnf基板之表面所能認出之異物的個數。由圖 8可知,很明顯地,成膜溫度低者,異物的個數少,特別 是當成膜溫度下在2 8 0 °C以下時,該傾向特別明顯》 (發明之效果) 如上所述,本發明之電子元件,由於所使用之絕緣膜 的絕緣耐壓高,因此即使絕緣膜爲單層構造,亦可得到必 要的絕緣耐壓。因此,在製造本發明之電子元件時,不需 要將絕緣層設成多層構造之手續(工時)·該效果,在T F T中亦能發揮。 根據本發明之電子元件的製造方法,由於所得到之電 子元件之絕緣膜的絕緣耐壓高,因此即使絕緣膜爲單層構 造,亦可得到必要的絕緣耐壓。因而不需要將電子元件之 絕緣膜設成多層構造的手續,能以單純的過程加以製造。 更者,若根據藉噴濺法而得到絕緣膜之電子元件的製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) hi!卜-----()裝—------ 訂----—Q (請先閱讀背面之注意事項再填寫本頁) -31 - A7 _B7__ 五、發明説明(29 ) 造方法,在形成絕緣膜時,不必要使用危險且需慎重處理 之矽烷氣體。 又,當稀有氣體元素使用氬元素時,則可穩定且經濟 地實施本發明。 更者,可以將絕緣膜之成膜溫度設得低,而能抑制成 膜中產生異物,因此可以形成沒有異物附著之良好的膜。 又,由於可在與作爲半導體層之非晶矽相同的溫度下 來形成絕緣膜,因此,本發明之電子元件之製造方法,除 了可以大幅地縮短成膜所需的時間外,亦可將用於製造之 成膜裝置設得輕巧,且能以藉便宜的裝置來製造,能夠使 昂貴環境之清潔室有效地活用。 圖面之簡單說明: 圖1, (A)係表本發明之實施例之容量元件的平面 概略圖、(B )係表示(A )之沿I B- I - B線的斷面圖 〇 圖2係表示使用在本發明之實施例之絕緣膜中之氬元 經濟部中央標準局員工消費合作杜印製 (請先閱讀背面之注意事項再填寫本頁) $含有率與絕緣耐壓之關係的說明圖· ® 3係表示使用在本發明之實施例之電子元件之絕緣 胃的氬元素含有率與內部應力之關係的說明圖。 圖4係表示使用本發明之實施例之T F Τ之主動矩陣 基板之一部分的平面概略圖。 圖5係表示圖4之沿V — v —線的斷面概略圖。 圖6係表示膜中之氬元素含有率與膜中之鈉離子之峰 本紙張尺度適用中國國家標率(CNS 規格(21〇χ297公 五、發明説明(30 ) A7 B7 說 的 面 剖 度 溫 之 膜 成 層 三 之 例 較 。 比 圖與 明例 說施 的實 係表 關係 之 7 度圖 濃 值 圖 明 圖圖 圖 係 關 的 數 生 產 物 異 與 度 溫 膜 成 示 表 係 明 說 的 列 陣 T F T 用 板 基 .131 矩 nxsn 主 之 知 習 示 表 係 圖 圖 略 概 面 斷 的 線 - X' - X 沿 之 9 圖 示 表 係 ο r-H 圖 (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局負工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -33 -

Claims (1)

  1. 六. * 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 附件一: 第85116146號專利申請案 中文申請專利範圍修正本 民國88年5月修正 1 . 一種電子元件,主要爲具備有絕緣膜,其特徵爲 :上述絕緣膜之主要成分爲矽以及氮,上述矽:氮的元素 比例約爲3 : 4,而上述絕緣膜之稀有氣體元素的含有率 爲 0 . .0 1 至 3 a t m 2 .如申請專利範圍第1項所述之電子元件,其中上 述電子元件爲薄膜電晶體· 3.如申請專利範圍第1項所述之電子元件,其中上 述稀有氣體元素爲氬元素。 4 . 一種電子元件之製造方法,主要具備有主要成分 爲矽與氮的絕緣膜,其特徵爲:用於藉電漿CVD法而形 成上述絕緣膜的氣體組成,係一至少含有矽烷、氨、氮及 稀有氣體元素的混合氣體,而上述稀有氣體元素與氮氣之 莫耳比(molar ratio)爲稀有氣體元素/氮氣=0 . 1 至1 0的範圍。 5. 如申請專利範圍第4項之電子元件之製造方法, 其中上述絕緣膜是在2 2 0 °C至2 8 0 °C之範圍下而成膜 〇 6. 如申請專利範圍第4項之電子元件之製造方法, 其中上述電子元件係一具有直接接觸於上述絕緣膜之非晶 砂膜的電子元件,而上述絕緣膜與上述非晶矽膜係在幾乎 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T
    六. * 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 附件一: 第85116146號專利申請案 中文申請專利範圍修正本 民國88年5月修正 1 . 一種電子元件,主要爲具備有絕緣膜,其特徵爲 :上述絕緣膜之主要成分爲矽以及氮,上述矽:氮的元素 比例約爲3 : 4,而上述絕緣膜之稀有氣體元素的含有率 爲 0 . .0 1 至 3 a t m 2 .如申請專利範圍第1項所述之電子元件,其中上 述電子元件爲薄膜電晶體· 3.如申請專利範圍第1項所述之電子元件,其中上 述稀有氣體元素爲氬元素。 4 . 一種電子元件之製造方法,主要具備有主要成分 爲矽與氮的絕緣膜,其特徵爲:用於藉電漿CVD法而形 成上述絕緣膜的氣體組成,係一至少含有矽烷、氨、氮及 稀有氣體元素的混合氣體,而上述稀有氣體元素與氮氣之 莫耳比(molar ratio)爲稀有氣體元素/氮氣=0 . 1 至1 0的範圍。 5. 如申請專利範圍第4項之電子元件之製造方法, 其中上述絕緣膜是在2 2 0 °C至2 8 0 °C之範圍下而成膜 〇 6. 如申請專利範圍第4項之電子元件之製造方法, 其中上述電子元件係一具有直接接觸於上述絕緣膜之非晶 砂膜的電子元件,而上述絕緣膜與上述非晶矽膜係在幾乎 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T 々、申請專利範圍 相同的溫度下被成膜· (請先閱讀背面之注意事項再填寫本頁) 7 .如申請專利範圍第4項之電子元件之製造方法, 其中上述稀有氣體爲氬元素· 8 . —種電子元件之製造方法,主要具備有主要成分 爲矽與氮的絕緣膜,其特徵爲:用於藉噴濺法形成上述絕 緣膜的靶體(target)至少含有矽,而在噴濺成膜時之環 境氣體係一至少爲稀有氣體元素之氣體、氫氣及氮氣或是 氨氣的混合體系,而該些氣體的分壓爲稀有氣體元素分壓 爲0 . 20至0 . 40Pa ,氫氣分壓爲0 . 02至 0 . 1 5 P a。 9 .如申請專利範圍第8之電子元件之製造方法’其 中前述稀有氣體元素爲氬元素· 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW085116146A 1993-07-14 1994-06-29 Electronic device and its manufacturing method TW384515B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP19686693 1993-07-14
JP34662593A JP2640910B2 (ja) 1993-07-14 1993-12-21 電子素子およびその製造方法
JP3576794A JP2662180B2 (ja) 1994-03-07 1994-03-07 電子素子

Publications (1)

Publication Number Publication Date
TW384515B true TW384515B (en) 2000-03-11

Family

ID=27288868

Family Applications (2)

Application Number Title Priority Date Filing Date
TW085116146A TW384515B (en) 1993-07-14 1994-06-29 Electronic device and its manufacturing method
TW083105896A TW319892B (zh) 1993-07-14 1994-06-29

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW083105896A TW319892B (zh) 1993-07-14 1994-06-29

Country Status (3)

Country Link
US (1) US5550091A (zh)
KR (1) KR0156557B1 (zh)
TW (2) TW384515B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8584352B2 (en) 2008-02-27 2013-11-19 Ajinomoto Co., Inc. Process for producing multilayer printed wiring board

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3632256B2 (ja) * 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
JP3220645B2 (ja) 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US6825501B2 (en) * 1997-08-29 2004-11-30 Cree, Inc. Robust Group III light emitting diode for high reliability in standard packaging applications
US6037235A (en) * 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
US7042024B2 (en) 2001-11-09 2006-05-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting apparatus and method for manufacturing the same
KR20030055060A (ko) * 2001-12-26 2003-07-02 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
TWI362644B (en) 2003-01-16 2012-04-21 Semiconductor Energy Lab Liquid crystal display device and manufacturing method therof
TWI360702B (en) 2003-03-07 2012-03-21 Semiconductor Energy Lab Liquid crystal display device and method for manuf
US8101961B2 (en) * 2006-01-25 2012-01-24 Cree, Inc. Transparent ohmic contacts on light emitting diodes with growth substrates
US9484499B2 (en) * 2007-04-20 2016-11-01 Cree, Inc. Transparent ohmic contacts on light emitting diodes with carrier substrates
US8049851B2 (en) * 2007-06-26 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a liquid crystal display device having a second orientation film surrounding a first orientation film
KR20090009612A (ko) * 2007-07-20 2009-01-23 엘지디스플레이 주식회사 스퍼터링을 통한 무기절연막 형성방법
US7903219B2 (en) * 2007-08-16 2011-03-08 Sony Corporation Liquid crystal display device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59115561A (ja) * 1982-12-23 1984-07-04 Stanley Electric Co Ltd 薄膜トランジスタの製造方法
US4704623A (en) * 1985-08-02 1987-11-03 General Electric Company Doping for low capacitance amorphous silicon field effect transistor
JPH01176067A (ja) * 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
JPH01275745A (ja) * 1988-04-27 1989-11-06 Tosoh Corp 窒化シリコン系薄膜及びその製造方法
JPH0828517B2 (ja) * 1989-07-04 1996-03-21 シャープ株式会社 薄膜トランジスタアレイ
JPH0346231A (ja) * 1989-07-14 1991-02-27 Toshiba Corp 半導体装置
US5041888A (en) * 1989-09-18 1991-08-20 General Electric Company Insulator structure for amorphous silicon thin-film transistors
JP3179779B2 (ja) * 1990-07-24 2001-06-25 株式会社半導体エネルギー研究所 窒化物絶縁膜の作製方法
US5334859A (en) * 1991-09-05 1994-08-02 Casio Computer Co., Ltd. Thin-film transistor having source and drain electrodes insulated by an anodically oxidized film
JP3200639B2 (ja) * 1992-05-19 2001-08-20 カシオ計算機株式会社 薄膜トランジスタパネルの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8584352B2 (en) 2008-02-27 2013-11-19 Ajinomoto Co., Inc. Process for producing multilayer printed wiring board

Also Published As

Publication number Publication date
TW319892B (zh) 1997-11-11
US5550091A (en) 1996-08-27
KR0156557B1 (ko) 1998-12-01
KR950004446A (ko) 1995-02-18

Similar Documents

Publication Publication Date Title
TW384515B (en) Electronic device and its manufacturing method
TW474020B (en) Thin film transistor and method for fabricating the same
TWI356498B (zh)
US9685561B2 (en) Method for manufacturing a semiconductor device
TW418435B (en) Semiconductor thin film and semiconductor apparatus
CN102077354B (zh) 薄膜晶体管、半导体装置及电子设备
TW201140847A (en) Thin film transistor
TW201742256A (zh) 半導體裝置及其製造方法
US20060261441A1 (en) Process for forming a low carbon, low resistance metal film during the manufacture of a semiconductor device and systems including same
TWI497724B (zh) 薄膜電晶體及其製造方法
TW297917B (zh)
TW383506B (en) Liquid crystal device and method for making thin film transistor for use in such liquid crystal device
KR101000451B1 (ko) Tft lcd 기판의 알루미늄 배선 형성방법과 이에의한 tft lcd 기판
US5360768A (en) Method of forming oxide film
TW528912B (en) Liquid crystal display device and process for producing the same
WO2016149958A1 (zh) 液晶显示面板、阵列基板及其薄膜晶体管的制造方法
KR20080073870A (ko) 박막 트랜지스터 표시판 및 그 제조 방법
TW394922B (en) Electric device having non-light emitting type display and method for making the electric device
WO2020114101A1 (zh) 薄膜晶体管、显示基板及其制备方法、显示装置
JP2640910B2 (ja) 電子素子およびその製造方法
JPS6083373A (ja) 薄膜トランジスタアレイとその製造方法
US11289568B2 (en) Reduction of electric field enhanced moisture penetration by metal shielding
CN100583456C (zh) 玻璃基板表面金属层结构及其制作方法
TWI756977B (zh) 半導體元件
US20070262379A1 (en) Metal structure of glass substrate and formation thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent