TW202129756A - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TW202129756A
TW202129756A TW109143749A TW109143749A TW202129756A TW 202129756 A TW202129756 A TW 202129756A TW 109143749 A TW109143749 A TW 109143749A TW 109143749 A TW109143749 A TW 109143749A TW 202129756 A TW202129756 A TW 202129756A
Authority
TW
Taiwan
Prior art keywords
mask
film
gas
etched
substrate processing
Prior art date
Application number
TW109143749A
Other languages
English (en)
Inventor
西出大亮
勝沼隆幸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202129756A publication Critical patent/TW202129756A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明旨在提供可抑制積層之遮罩的損耗之基板處理方法及基板處理裝置。 本發明之基板處理方法包含提供製程、形成製程、及蝕刻製程。提供製程提供具有被蝕刻膜、形成於被蝕刻膜上之第1遮罩、形成為覆蓋第1遮罩之至少一部分的第2遮罩之基板。形成製程以從第1氣體產生之電漿,於第2遮罩之側壁形成保護膜。蝕刻製程以從第2氣體產生之電漿,蝕刻被蝕刻膜。

Description

基板處理方法及基板處理裝置
本發明係有關於基板處理方法及基板處理裝置。
以往,進行使用積層之遮罩,選擇性地蝕刻層間絕緣膜之技術。又,選擇性蝕刻有例如相對於氧化矽之區域,選擇性地蝕刻氮化矽之區域的技術。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利公開公報2018-98480號
[發明欲解決之課題]
本發明提供可抑制積層之遮罩的損耗之基板處理方法及基板處理裝置。 [解決問題之手段]
本發明之一態樣的基板處理方法包含提供製程、形成製程、蝕刻製程。提供製程提供具有被蝕刻膜、形成於被蝕刻膜上之第1遮罩、形成為覆蓋第1遮罩之至少一部分的第2遮罩之基板。形成製程以從第1氣體產生之電漿,於第2遮罩之側壁形成保護膜。蝕刻製程以從第2氣體產生之電漿,蝕刻被蝕刻膜。 [發明之效果]
根據本發明,可抑制積層之遮罩的損耗。
[用以實施發明之形態]
以下,就揭示之基板處理方法及基板處理裝置的實施形態,依據圖式,詳細地說明。此外,並非用以下之實施形態限定揭示技術。
以往,進行使用積層之遮罩,選擇性地蝕刻層間絕緣膜之技術。舉例而言,作成多層配線形成用圖形時,有使用含CHF之氣體,於遮罩表面形成薄之CF膜,並且增進被蝕刻膜(層間絕緣膜)之加工的技術。然而,在積層之遮罩的深之部分,不易形成CF膜,遮罩之保護不足,產生遮罩損耗,而有無法取得足夠之選擇比的情形。又,當僅在蝕刻程序,遮罩保護不足時,進行與保護膜之成膜程序複合的技術。然而,因導入成膜程序,而產生圖形之閉塞或在被蝕刻膜表面之成膜這樣的情況。此時,產生了將被蝕刻膜加工成所期形狀前蝕刻停止之現象(蝕刻終止)。是故,期待在不產生蝕刻終止下,抑制積層之遮罩的遮罩損耗。
[電漿處理系統1之結構] 圖1係顯示本發明之一實施形態的電漿處理系統之一例的圖。如圖1所示,在一實施形態中,電漿處理系統1包含電漿處理裝置1a及控制部1b。電漿處理裝置1a具有電漿處理腔室10、氣體供應部20、RF(Radio Frequency:射頻)電力供應部30、及排氣系統40。又,電漿處理裝置1a具有支撐部11及上部電極噴灑頭12。支撐部11配置於電漿處理腔室10內之電漿處理空間10s的下部區域。上部電極噴灑頭12配置於支撐部11之上方,可具有電漿處理腔室10之頂部(ceiling)的一部分之功能。
支撐部11構造成在電漿處理空間10s支撐基板W。在一實施形態,支撐部11具有下部電極111、靜電吸盤112、及邊緣環113。靜電吸盤112配置於下部電極111上,構造成以靜電吸盤112之頂面支撐基板W。邊緣環113配置成在下部電極111之周緣部頂面包圍基板W。又,雖省略圖示,但在一實施形態中,支撐部11亦可具有構造成將靜電吸盤112及基板W中至少一個調節成目標溫度之溫度調節模組。溫度調節模組亦可具有加熱器、流路、或該等之組合。諸如冷媒、傳熱氣體之溫度調節流體可於流路流動。
上部電極噴灑頭12構造成將來自氣體供應部20之一種或一種以上的氣體供應至電漿處理空間10s。在一實施形態中,上部電極噴灑頭12具有氣體入口12a、氣體擴散室12b、及複數之氣體出口12c。氣體入口12a與氣體供應部20及氣體擴散室12b流體連通。複數之氣體出口12c與氣體擴散室12b及電漿處理空間10s流體連通。在一實施形態中,上部電極噴灑頭12構造成將一種或一種以上之處理氣體從氣體入口12a經由氣體擴散室12b及複數之氣體出口12c供應至電漿處理空間10s。
氣體供應部20亦可具有一個或一個以上之氣體源21及一個或一個以上之流量控制器22。在一實施形態中,氣體供應部20構造成將一種或一種以上之處理氣體從各自對應之氣體源21經由各自對應之流量控制器22,供應至氣體入口12a。各流量控制器22亦可具有例如質量流量控制器或壓力控制式流量控制器。再者,氣體供應部20亦可具有將一種或一種以上之處理氣體的流量調變或脈衝化之一個或一個以上的流量調變元件。
RF電力供應部30構造成將RF電力、例如一個或一個以上之RF信號供應至諸如下部電極111、上部電極噴灑頭12、或下部電極111及上部電極噴灑頭12兩者之一個或一個以上的電極。藉此,從供應至電漿處理空間10s之一種或一種以上的處理氣體產生電漿。因而,RF電力供應部30可具有構造成在電漿處理腔室從一種或一種以上之處理氣體產生電漿之電漿產生部的至少一部分之功能。在一實施形態中,RF電力供應部30具有二個RF產生部31a、31b及匹配電路32a、32b。在一實施形態中,RF電力供應部30構造成將第1RF信號從第1RF產生部31a經由第1匹配電路32a供應至下部電極111。舉例而言,第1RF信號亦可具有27MHz~100MHz之範圍內的頻率。
又,在一實施形態中,RF電力供應部30構造成將第2RF信號從第2RF產生部31b經由第2匹配電路32b供應至下部電極111。舉例而言,第2RF信號亦可具有400kHz~13.56MHz之範圍內的頻率。亦可取而代之地使用DC(Direct Current:直流)脈衝產生部取代第2RF產生部31b。
再者,雖省略圖示,但在本發明,可考慮其他實施形態。舉例而言,在替代實施形態,RF電力供應部30亦可構造成將第1RF信號從RF產生部供應至下部電極111,將第2RF信號從另一RF產生部供應至下部電極111,將第3RF信號從又另一RF產生部供應至下部電極111。另外,在另一替代實施形態,亦可對上部電極噴灑頭12施加DC電壓。
又,在各種實施形態中,亦可將一個或一個以上之RF信號(即,第1RF信號、第2RF信號等)的振幅脈衝化或調變。振幅調變亦可包含在開啟狀態與關閉狀態之間、或者二種或二種以上之不同的開啟狀態之間將RF信號振幅脈衝化之技術。
排氣系統40可連接於例如設在電漿處理腔室10之底部的排氣口10e。排氣系統40亦可具有壓力閥及真空泵。真空泵亦可包含渦輪分子泵、粗抽泵或該等之組合。
在一實施形態中,控制部1b處理使電漿處理裝置1a執行在本發明中敘述之各種製程的電腦可執行之命令。控制部1b可構造成將電漿處理裝置1a之各要件控制成執行在此所述之各種製程。在一實施形態中,控制部1b之一部分或全部亦可包含在電漿處理裝置1a。控制部1b亦可具有例如電腦51。電腦51亦可具有例如處理部(CPU:Central Processing Unit:中央處理單元)511、記憶部512、及通信介面513。處理部511可構造成依據儲存於記憶部512之程式,進行各種控制動作。記憶部512亦可具有RAM(Random Access Memory:隨機存取記憶體)、ROM(Read Only Memory:唯讀記憶體)、HDD(Hard Disk Drive:硬式磁碟機)、SSD(Solid State Drive:固態硬碟)、或該等之組合。通信介面513亦可藉由LAN(Local Area Network:區域網路)等通信線路,與電漿處理裝置1a之間通信。
[多層配線之形成] 圖2係顯示多層配線之形成的一例的圖。如圖2之狀態200a所示,於形成多層配線之基板W上積層有基底圖形201、層間絕緣膜202、溝槽用遮罩203、通孔用遮罩204及抗蝕膜205。此外,在圖2省略了基板W。又,在以下之說明中,有依在層間絕緣膜202(被蝕刻膜)之積層順序,表現為第1遮罩、第2遮罩之情形。在圖2,層間絕緣膜202為被蝕刻膜,溝槽用遮罩203為第1遮罩,通孔用遮罩204為第2遮罩。
在多層配線之製程,從狀態200a,首先蝕刻通孔用遮罩204而呈狀態200b。接著,從狀態200b蝕刻層間絕緣膜202而呈狀態200c。在此,在層間絕緣膜202之蝕刻,求出設置於不同之階層的二種遮罩、亦即溝槽用遮罩203與通孔用遮罩204之蝕刻選擇比。在本實施形態中,為實現此種蝕刻選擇比,而於通孔用遮罩204(第2遮罩)之側壁形成沈積物(以下亦稱為側邊沉積物。)。
圖3係示意說明本實施形態之程序流程的一例之圖。如圖3所示,在狀態210,於基板W積層有基底圖形221、第1被蝕刻膜222、第2被蝕刻膜223、第1遮罩224、第2遮罩225、SiARC膜226及抗蝕膜227。此外,在圖3,省略了基板W。當例示第1被蝕刻膜222至第2遮罩225時,第1被蝕刻膜222及第2被蝕刻膜223可舉例如SiOC膜或SiOCH膜等低介電常數膜、SiO2 膜為例。第1遮罩224可舉例如TiN膜、WC膜等含金屬膜為例。第2遮罩225可舉例如含碳膜之一例亦即SOC(Spin On Carbon:旋塗式碳)膜為例。
程序流程係首先在狀態210,以從CF4 氣體等產生之電漿蝕刻SiARC膜226而形成為狀態211。接著,在狀態211,以從N2 /H2 氣體等產生之電漿蝕刻第2遮罩225及抗蝕膜227而形成為狀態212。此時,第2被蝕刻膜223及第1遮罩224之一部分露出。接著,在狀態212,以從CF4 /CHF3 氣體等產生之電漿蝕刻露出的第2被蝕刻膜223及SiARC膜226而形成為狀態213。然後,在狀態213,以從第1氣體產生之電漿於第2遮罩225之側壁形成側邊沉積物228而形成為狀態214。第1氣體係例如含烴及氮之氣體,可使用C3 H6 /N2 氣體或CH4 /N2 等。第1氣體亦可將O2 、CO等含氧氣體與烴氣及含氮氣體一起使用或者取代含氮氣體來使用。又,第1氣體亦可使用SiH4 /CF4 、SiF4 等含矽及氟之氣體。接著,在狀態214,以從第2氣體產生之電漿,蝕刻第1被蝕刻膜222而形成為狀態215。第2氣體係含氟及氫之氣體,可使用例如CF4 /H2 氣體、HF/CH4 。藉此,可抑制第1遮罩224及第2遮罩225之遮罩損耗。
[基板處理方法] 接著,就本實施形態之基板處理方法作說明。圖4係顯示本實施形態之蝕刻處理的一例之流程圖。此外,圖4之流程圖係詳細地說明圖3之程序流程中從狀態213至狀態215之處理的圖。
在本實施形態之基板處理方法中,控制部1b開放圖中未示之開口部,將呈可蝕刻被蝕刻膜(層間絕緣膜)之狀態(圖3之狀態213)的基板W搬入至電漿處理腔室10內,將之載置於支撐部11(載置台)之靜電吸盤112。藉對靜電吸盤112施加直流電壓,而將基板W保持於靜電吸盤112。控制部1b之後藉封閉開口部,控制排氣系統40,而從電漿處理空間10s將氣體排放成電漿處理空間10s之氣體環境形成為預定真空度。又,控制部1b藉控制圖中未示之溫度調節模組,而將溫度調整成基板W之溫度包含在預定溫度範圍內(步驟S1)。
接著,控制部1b將C3 H6 /N2 氣體供應至氣體入口12a作為第1氣體。第1氣體被供應至氣體入口12a後,被供應至氣體擴散室12b而擴散。第1氣體在氣體擴散室12b擴散後,經由複數之氣體出口12c以噴霧狀供應至電漿處理腔室10之電漿處理空間10s而填充至電漿處理空間10s。
控制部1b藉控制RF電力供應部30,而將電漿激發用RF信號供應至下部電極111。亦即,下部施加射頻電力亦即RF信號。在電漿處理空間10s,藉將RF信號供應至下部電極111,而產生電漿。此時,藉對電漿施加自偏壓,而形成為反異向性CVD(Chemical Vapor Deposition:化學氣相沉積),於基板W之第2遮罩225的側壁形成沈積物(側邊沉積物228)(步驟S2)。
在此,使用圖5,就側邊沉積物之形成作說明。圖5係示意說明本實施形態之側邊沉積物的形成之一例的圖。此外,在圖5,省略了第1遮罩(相當於圖3之第1遮罩224)。在圖5之狀態230a,以藉將RF信號供應至下部電極111(下部施加)而產生之C3 H6 氣體的電漿,於被蝕刻膜231(相當於圖3之第1被蝕刻膜222)上形成沉積物234。又,在狀態230a,於遮罩232(相當於圖3之第2遮罩225)上形成沉積物233。沉積物233、234藉起因於離子之異向性供應與電漿CVD之等向性聚合而形成。特別是沉積物233藉下部施加,而於遮罩232之側壁形成如同下擺延伸之沉積物。
又,在圖5之狀態230b,以藉下部施加而產生之N2 氣體的電漿,蝕刻沉積物233、234。藉以N離子所行之異向性去除,去除遮罩232之頂面的沉積物233a,但未去除遮罩232之側壁的側邊沉積物233b。沉積物234藉以N離子所行之異向性去除而去除。
如此,在步驟S2,同時供應C3 H6 等具有成膜成分之氣體與N2 等具有去除成分之氣體而產生電漿時,沉積物之形成與沉積物之去除同時進行。亦即,狀態230a與狀態230b同時進行。結果,如狀態230c所示,形成為於遮罩232之側壁形成側邊沉積物233b(相當於圖3之側邊沉積物228)的狀態。即,側邊沉積物233b可藉電漿CVD之本質上的等向性成膜、以RF信號之下部施加所行的離子之異向性供應、以N所行之碳的異向性去除複合而實現。此外,步驟S2只要可形成如狀態230c所示之側邊沉積物233b,可採用其他方法。舉例而言,亦可藉依次進行從具有成膜成分之氣體產生電漿而形成沉積物的製程、從具有去除成分之氣體產生電漿而去除沉積物之製程,而於遮罩232之側壁形成側邊沉積物233b。又,亦可藉反覆進行形成沉積物之製程與去除沉積物之製程,而於遮罩232之側壁形成側邊沉積物233b。
返回至圖4之說明。當控制部1b排放第1氣體時,將CF4 /H2 氣體供應至氣體入口12a作為第2氣體。第2氣體被供應至氣體入口12a後,被供應至氣體擴散室12b而擴散。第2氣體在氣體擴散室12b擴散後,經由複數之氣體出口12c,以噴霧狀供應至電漿處理腔室10之電漿處理空間10s,而填充至電漿處理空間10s。
控制部1b藉控制RF電力供應部30,而將電漿激發用RF信號供應至下部電極111。亦即,下部施加RF信號。在電漿處理空間10s,藉將RF信號供應至下部電極111,而產生電漿。在電漿處理空間10s,以第2氣體之電漿蝕刻基板W之第1被蝕刻膜222(步驟S3)。
在此,使用圖6,就側邊沉積與蝕刻之反覆進行作說明。圖6係示意說明本實施形態之側邊沉積與蝕刻的反覆進行之一例的圖。此外,在圖6,省略了基板W、基底圖形221及第2被蝕刻膜223。圖6之狀態241對應圖3之狀態213,於第2遮罩225之側壁形成側邊沉積物228。在圖6之狀態242,以從CF4 /H2 氣體產生之電漿,蝕刻第1被蝕刻膜222。此時,離子244蝕刻第1被蝕刻膜222而形成溝槽245。另一方面,離子244因存在側邊沉積物228,而不撞擊第1遮罩224,而不致蝕刻第1遮罩224。在狀態242,當側邊沉積物228因蝕刻而消耗時,便再度移至狀態241之側邊沉積物228的形成。亦即,反覆進行狀態241之側邊沉積物228的形成與狀態242之第1被蝕刻膜222的蝕刻直至呈溝槽245形成為所期之深度的狀態243為止。此外,反覆進行之預定次數例如可預先以實驗求出。
返回至圖4之說明。控制部1b判定是否反覆進行了沈積物之形成製程與蝕刻製程預定次數(步驟S4)。預定次數可為例如三次。當控制部1b判定為未反覆進行預定次數時(步驟S4:否),便使處理返回至步驟S2。另一方面,當控制部1b判定為反覆進行預定次數時(步驟S4:是),則結束處理。此外,控制部1b亦可在步驟S4,對被蝕刻膜判定是否取得預定形狀。
控制部1b當結束處理時,藉控制RF電力供應部30,而停止將RF信號供應至下部電極111。又,控制部1b對靜電吸盤112施加正負相反之直流電壓而除電,而將基板W從靜電吸盤112剝下。控制部1b開放圖中未示之開口部。將基板W經由開口部,從電漿處理腔室10之電漿處理空間10s搬出。
[實驗結果] 接著,使用圖7至圖11,就實驗結果作說明。圖7係顯示以時間軸呈現本實施形態與比較例之側邊沉積物與蝕刻的反覆進行之一例的圖。如圖7之條形圖251所示,在本實施形態中,以下述處理條件反覆進行側邊沉積物之形成253(「D」)與蝕刻254(「E」)三次。另一方面,如條形圖252所示,在比較例,以下述處理條件進行蝕刻255(「E」)一次。
<本實施形態之處理條件> (側邊沉積物之形成) 溫度                                    :-70℃ 電漿處理腔室10內之壓力  :30mTorr RF信號之電力                    :300W 處理氣體                             :C3 H6 /N2 =10/290sccm 處理時間                             :7秒 (蝕刻) 溫度                                    :-70℃ 電漿處理腔室10內之壓力  :25mTorr RF信號之電力                    :1400W 處理氣體                             :CF4 /H2 =35/130sccm 處理時間                             :10秒
<比較例之處理條件> (蝕刻) 溫度                                    :-70℃ 電漿處理腔室10內之壓力  :25mTorr RF信號之電力                    :1400W 處理氣體                             :CF4 /H2 =35/130sccm 處理時間                            :10秒、20秒、30秒
圖8係顯示本實施形態之側邊沉積前後的截面之一例的圖。圖8所示之截面261顯示本實施形態之側邊沉積物形成前的狀態。在截面261,第2被蝕刻膜223、第1遮罩224及第2遮罩225被蝕刻,第1被蝕刻膜222為被蝕刻前之狀態。截面262顯示本實施形態之側邊沉積物形成後的狀態。可知在截面262,於第2遮罩252之側壁的區域263形成有側邊沉積物264。
圖9係顯示本實施形態之實驗結果的一例之圖。圖9所示之實驗結果271~273係分別反覆進行上述步驟S2、S3一次~三次時之結果。實驗結果271~273之中段係CD(Critical Dimension:臨界尺寸)之值,分別為33nm、39nm、37nm。此外,實驗結果271~273之下段係放大了第2遮罩225附近。
圖10係顯示比較例之實驗結果的一例之圖。圖10所示之實驗結果281~283係在比較例之處理條件中令處理時間分別為10秒、20秒、30秒時之結果。實驗結果281~283之中段係CD之值,分別為39nm、45nm、53nm。此外,實驗結果281~283之下段係放大了第2遮罩225附近。
當比較圖9之實驗結果271~273與圖10之實驗結果281~283,可知在實驗結果271~273,未產生第1遮罩224(TiN)之損耗。又,當比較CD之值,可知實驗結果271~273抑制了內凹(Bowing)。又,當比較實驗結果271與實驗結果281,可知在蝕刻之反應初期,也已產生了CD之不同。
圖11係顯示有無側邊沉積物之內凹(Bowing)的比較之一例的圖。圖11所示之實驗結果271a係放大了圖9之實驗結果271。又,圖11所示之實驗結果281a係放大了圖10之實驗結果281。在實驗結果271a,可確認側邊沉積物275,CD為33nm。另一方面,在實驗結果281a,第2遮罩225之側壁亦即區域285無側邊沉積物,CD為39nm。從此點暗示CD之擴大亦即內凹(Bowing)不僅因第1遮罩224(TiN)之損耗而引起,還因離子之傾斜入射而引起。即,側邊沉積物之形成有可保護第1遮罩224而抑制CD之擴大、及可縮小圖形之寬度而僅取入垂直入射成分而抑制內凹(Bowing)這二個效果。
此外,在上述實施形態,為電漿處理系統1包含電漿處理裝置1a及控制部1b之形態,亦可以包含電漿處理裝置1a與控制部1b之形態作為基板處理裝置。
以上,根據本實施形態,基板處理裝置(電漿處理系統1)包含載置台(支撐部11)、控制部1b。載置台載置具有第1被蝕刻膜222、形成於第1被蝕刻膜222上之第1遮罩224、形成為覆蓋第1遮罩224之至少一部分的第2遮罩225之基板W。控制部1b執行以從第1氣體產生之電漿於第2遮罩225之側壁形成保護膜(側邊沉積物228)的製程、以從第2氣體產生之電漿蝕刻第1被蝕刻膜222之製程。結果,可抑制積層之遮罩的遮罩損耗。並可抑制內凹(Bowing)。
又,根據本實施形態,形成製程具有於第2遮罩225之頂面及側壁與第1被蝕刻膜222之表面形成沈積物的製程、及去除形成於第1被蝕刻膜222之表面的沈積物,而於第2遮罩225之側壁形成保護膜的製程。結果,可保護第1遮罩224。
又,根據本實施形態,以藉從載置基板W之載置台側供應RF電力而從第1氣體產生之電漿,形成保護膜。結果,可於第2遮罩225之側壁形成保護膜。
又,根據本實施形態,在未以第2遮罩225覆蓋之第1遮罩224的上部,將保護膜形成至第1遮罩224之側壁的位置。結果,可保護第1遮罩224。
又,根據本實施形態,第1被蝕刻膜222係低介電常數膜或SiO2 膜。結果,可一面抑制內凹(Bowing),一面蝕刻。
又,根據本實施形態,第1遮罩224係含金屬膜,第2遮罩225係含碳膜。結果,可抑制積層之遮罩的遮罩損耗。
又,根據本實施形態,第1氣體係含烴及氮之氣體、含烴及氧之氣體、或含矽及氟之氣體。結果,可於第2遮罩225之側壁形成含碳或矽之保護膜。
又,根據本實施形態,第2氣體係含氟及氫之氣體。結果,可蝕刻第1被蝕刻膜222。
又,根據本實施形態,形成製程及蝕刻製程交互反覆進行至少一次以上。結果,可更抑制積層之遮罩的遮罩損耗。
又,根據本實施形態,形成製程及蝕刻製程在同一處理容器內進行。結果,可以良好效率進行形成製程及蝕刻製程之反覆。
又,根據本實施形態,形成製程及蝕刻製程在不同之處理容器內進行。結果,對複數之基板W進行處理時,可以良好效率進行各自之製程。
以上,就各種例示之實施形態來作了說明,不限上述例示之實施形態,亦可進行各種追加、省略、置換及變更。又,可組合不同之實施形態的要件,形成其他實施形態。
舉例而言,在上述實施形態,以設有具有形成於被蝕刻膜上之第1遮罩及形成為覆蓋第1遮罩之至少一部分的第2遮罩之二層遮罩的基板W為處理對象。然而,不限具有此種二層遮罩的基板。亦可以具有多層遮罩之基板為對象。在此,具有多層遮罩之基板係指具有被蝕刻膜及多層遮罩之基板,該多層遮罩係於該被蝕刻膜上配置自被蝕刻膜起第n層之第n遮罩(n為1以上之整數)、自被蝕刻膜起第(n+1)層且形成為覆蓋第n遮罩之至少一部分的第(n+1)遮罩。
對具有多層遮罩之基板亦可與上述實施形態同樣地處理。具體而言,將具有多層遮罩之基板搬入至電漿處理腔室10內,將之保持於設在載置台(支撐部11)上之靜電吸盤112上。接著,以從第1氣體產生之電漿於形成在被蝕刻膜上之第1遮罩以外的任一個以上之遮罩的側壁形成保護膜。之後,以從第2氣體產生之電漿蝕刻被蝕刻膜。
此外,以具有多層遮罩之基板為對象時,亦與上述實施形態同樣地,亦可於第1遮罩以外之任一個以上的遮罩之側壁及被蝕刻膜之表面形成沈積物後,藉去除形成於被蝕刻膜之表面的沈積物,形成保護膜。又,亦可以藉從載置基板之載置台側供應RF電力而從第1氣體產生之電漿形成保護膜。再者,保護膜亦可在第1遮罩之上部,形成至第1遮罩之側壁的位置。
此外,另一手法考慮使用不飽和ALD(Atomic Layer Deposition:原子層沉積),成膜至溝槽之中間。然而,不飽和ALD以縱橫比高(例如5以上。)之物為對象,當要應用於縱橫比低之多層配線形成用圖形時,甚至在溝槽之底部成膜。又,當要除掉溝槽之底部的膜時,連成膜於遮罩上之膜亦剝下。相對於此,在上述之本實施形態中,由於即使為縱橫比低之多層配線形成用圖形,亦可在不於溝槽之底部成膜下,形成側邊沉積物,故可取得足夠之選擇比,而可選擇性地蝕刻被蝕刻膜。
又,在上述實施形態中,第1氣體使用了含烴及氮之氣體,但不限於此。舉例而言,亦可按被蝕刻膜或遮罩之材質,使用含矽及氟之氣體、或含金屬元素(Ti、W)及氯之氣體。
又,在上述實施形態,下部施加了RF信號,只要加入偏壓成分,亦可使用上部施加之基板處理裝置。此外,藉調整偏壓,可調整側邊沉積物之形成位置。
1:電漿處理系統 1a:電漿處理裝置 1b:控制部 10:電漿處理腔室 10e:排氣口 10s:電漿處理空間 11:支撐部 12:上部電極噴灑頭 12a:氣體入口 12b:氣體擴散室 12c:氣體出口 20:氣體供應部 30:RF電力供應部 31a:第1RF產生部 31b:第2RF產生部 32a:第1匹配電路 32b:第2匹配電路 40:排氣系統 51:電腦 111:下部電極 112:靜電吸盤 113:邊緣環 200a:狀態 200b:狀態 201:基底圖形 202:層間絕緣膜 203:溝槽用遮罩 204:通孔用遮罩 205:抗蝕膜 210:狀態 211:狀態 212:狀態 213:狀態 214:狀態 215:狀態 221:基底圖形 222:第1被蝕刻膜 223:第2被蝕刻膜 224:第1遮罩 225:第2遮罩 226:SiARC膜 227:抗蝕膜 228:側邊沉積物(沈積物) 230a:狀態 230b:狀態 230c:狀態 231:被蝕刻膜 232:遮罩 233:沉積物 233a:沉積物 233b:側邊沉積物 234:沉積物 241:狀態 242:狀態 243:狀態 244:離子 245:溝槽 251:條形圖 252:條形圖 253:側邊沉積物之形成 254:蝕刻 255:蝕刻 261:截面 262:截面 263:區域 264:側邊沉積物 271:實驗結果 271a:實驗結果 272:實驗結果 273:實驗結果 275:側邊沉積物 281:實驗結果 281a:實驗結果 282:實驗結果 283:實驗結果 285:區域 511:處理部 512:記憶部 513:通信介面 S1:步驟 S2:步驟 S3:步驟 S4:步驟 W:基板
圖1係顯示本發明之一實施形態的電漿處理系統之一例的圖。 圖2係顯示多層配線之形成的一例之圖。 圖3係示意說明本實施形態之程序流程的一例之圖。 圖4係顯示本實施形態之蝕刻處理的一例之流程圖。 圖5係示意說明本實施形態之側邊沉積物的形成之一例的圖。 圖6係示意說明本實施形態之側邊沉積與蝕刻的反覆進行之一例的圖。 圖7係顯示以時間軸呈現本實施形態與比較例之側邊沉積與蝕刻的反覆進行之一例的圖。 圖8係顯示本實施形態之側邊沉積前後的截面之一例的圖。 圖9係顯示本實施形態之實驗結果的一例之圖。 圖10係顯示比較例之實驗結果的一例之圖。 圖11係顯示有無側邊沉積物之內凹(Bowing)的比較之一例的圖。
S1:步驟
S2:步驟
S3:步驟
S4:步驟

Claims (13)

  1. 一種基板處理方法,包含下列製程: 提供製程,提供具有被蝕刻膜、第1遮罩及第2遮罩之基板,該第1遮罩係形成於該被蝕刻膜上,該第2遮罩形成為覆蓋該第1遮罩之至少一部分; 形成製程,藉由從第1氣體產生之電漿,於該第2遮罩之側壁形成保護膜;及 蝕刻製程,藉由從第2氣體產生之電漿,蝕刻該被蝕刻膜。
  2. 如請求項1之基板處理方法,其中, 該形成製程包含下列製程: 於該第2遮罩之頂面及側壁與該被蝕刻膜之表面形成沈積物; 去除形成於該被蝕刻膜之表面的該沈積物,而於該第2遮罩之側壁形成該保護膜。
  3. 如請求項1或請求項2之基板處理方法,其中, 該形成製程藉由從載置該基板之載置台側供應RF電力而以從該第1氣體產生之電漿,形成該保護膜。
  4. 如請求項1至請求項3中任一項之基板處理方法,其中, 該形成製程在未以該第2遮罩覆蓋之該第1遮罩的上部,將該保護膜形成至該第1遮罩之側壁的位置。
  5. 如請求項1至請求項4中任一項之基板處理方法,其中, 該被蝕刻膜係低介電常數膜或SiO2 膜。
  6. 如請求項1至請求項5中任一項之基板處理方法,其中, 該第1遮罩係含金屬膜,該第2遮罩係含碳膜。
  7. 如請求項1至請求項6中任一項之基板處理方法,其中, 該第1氣體係含烴及氮之氣體、含烴及氧之氣體、或含矽及氟之氣體。
  8. 如請求項1至請求項7中任一項之基板處理方法,其中, 該第2氣體係含氟及氫之氣體。
  9. 如請求項1至請求項8中任一項之基板處理方法,其中, 該形成製程及該蝕刻製程交互反覆進行至少一次以上。
  10. 如請求項1至請求項9中任一項之基板處理方法,其中, 該形成製程及該蝕刻製程在同一處理容器內進行。
  11. 如請求項1至請求項9中任一項之基板處理方法,其中, 該形成製程及該蝕刻製程在不同之處理容器內進行。
  12. 一種基板處理方法,包含下列製程: 提供製程,提供具有被蝕刻膜及多層遮罩之基板,該多層遮罩係於該被蝕刻膜上配置自該被蝕刻膜起第n層之第n遮罩(n為1以上之整數)、及自該被蝕刻膜起第(n+1)層且形成為覆蓋該第n遮罩之至少一部分的第(n+1)遮罩; 形成製程,藉由從第1氣體產生之電漿,在該多層遮罩中第1遮罩以外之任一個以上的遮罩之側壁形成保護膜;及 蝕刻製程,藉由從第2氣體產生之電漿,蝕刻該被蝕刻膜。
  13. 一種基板處理裝置,包含: 載置台,載置基板,該基板具有被蝕刻膜、形成於該被蝕刻膜上之第1遮罩、及形成為覆蓋該第1遮罩之至少一部分的第2遮罩;及 控制部,執行:藉由從第1氣體產生之電漿,於該第2遮罩之側壁形成保護膜的製程;及藉由從第2氣體產生之電漿,蝕刻該被蝕刻膜之製程。
TW109143749A 2019-12-25 2020-12-11 基板處理方法及基板處理裝置 TW202129756A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-233668 2019-12-25
JP2019233668A JP7333752B2 (ja) 2019-12-25 2019-12-25 基板処理方法及び基板処理装置

Publications (1)

Publication Number Publication Date
TW202129756A true TW202129756A (zh) 2021-08-01

Family

ID=76459255

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109143749A TW202129756A (zh) 2019-12-25 2020-12-11 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (1) US11501975B2 (zh)
JP (1) JP7333752B2 (zh)
KR (1) KR20210082384A (zh)
CN (1) CN113035708A (zh)
TW (1) TW202129756A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01194325A (ja) * 1988-01-29 1989-08-04 Toshiba Corp ドライエッチング方法
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
JP4722550B2 (ja) * 2004-06-16 2011-07-13 東京エレクトロン株式会社 半導体装置の製造方法
KR100606540B1 (ko) * 2004-12-22 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법
JP4652140B2 (ja) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US7902078B2 (en) * 2006-02-17 2011-03-08 Tokyo Electron Limited Processing method and plasma etching method
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP2009044090A (ja) * 2007-08-10 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体
EP2306498A1 (en) * 2008-06-17 2011-04-06 Ulvac, Inc. Method for manufacturing multistep substrate
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
US8476168B2 (en) * 2011-01-26 2013-07-02 International Business Machines Corporation Non-conformal hardmask deposition for through silicon etch
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP2013077594A (ja) * 2011-09-29 2013-04-25 Tokyo Electron Ltd プラズマエッチング方法及び半導体装置の製造方法
JP2013258244A (ja) * 2012-06-12 2013-12-26 Tokyo Electron Ltd エッチング方法及びプラズマ処理装置
US20150079760A1 (en) * 2013-09-19 2015-03-19 Wei-Sheng Lei Alternating masking and laser scribing approach for wafer dicing using laser scribing and plasma etch
JP2015170763A (ja) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6486092B2 (ja) * 2014-12-11 2019-03-20 東京エレクトロン株式会社 プラズマエッチング方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
JP6836953B2 (ja) 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
KR20210123404A (ko) * 2019-02-27 2021-10-13 램 리써치 코포레이션 희생 층을 사용한 반도체 마스크 재성형
CN112151608B (zh) * 2019-06-28 2023-12-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
KR20210082384A (ko) 2021-07-05
US11501975B2 (en) 2022-11-15
JP7333752B2 (ja) 2023-08-25
JP2021103710A (ja) 2021-07-15
US20210202262A1 (en) 2021-07-01
CN113035708A (zh) 2021-06-25

Similar Documents

Publication Publication Date Title
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US9865472B2 (en) Fabrication of a silicon structure and deep silicon etch with profile control
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
JP6529357B2 (ja) エッチング方法
US20040072443A1 (en) Method for plasma etching performance enhancement
US10128085B2 (en) Method of plasma etching
TWI789449B (zh) 基板之電漿蝕刻方法
JP2007194284A (ja) プラズマ処理方法、プラズマ処理装置、及び記憶媒体
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP2008198659A (ja) プラズマエッチング方法
JP5323306B2 (ja) プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
TWI766866B (zh) 蝕刻方法
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TW202129756A (zh) 基板處理方法及基板處理裝置
KR20220136136A (ko) 에칭 방법 및 에칭 처리 장치
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
TW201911415A (zh) 處理被處理體之方法
TW201937593A (zh) 電漿蝕刻方法及電漿蝕刻裝置
KR102678853B1 (ko) 피처리체를 처리하는 방법
JP4316322B2 (ja) 層間絶縁膜のドライエッチング方法
TW202305933A (zh) 蝕刻方法、半導體裝置之製造方法及電漿處理裝置
JP2024017869A (ja) エッチング方法及び基板処理装置
JP2022158811A (ja) エッチング方法及びエッチング処理装置
JP2024001464A (ja) エッチング方法及びプラズマ処理装置