TW202111844A - 基板處理系統 - Google Patents

基板處理系統 Download PDF

Info

Publication number
TW202111844A
TW202111844A TW109125016A TW109125016A TW202111844A TW 202111844 A TW202111844 A TW 202111844A TW 109125016 A TW109125016 A TW 109125016A TW 109125016 A TW109125016 A TW 109125016A TW 202111844 A TW202111844 A TW 202111844A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
substrate processing
processing system
cooling
Prior art date
Application number
TW109125016A
Other languages
English (en)
Inventor
茂木卓
熊谷隆
小田島章
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202111844A publication Critical patent/TW202111844A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[課題]抑制基板處理系統的佔地面積。 [解決手段]基板處理系統,具備第1腔室、第2腔室、及冷卻通路。第1腔室,提供空間以處理由維持在真空環境之第1搬運室搬運來的基板。第2腔室,內部可和第1搬運室及維持在大氣環境之第2搬運室連通,該第2腔室具有和第1腔室約略相同的佔地面積,且和第1腔室於上下方向並排配置、位在第1腔室之下。冷卻通路,配置於第1腔室與第2腔室之間,其內部供冷卻媒體流通。

Description

基板處理系統
本發明係關於一種基板處理系統。
專利文獻1提出一種真空預備室,具有1個以上分離並與周圍隔離之環境。該真空預備室具有:包含垂直方向重疊並與周圍隔離之複數腔室的腔室本體。該等腔室由耐真空性的水平內壁所分離。另外,專利文獻2提出一種可處理基板之雙重真空預備室,該雙重真空預備室具有:劃定彼此分離之第1腔室空間與第2腔室空間的腔室本體。 [習知技術文獻] [專利文獻]
專利文獻1:日本特表2012-501549號公報 專利文獻2:日本特表2014-511575號公報
[發明所欲解決之課題]
本發明提供一種可抑制基板處理系統的佔地面積之技術。 [解決課題之技術手段]
本發明之一態樣的基板處理系統,具備第1腔室、第2腔室、及冷卻通路。第1腔室,提供空間以處理由維持在真空環境之第1搬運室搬運來的基板。第2腔室,其內部可和第1搬運室及維持在大氣環境之第2搬運室連通,該第2腔室具有和第1腔室約略相同的佔地面積,並且和第1腔室於上下方向並排配置、位在第1腔室之下。冷卻通路,配置於第1腔室與第2腔室之間,其內部供冷卻媒體流通。 [發明功效]
根據本發明,可抑制基板處理系統的佔地面積。
以下,針對所揭示的實施形態,依照圖式進行詳細說明。再者,本實施形態並非用以限定本發明。另外,各實施形態可在處理內容不相矛盾之範圍內進行適當地組合。並且,針對本說明書及圖式中實質上相同的構成,賦予相同的元件符號,並省略重複說明。
以下參照之各圖式中,為了使說明容易理解,有時會顯示出正交座標系,規定出互相正交之X軸方向、Y軸方向及Z軸方向,並令Z軸正方向為鉛直向上方向;X軸方向及Y軸方向係水平方向。以下,有時令Z軸正方向為上方,Z軸負方向為下方來做說明。
不過,專利文獻2之具備第1腔室空間及第2腔室空間的雙重真空預備模組中,係在第2腔室空間中進行基板加熱處理等。而支撐加熱後基板的組件係和腔室本體實質上隔熱而設置。另外,設有使基板支撐組件冷卻的機構。可是,根據在第2腔室空間所進行的處理,係難以進行充分的溫度控制。另外,真空預備模組中,宜將處理後的基板冷卻之後,搬運到大氣環境中。
以下所說明的實施形態的基板處理系統,係佔地面積約略相同,以各別構成之至少2個腔室而構成真空預備模組。另外,至少2個腔室之間配置冷卻通路。因此,根據實施形態的基板處理系統,可在不增加基板處理系統的佔地面積之下,在真空預備模組中進行基板處理與處理後的基板搬運。
再者,以下的說明中,所謂「大氣環境」係指通常的大氣亦即空氣環境,所謂「真空環境」,係指和大氣環境相比經減壓之環境。
(實施形態的基板處理系統的一例) 圖1係圖示一實施形態的基板處理系統之構成的一例。基板處理系統1,具備載入埠10、大氣搬運室20、真空預備模組30、真空搬運室40、處理模組50及控制裝置60。
載入埠10係設置有容納基板之載體、例如FOUP(Front Opening Unified Pod、前開式晶圓盒)的載置部。當FOUP設置固定於載入埠10時,FOUP的蓋部與載入埠10的閘連動而移動,藉此可將FOUP內的基板往大氣搬運室20側送出。基板處理系統1中待處理的基板,自配置於載入埠10的FOUP,通過大氣搬運室20、真空預備模組30、真空搬運室40往處理模組50內搬運。當處理模組50中的處理結束時、基板回到FOUP。圖1的例中,顯示載入埠10A、10B、10C。無須特別區分時,統稱載入埠10A~10C。再者,基板處理系統1所具備的載入埠10之數量並不限於圖示者,亦可配置4個以上的載入埠。
大氣搬運室20維持於大氣環境,提供基板搬運的空間。圖1所示的大氣搬運室20俯視下為略矩形。沿著略矩形的一方側的長邊配置了複數載入埠10。配置了載入埠10的長邊之對向的長邊側配置了真空預備模組30。
大氣搬運室20內配置了用以搬運基板的第1搬運機構21。第1搬運機構21係例如:具備3軸方向(X軸方向、Y軸方向、Z軸方向)可動之臂部的搬運機器人。第1搬運機構21自FOUP將基板取出,將基板載置於真空預備模組30內的載置台(參照載置台121,圖2)。
真空預備模組30提供了在大氣搬運室20與真空搬運室40之間搬運基板的空間。另外,真空預備模組30提供了用以處理基板的空間。圖2係沿著圖1A-A的概略剖面圖,用以顯示一實施形態的基板處理系統1所具備的真空預備模組30之構成。圖1係顯示2個真空預備模組30A、30B,無須特別區分時,統稱真空預備模組30。須注意者:基板處理系統1所具備的真空預備模組30的數量並不限於圖示之數量,亦可配置3個以上的真空預備模組30。
圖2所示的真空預備模組30具備第1腔室110、第2腔室120、冷卻通路130。第1腔室110和後述的處理模組50所具備的腔室一樣,提供進行基板處理的空間。第1腔室110的中央配置了用以載置處理對象即基板的載置台111。載置台111的內部設置了加熱器H,以作為用以加熱載置台111的加熱機構。載置台111的上方隔著隔開構件113配置了上部電極112。上部電極112係例如藉由施加電壓而產生感應電磁場的線圈。上部電極112配置於第1腔室110的頂棚部,和射頻電源114連接。射頻電源114將射頻電力供給予上部電極112。隔開構件113形成有複數貫通孔,該等貫通孔使隔開構件113上方的上部電極112側所產生的電漿當中的自由基往隔開構件113下方的載置台111側通過。也就是說,隔開構件113將所產生的電漿中的離子與紫外光遮蔽,僅使自由基往處理對象、即基板的配置側通過。第1腔室110連接有:往第1腔室110內供給處理氣體的氣體供給機構115;及進行第1腔室110的排氣的排氣機構116等。第1腔室110亦可另外設置用以冷卻因基板處理而加熱的構件之冷卻機構。
第1腔室110內,在控制裝置60的控制下進行基板處理。基板處理係例如:蝕刻、灰化、成膜等。第1腔室110內所進行的基板處理並無特別限定。本實施形態中,第1腔室110設於大氣搬運室20的附近。因此,在處理模組50內進行蝕刻或成膜之後、將基板送出到大氣搬運室20之前所進行的異物除去等,係在第1腔室110進行較為便利。
第1腔室110的內部空間透過閘117來和真空搬運室40連通。閘117藉由閘閥118而以可密閉方式開閉。第1腔室110的內部空間並未和大氣搬運室20連通。閘117形成為可供握持基板之第2搬運機構41(後述)的前端進入的大小。
載置台111具有複數昇降銷,可上昇至從載置台111的表面突出的位置,並可下降至沒入於載置台111內的位置。昇降銷在上昇位置接受自真空搬運室40搬運來的基板。接受基板之後昇降銷下降,使基板載置於載置台111上。將基板自第1腔室110送出時,昇降銷上昇,使基板自載置台111表面脫離。第2搬運機構(後述)的臂部前端進入載置台111表面與基板底面之間後,昇降銷下降,使基板載置於臂部上,往真空搬運室40搬運。再者,以昇降銷進行基板搬運僅為一例,亦可藉由其他機構在第1腔室110與真空搬運室40之間搬運基板。
第2腔室120配置於第1腔室110的下方,和第1腔室110一樣,第2腔室120具備載置基板的載置台121。載置台121具有冷卻機構122,用以使上方載置的基板冷卻。冷卻機構122可將載置台121冷卻至常溫程度,例如攝氏約25度至約30度。基板於冷卻後往大氣搬運室20搬運。載置台121和第1腔室110的載置台111一樣,亦可另外具有加熱機構等。第2腔室120具有排氣機構123,用以調整內部的環境。
載置台121具有複數昇降銷,可上昇至從載置台121的表面突出的位置,並可下降至沒入於載置台121內的位置。昇降銷在上昇位置中接受分別自大氣搬運室20及真空搬運室40搬運來的基板。接受基板之後,昇降銷下降,使基板載置於載置台121上。將基板自第2腔室120送出時,昇降銷上昇,使基板自載置台121表面脫離。第1或第2搬運機構(後述)的臂部前端進入載置台121表面與基板底面之間後,昇降銷下降,使基板載置於臂部上而進行搬運。和第1腔室110一樣,利用第2腔室120與大氣搬運室20及真空搬運室40之間的昇降銷來進行基板搬運僅為一例,亦可藉由其他機構搬運基板。
第2腔室120的內部空間係透過閘125和大氣搬運室20連通。閘125藉由閘閥126而以可密閉方式開閉。第2腔室120的內部空間另外透過閘127來和真空搬運室40連通。閘127藉由閘閥128而以可密閉方式開閉。閘125、127分別形成為可供握持基板之第1搬運機構21及第2搬運機構41的前端進入的大小。
如上述,真空預備模組30(30A、30B)分別具備至少2個腔室(第1腔室110及第2腔室120)。第1腔室110與第2腔室120係形成為獨立個別的構成體。
冷卻通路130設於第1腔室110與第2腔室120之間,其頂面可由第1腔室110的底面所構成,其底面可由第2腔室120的頂面所構成。圖1的例中,以點線表示冷卻通路130的輪廓。圖1中,冷卻通路130係沿著大氣搬運室20的長邊方向、亦即X軸方向而配置。冷卻通路130係和供給冷媒的供給裝置131(參照圖1)連接。供給裝置131將預定溫度的冷媒供給予冷卻通路130。流經冷卻通路130的冷媒將第1腔室110內的基板處理所產生的熱予以吸收,回到供給裝置131。供給裝置131將循環於冷卻通路130的冷媒調整至預定溫度,送回冷卻通路130。冷卻通路130亦可複數並排配置在第1腔室110與第2腔室120之間。另外,亦可配置成1條冷卻通路130在第1腔室110與第2腔室120之間往復數次。流經冷卻通路130的冷媒也可以是冷卻至預定溫度的氣體或是液體。另外,供給裝置131亦可為包含使冷卻液體循環的泵浦、閥等的裝置,亦可為風扇等產生風流的裝置。
回到圖1,繼續基板處理系統1的說明。
真空搬運室40維持在真空環境,提供了搬運基板的空間。圖1所示的真空搬運室40於俯視下為略五角形。分別沿著五角形的2邊,配置了真空預備模組30A、30B。沿著五角形的其他2邊,配置了處理模組50。然而,真空預備模組30及處理模組50的配置處,並不限於圖示者。
真空搬運室40內配置了用以搬運基板的第2搬運機構41,和第1搬運機構21一樣,第2搬運機構41係具備例如3軸方向可動臂部的搬運機器人。第2搬運機構41在真空預備模組30與處理模組50之間搬運基板。
處理模組50係進行基板處理的基板處理室。處理模組50的構成可採用例如日本專利第6141855號所記載的構成。
控制裝置60係例如電腦,具備記憶部60a與控制部60b。
記憶部60a可由例如RAM、快閃記憶體(Flash Memory)等半導體記憶元件,或是硬碟、光碟等記憶裝置而實現,記憶部60a記憶了程式,以控制基板處理系統1中所進行的各種處理。
控制部60b包含具有CPU(Central Processing Unit、中央處理器)、ROM(Read Only Memory、唯讀記憶體)、RAM(Random Access Memory、隨機存取記憶體)、輸出入埠等之微電腦或各種電路。控制部60b讀取並執行記憶部60a所記憶之程式,藉以控制基板處理系統1的動作。
程式係儲存於可由電腦讀取的記憶媒體,亦可從記憶媒體安裝於控制裝置60的記憶部60a。作為可由電腦讀取的記憶媒體,例如有硬碟(HD)、軟碟(FD)、光碟(CD)、磁光碟(MO)、記憶卡等。
(基板處理流程的一例) 接下來參照圖3,說明實施形態的基板處理系統1的基板處理流程的一例。圖3係顯示一實施形態的基板處理系統的基板處理流程的一例之流程圖。
首先,將FOUP設置固定於載入埠10(步驟S1)。第1搬運機構21握持FOUP內的基板,從閘閥126所打開的閘125往第2腔室120內搬運基板(步驟S2)。第1搬運機構21將基板載置於第2腔室120內的載置台121上。基板載置後,閘閥126關閉,進行第2腔室120內的排氣,讓第2腔室120內變成真空環境。接下來,藉由閘閥128使閘127打開。真空搬運室40內的第2搬運機構41進入第2腔室120內,握持載置台121上的基板,往真空搬運室40內搬運(步驟S3)。第2搬運機構41往控制裝置60之控制所指示的處理模組50內搬運基板(步驟S4)。針對一片基板所進行的處理之次數及為了進行處理而搬運基板的處理模組50之數量並無特別限定。依據控制裝置60所預設的配方,重複地由第2搬運機構41將基板搬運至處理模組50,及從處理模組50搬運出基板,以進行基板處理(步驟S5)。
當處理模組50中的基板處理結束時,第2搬運機構41將基板6往第1腔室110搬運(步驟S6)。首先,藉由閘閥118使閘117打開。第2搬運機構41,通過閘117將基板往第1腔室110內搬運,而載置於載置台111上。基板一旦送入第1腔室110,閘閥118關閉。第1腔室110內根據控制裝置60的控制而進行基板處理(步驟S7)。第1腔室110中所進行的基板處理係例如後段處理。後段處理係例如灰化。當第1腔室110內的處理結束時,再次藉由閘閥118使閘117打開。第2搬運機構41握持載置台111上的基板而將其往真空搬運室40搬運(步驟S8)。接下來,第2搬運機構41將基板往第2腔室120內搬運(步驟S9)。首先,藉由閘閥128使閘127打開。此時,閘閥126保持關閉。而第2搬運機構41將基板配置於第2腔室120內的載置台121上,往真空搬運室40側退避。第2搬運機構41退避之後,閘閥128關閉。第2腔室120內在控制裝置60的控制下,冷卻機構122使載置台121冷卻,藉以使基板的溫度下降至預定溫度範圍(步驟S9)。接下來,藉由閘閥126使閘125打開。而第1搬運機構21通過閘125進入第2腔室120內。第1搬運機構21握持載置台121上的基板而往大氣搬運室20側搬運。第1搬運機構21在控制裝置60的控制下往指定的FOUP搬運基板(步驟S10)。至此,基板處理系統1中的基板處理結束。
基板處理系統1進行的處理中,在第1腔室110進行基板處理的期間,對冷卻通路130供給冷媒。另外,亦可於第1腔室110的基板處理進行之後的預定期間持續對冷卻通路130供給冷媒。供給冷媒之期間的長度,考慮第1腔室110的基板處理引起的溫度上昇幅度、冷媒的溫度等加以設定。
較佳的態樣係令第1腔室110與第2腔室120的佔地面積為約略相同。如此構成的話,與習知的真空預備模組相比較,無需大幅的設計變更即可使2個腔室於垂直方向重疊配置,可抑制基板處理系統1的佔地面積。再者,本實施形態係於真空預備模組內使2個腔室於垂直方向重疊配置,但亦可使3個以上的腔室於垂直方向重疊配置。在此情況下,於各腔室間配置冷卻通路。供給冷媒的供給裝置可於複數冷卻通路共通而設置1個,亦可對應各冷卻通路而分別設置。
第1腔室110的載置台111的載置面,和第2腔室120的載置台121的載置面之間的距離並未特別限定,但為了抑制真空預備模組30的高度增加,宜為250mm左右。
上述實施形態中,第1腔室110係以產生電感耦合型電漿(ICP:Inductively Coupled Plasma)之電漿處理裝置做說明。但所揭示的技術並不限於此,亦可採用電容耦合型電漿(CCP:Capacitively Coupled Plasma)、微波電漿等任何的電漿源作為第1腔室110的電漿源。
圖3的處理例中,在處理模組50中的基板處理的最後進行第1腔室110中的處理,但並不限於此,亦可在第1腔室110中的基板處理之後進行處理模組50中的基板處理。另外,亦可在處理模組50中的基板處理後,直接將基板往第2腔室120搬運,送往大氣搬運室20側。
(實施形態的效果) 如上所述,一實施形態的基板處理系統具備第1腔室、第2腔室及冷卻通路。第1腔室提供空間以處理由維持在真空環境之第1搬運室搬運來的基板。第2腔室之內部可和第1搬運室及維持在大氣環境之第2搬運室連通。第2腔室具有和第1腔室約略相同的佔地面積;和第1腔室於上下方向並排配置、位在第1腔室之下。例如於俯視下、亦即從圖1的Z軸正方向往負方向觀察時,第2腔室配置成:第2腔室的頂面與第1腔室的底面實質上互相重疊。冷卻通路,配置於第1腔室與第2腔室之間,其內部供冷卻媒體流通。如上述,實施形態的基板處理系統中,令第1腔室與第2腔室的佔地面積約略相同,於上下方向重疊配置。故根據實施形態,可抑制基板處理系統的佔地面積。另外,藉由在真空預備模組的位置配置了提供基板處理進行空間之第1腔室,可抑制處理模組的數量,並可有效活用基板處理系統內的空間。
上述實施形態的基板處理系統所具備的冷卻通路,係藉由第1腔室的底面及第2腔室的頂面之至少一部分來形成內壁的一部分。因此,可抑制零件數目的增加而構成基板處理系統。
另外,上述實施形態的基板處理系統更具備:對冷卻通路供給冷媒的供給裝置。另外,冷卻通路及供給裝置配置於第1搬運室、第2搬運室、第1腔室及第2腔室的外側。因此,無須為了配置用以抑制「真空預備模組所配置的第1腔室與第2腔室的熱交換」的機構,而調整基板的搬運路徑,可順利進行基板搬運。
上述實施形態的基板處理系統所具備的第1腔室,容納了進行灰化、蝕刻或是成膜之中任一者的基板處理裝置。因此,實施形態的基板處理系統可因應基板處理的順序,將處理模組及第1腔室所進行的處理彈性組合,來進行基板處理。
另外,上述實施形態的基板處理系統所具有的第2腔室具備:令基板冷卻之冷卻機構。因此,實施形態的基板處理系統,可將處理後的基板溫度調整之後,送至大氣搬運室。
另外,上述實施形態的基板處理系統所具備的第1腔室,亦可具備:令基板加熱的加熱機構;及令由基板處理所加熱的構件冷卻、和冷卻通路個別獨立的冷卻機構。因此,即使因第1腔室中所進行的基板處理,使得處理空間的溫度及周圍構件的溫度上昇,也可抑制和第2腔室之間的熱交換。
本說明書所揭示的實施形態,應視為在所有方面僅為例示,並非用以限制本發明。上述實施形態可在不脫離所附之申請專利範圍及其主旨的前提下,以各種形態進行省略、替換、變更。
1:基板處理系統 10,10A,10B,10C:載入埠 20:大氣搬運室 21:第1搬運機構 30,30A,30B:真空預備模組 40:真空搬運室 41:第2搬運機構 50:處理模組 60:控制裝置 60a:記憶部 60b:控制部 110:第1腔室 111:載置台 112:上部電極 113:隔開構件 114:射頻電源 115:氣體供給機構 116:排氣機構 117:閘 118:閘閥 120:第2腔室 121:載置台 122:冷卻機構 123:排氣機構 125:閘 126:閘閥 127:閘 128:閘閥 130:冷卻通路 131:供給裝置 H:加熱器 S1~S10:步驟
圖1係圖示一實施形態的基板處理系統之構成的一例。 圖2係顯示一實施形態的基板處理系統所具備的真空預備模組之構成的概略剖面圖。 圖3係顯示一實施形態的基板處理系統的基板處理流程的一例之流程圖。
20:大氣搬運室
21:第1搬運機構
30:真空預備模組
40:真空搬運室
41:第2搬運機構
110:第1腔室
111:載置台
112:上部電極
113:隔開構件
114:射頻電源
115:氣體供給機構
116:排氣機構
117:閘
118:閘閥
120:第2腔室
121:載置台
122:冷卻機構
123:排氣機構
125:閘
126:閘閥
127:閘
128:閘閥
130:冷卻通路
H:加熱器

Claims (6)

  1. 一種基板處理系統,具備: 第1腔室,提供空間以處理由維持在真空環境之第1搬運室搬運來的基板; 第2腔室,內部可和該第1搬運室及維持在大氣環境之第2搬運室連通,並具有和該第1腔室約略相同的佔地面積,且和該第1腔室於上下方向並排配置、位在該第1腔室之下;及 冷卻通路,配置於該第1腔室與該第2腔室之間,內部供冷卻媒體流通。
  2. 如請求項1的基板處理系統,其中, 該冷卻通路,係藉由該第1腔室的底面及該第2腔室的頂面之至少一部分來形成內壁的一部分。
  3. 如請求項1的基板處理系統,更具備: 對該冷卻通路供給冷媒的供給裝置; 該冷卻通路及該供給裝置,配置於該第1搬運室、該第2搬運室、該第1腔室及該第2腔室的外側。
  4. 如請求項1至3中任1項的基板處理系統,其中, 該第1腔室,容納了進行灰化、蝕刻或是成膜之中任一者的基板處理裝置。
  5. 如請求項1至4中任1項的基板處理系統,其中, 該第2腔室具備:令基板冷卻之冷卻機構。
  6. 如請求項1至5中任1項的基板處理系統,其中, 該第1腔室具備:令基板加熱的加熱機構;及 令由基板處理所加熱的構件冷卻、和該冷卻通路個別獨立的冷卻機構。
TW109125016A 2019-08-07 2020-07-24 基板處理系統 TW202111844A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019145718A JP7394554B2 (ja) 2019-08-07 2019-08-07 基板処理システム
JP2019-145718 2019-08-07

Publications (1)

Publication Number Publication Date
TW202111844A true TW202111844A (zh) 2021-03-16

Family

ID=74358265

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125016A TW202111844A (zh) 2019-08-07 2020-07-24 基板處理系統

Country Status (5)

Country Link
US (1) US11515183B2 (zh)
JP (1) JP7394554B2 (zh)
KR (1) KR20210018070A (zh)
CN (1) CN112349620A (zh)
TW (1) TW202111844A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2937846B2 (ja) * 1996-03-01 1999-08-23 アプライド マテリアルズ インコーポレイテッド マルチチャンバウェハ処理システム
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JP4695297B2 (ja) 2001-06-26 2011-06-08 キヤノンアネルバ株式会社 薄膜形成装置及びロードロックチャンバー
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP3970184B2 (ja) 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JPWO2008120294A1 (ja) 2007-03-02 2010-07-15 株式会社ダイヘン 搬送装置
JP4898556B2 (ja) 2007-05-23 2012-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP6006643B2 (ja) * 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP2013254904A (ja) * 2012-06-08 2013-12-19 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20130333616A1 (en) * 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
KR102099408B1 (ko) 2012-09-18 2020-04-10 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US20160314997A1 (en) 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
WO2019078988A1 (en) 2017-10-16 2019-04-25 Applied Materials, Inc. HEATED SUPPORT BASE AT HIGH TEMPERATURE IN A DUAL LOAD LOCK CONFIGURATION
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム

Also Published As

Publication number Publication date
KR20210018070A (ko) 2021-02-17
US11515183B2 (en) 2022-11-29
JP7394554B2 (ja) 2023-12-08
CN112349620A (zh) 2021-02-09
JP2021027259A (ja) 2021-02-22
US20210043480A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
KR102417929B1 (ko) 기판 처리 장치
KR101515247B1 (ko) 기판 처리 장치
JPH1187467A (ja) ロードロック機構及び処理装置
JP2017028158A (ja) ロードロック装置、及び基板処理システム
CN107017182B (zh) 基板处理设备
KR20020013710A (ko) 가열·냉각장치 및 이 장치를 구비한 진공처리장치
US11688619B2 (en) Vacuum processing apparatus and substrate transfer method
TW202111844A (zh) 基板處理系統
KR102444876B1 (ko) 기판 처리 장치
KR20220129466A (ko) 기판을 처리하는 장치 및 기판을 반송하는 방법
JP2008066339A (ja) 半導体装置の製造装置
TWI545671B (zh) 基板冷卻單元及基板處理設備
US20210005486A1 (en) Substrate transfer apparatus and substrate transfer method
KR101928008B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20080101317A (ko) 기판 처리 시스템 및 방법
JP2021145017A (ja) 基板搬送システム、真空基板搬送モジュール、および基板搬送方法
JP7402658B2 (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
JP2004179519A (ja) 基板処理装置
WO2022044834A1 (ja) 基板を処理する装置、及び基板を処理する方法
KR20230064401A (ko) 기판 처리 장치 및 방법
JP2004128383A (ja) 基板処理装置
KR101367898B1 (ko) 플라즈마 감금 장벽 및 이를 구비한 기판 처리 시스템 및방법
JP2024051304A (ja) 基板搬送モジュール及び基板搬送方法
JP2022155047A (ja) 基板を搬送する装置、基板を処理するシステム及び基板の搬送を行う方法
KR100888353B1 (ko) 플라즈마 처리장치