TW202011579A - 使用梳狀繞線結構減少金屬線裝載的記憶元件 - Google Patents

使用梳狀繞線結構減少金屬線裝載的記憶元件 Download PDF

Info

Publication number
TW202011579A
TW202011579A TW107139131A TW107139131A TW202011579A TW 202011579 A TW202011579 A TW 202011579A TW 107139131 A TW107139131 A TW 107139131A TW 107139131 A TW107139131 A TW 107139131A TW 202011579 A TW202011579 A TW 202011579A
Authority
TW
Taiwan
Prior art keywords
structures
substrate
group
layer
item
Prior art date
Application number
TW107139131A
Other languages
English (en)
Other versions
TWI685953B (zh
Inventor
霍宗亮
峻 劉
夏志良
肖莉紅
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Application granted granted Critical
Publication of TWI685953B publication Critical patent/TWI685953B/zh
Publication of TW202011579A publication Critical patent/TW202011579A/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/08Address circuits; Decoders; Word-line control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/02Arrangements for writing information into, or reading information out from, a digital store with means for avoiding parasitic signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/24Bit-line control circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

一種記憶元件包括第一半導體結構和第二半導體結構。所述第一半導體結構包括第一基底以及所述第一基底上的一或多個週邊元件。所述第二半導體結構包括與多個豎直結構中的第一組電耦合的第一組導電線以及與所述多個豎直結構中的第二組電耦合的第二組導電線,所述多個豎直結構中的第二組不同於所述多個豎直結構中的第一組。所述第一組導電線與所述多個豎直結構的一端豎直隔開一定距離,並且所述第二組導電線與所述多個豎直結構的相對端豎直隔開一定距離。

Description

使用梳狀繞線結構減少金屬線裝載的記憶元件
本揭露書的實施例涉及三維(3D)記憶元件及其製作方法。
快閃(flash)記憶元件已經經歷了快速發展。快閃記憶元件可以在沒有電力的情況下對資料進行相當長時間的儲存(即它們具有非易失性記憶體的形式),並且具有諸如高整合度、快速存取、易於抹寫和重寫的優點。為了進一步提高快閃記憶元件的位元密度並降低其成本,已經開發出了三維NAND快閃記憶元件。
三維NAND快閃記憶元件包括設置在基底之上的字元線堆疊層,其中多個半導體通道通過字元線並且與字元線相交,進入p型和/或n型佈植基底。底部/下閘電極起著底部/下選擇閘(bottom select gate, BSG)的作用。頂部/上閘電極起著頂部/上選擇閘(top select gate, TSG)的作用。後段製程(back-end- of-line, BEOL)金屬起著位元線(bit line, BL)的作用。頂部/上選擇閘電極和底部/下閘電極之間的字元線/閘電極起著字元線(word line, WL)的作用。字元線與半導體通道的交叉形成了儲存單元。WL和BL通常相互垂直(例如沿X方向和Y方向)設置,且TSG沿垂直於WL和BL兩者的方向(例如沿Z方向)設置。
文中公開了三維記憶元件架構及其製作方法的實施例。所公開的結構和方法提供了用於各種金屬線(如位元線)的交錯製作,以降低同一平面上金屬線的密度。降低金屬線密度能降低線與線之間的串擾並提供更快的編程速度。
在一些實施例中,一種記憶元件包括第一半導體結構和第二半導體結構。所述第一半導體結構包括第一基底以及處於所述第一基底上的一或多個週邊元件。所述第一半導體結構還包括具有第一導體層的一或多個互連層。所述第二半導體結構包括第二基底以及設置在所述第二基底的第一表面上方具有交替的導體和絕緣體層的堆疊層。所述第二半導體結構還包括通過所述堆疊層豎直延伸的多個結構。所述第二半導體結構還包括與所述多個結構中的第一組電耦合的第一組導電線以及與所述多個結構中的不同於所述第一組的第二組電耦合的第二組導電線。所述第一組導電線與所述多個結構的一端豎直隔開一定距離,並且所述第二組導電線與所述多個結構的相對端豎直隔開一定距離。
在一些實施例中,一種記憶元件包括基底以及設置在所述基底的第一表面上方的具有交替的導體和絕緣體層的堆疊層。所述記憶元件還包括通過所述堆疊層豎直延伸的多個結構。所述記憶元件還包括與所述多個結構中的第一組電耦合的第一組導電線以及與所述多個結構中的不同於所述第一組的第二組電耦合的第二組導電線。所述第一組導電線與所述多個結構的一端豎直隔開一定距離,並且所述第二組導電線與所述多個結構的相對端豎直隔開一定距離。所述記憶元件還包括具有處於所述記憶元件的頂面處的第一導體層的一或多個互連層、以及處於所述記憶元件的所述頂面處的接合面。另一半導體元件被配置成在所述接合面處與所述記憶元件接合。
在一些實施例中,所述第二半導體結構進一步包括一或多個第二互連層,所述一或多個第二互連層包括第二導體層。
在一些實施例中,所述記憶元件進一步包括處於所述第一半導體結構和所述第二半導體結構之間的接合介面,其中所述第一導體層在所述接合介面處接觸所述第二導體層。
在一些實施例中,所述多個結構包括一或多個NAND記憶體串。
在一些實施例中,所述一或多個NAND記憶體串均包括圍繞芯絕緣材料的多個層,其中所述多個層包括阻擋層、儲存層、穿隧層和通道層。
在一些實施例中,所述導電材料包括摻雜多晶矽。
在一些實施例中,所述多個結構包括一或多個導電接觸點。
在一些實施例中,所述多個結構中的第一組僅包括NAND記憶體串,並且所述多個結構中的第二組僅包括導電接觸點。
在一些實施例中,所述第二組導電線位於所述第二基底相對於所述第一組導電線一側上。
在一些實施例中,所述第一半導體結構進一步包括被設置來提供與外部元件的電連接的多個導電接墊。
在一些實施例中,所述第二半導體結構進一步包括被設置來提供與外部元件的電連接的多個導電接墊。
在一些實施例中,一種形成記憶元件的方法包括:在第一基底之上形成堆疊層,第一堆疊層包括交替的導體和絕緣體層;以及形成豎直延伸通過所述堆疊層的多個結構。所述方法還包括在一端上形成與所述多個結構豎直隔開一定距離的第一組導電線。所述第一組導電線電耦合至所述多個結構中的第一組。所述方法還包括在第二基底上形成一或多個週邊元件。所述方法還包括使第一基底與第二基底在所述第一基底和所述第二基底之間的接合介面處接合,以及在相對端之上形成與所述多個結構隔開一定距離的第二組導電線。所述第二組導電線電耦合至所述多個結構中的第二組。
在一些實施例中,所述方法進一步包括在所述接合之前在所述多個結構之上形成一或多個互連層,所述一或多個互連層包括第一導體層。
在一些實施例中,所述方法進一步包括在所述接合之前在所述一或多個週邊元件之上形成一或多個第二互連層,所述一或多個互連層包括第二導體層。
在一些實施例中,在所述第一基底與所述第二基底的接合期間使所述第一導體層接觸所述第二導體層。
在一些實施例中,接合所述第一基底和所述第二基底包括通過混合接合(hybrid bonding)來接合第一和第二基底。
在一些實施例中,形成所述多個結構包括:沉積多個記憶體層,所述多個記憶體層包括阻擋層、儲存層、穿隧層和通道層;以及沉積芯絕緣體材料。
在一些實施例中,形成所述多個結構包括形成耦合至所述第二組導電線中的導電線的導電接觸點。
在一些實施例中,所述方法進一步包括在所述第一基底上形成一或多個導電接墊,所述一或多個導電接墊被設置成提供通往外部元件的電連接。
在一些實施例中,所述方法進一步包括在所述第二基底上形成一或多個導電接墊,所述一或多個導電接墊被設置成提供通往外部元件的電連接。
本揭露書提供的三維記憶元件包括位元線和其它金屬繞線,這些線被提供到基底上方(或下方)的不同高度,以使它們不會被密集地封裝在同一平面上。
儘管對具體配置和設置進行了討論,但應當理解,這只是出於示例性目的而進行的。相關領域中的技術人員將認識到,可以使用其它配置和設置而不脫離本揭露書的精神和範圍。對相關領域的技術人員顯而易見的是,本揭露書還可以用於多種其它應用中。
要指出的是,在說明書中提到「一個實施例」、「實施例」、「示例性實施例」、「一些實施例」等詞指示所述的實施例可以包括特定特徵、結構或特性,但未必每個實施例都包括該特定特徵、結構或特性。此外,這種用詞未必是指同一個實施例。另外,在結合實施例描述特定特徵、結構或特性時,結合其它實施例(無論是否明確描述)實現這種特徵、結構或特性應在相關領域技術人員的知識範圍內。
通常,可以至少部分從上下文中的使用來理解術語。例如,至少部分取決於上下文,本文中使用的術語「一或多個」可以用於描述單數意義的任何特徵、結構或特性,或者可以用於描述複數意義的特徵、結構或特性的組合。類似地,至少部分取決於上下文,諸如「一」或「所述」的用詞同樣可以被理解為傳達單數使用或傳達複數使用。
應當容易理解,本揭露書中的「在…上」、「在…上方」和「在…之上」的含義應當以最廣義的方式來解讀,以使得「在…上」不僅表示「直接在」某物「上」而且還包括在某物「上」且其間有中介特徵或層結構的含義,並且「在…上方」或「在…之上」不僅表示「在」某物「上方」或「之上」,而且還可以包括其「在」某物「上方」或「之上」且其間沒有中介特徵或層結構(即直接在某物上)的含義。
此外,諸如「在…之下」、「在…下方」、「下部」、「在…上方」、「上部」等空間相關術語在本文中為了描述方便可以用於描述一個元件或特徵與另一或多個元件或特徵的關係,如在附圖中示出的。空間相關術語旨在涵蓋除了在附圖所描繪的位向以外在設備使用或操作時的不同位向。設備可以以另外的方式來定向(旋轉90度或在其它位向),並且本文中使用的空間相關描述詞可以類似地被相應解釋。
如本文中使用的,術語「基底」是指向其上增加後續材料層的材料。基底自身可以被圖案化。加在基底頂部的材料可以被圖案化或者可以保持不被圖案化。此外,基底可以包括廣泛範圍的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由諸如玻璃、塑膠或藍寶石晶圓的非導電材料製成。
如本文中使用的,術語「層」是指包括具有厚度的區域的材料部分。層可以在下方或上方結構的整體之上延伸,或者可以具有小於下方或上方結構範圍的範圍。此外,層結構可以是厚度小於連續結構的厚度的均質或非均質連續結構的區域。例如,層結構可以位於在連續結構的頂面和底面之間或在頂面和底面處的任何一對水平面之間。層結構可以水準、豎直和/或沿傾斜表面延伸。基底可以是層結構,在其中可以包括一或多個層,和/或可以在其上、其上方和/或其下方具有一或多個層。層結構可以包括多個層。例如,互連層可以包括一或多個導體和接觸層(其中形成接觸點、互連線和/或通孔)和一或多個介電層。
如本文使用的,術語「標稱/標稱地」是指在產品或過程的設計階段期間設定來用於部件或過程操作的特性或參數的期望或目標值,以及高於和/或低於期望值的值範圍。該值的範圍可能是由於製造過程或容限中的輕微變化導致的。如本文使用的,術語「大約」可以指的是基於與主題半導體元件相關聯的特定技術節點而變化的給定量值。基於特定技術節點,術語「大約」可以指示給定的量值,例如在值的10%-30%(例如,值的±10%、±20%或±30%)之間內變化。
如本文使用的,術語「3D記憶元件」是指一種半導體元件,其在橫向方位的基底上具有豎直方位的儲存單元電晶體串(在本文中被稱為「記憶體串」,例如NAND串),以使得所述記憶體串相對於基底在豎直方向上延伸。如本文使用的,術語「豎直/豎直地」是指標稱地垂直於基底的橫向表面。
在本揭露書中,為了便於描述,「臺階」用於指稱大體上沿豎直方向處於相同高度的元件。例如,字元線和下層閘極介電層可以被稱為「臺階」,字元線和下層絕緣層一起可以被稱為「臺階」,大體上相同高度的字元線可以被稱為「字元線臺階」,依此類推。
第1圖示出了三維NAND快閃記憶元件100的部分。快閃記憶元件100包括基底101、基底101之上的絕緣層103、絕緣層103之上的下選擇閘電極104的臺階、以及堆疊在底部選擇閘電極104的頂部上的控制閘電極107等結構所構成的多個臺階,以形成交替的導體/介電堆疊層。快閃記憶元件還包括處於控制閘電極107的堆疊層之上的上選擇閘電極109的臺階、處於基底101中位於相鄰下選擇閘電極104之間部位中的摻雜源極線區域120、以及穿過上選擇閘電極109、控制閘電極107、下選擇閘電極104和絕緣層103的NAND串114。NAND串114包括處於NAND串114的內表面之上的記憶體膜113以及被記憶體膜113包圍的芯填充膜115。快閃記憶元件100進一步包括通過上選擇閘電極109連接至NAND串114的多條位元線111以及通過多個金屬接觸點117連接至閘電極的多個金屬互連結構119。為了清楚起見,第1圖中並未示出閘電極的相鄰臺階之間的絕緣層。閘電極包括上選擇閘電極109、控制閘電極107(例如,又稱為字元線)以及下選擇閘電極104。
在第1圖中,出於例示的目的,示出了控制閘電極的三個臺階107-1、107-2和107-3,連同上選擇閘電極109的一個臺階和下選擇閘電極104的一個臺階。閘電極的每個臺階在基底101之上具有大體上相同的高度。每個臺階的閘電極通過穿過閘電極的堆疊層的閘縫隙108-1和108-2分隔開。同一臺階中的每個閘電極通過金屬接觸點117導電連接至金屬互連結構119。也就是說,形成於閘電極上的金屬接觸點的數量等於閘電極的數量(即所有的上選擇閘電極109、控制閘電極107和下選擇閘電極104的總數)。此外,形成相同數量的金屬互連結構,以連接至每個金屬接觸點通孔。在一些設置中,形成額外的金屬接觸點以連接至閘電極以外的其它結構,例如,虛設結構。
在形成NAND串114時,還可以形成延伸通過控制閘電極的臺階107-1、107-2和107-3向下到達基底101的其它豎直結構。其它豎直結構的示例包括可以用於與閘電極的臺階上方和/或下方的部件進行電連接的貫穿陣列接觸點(through array contact, TAC)。為了清楚起見,在第1圖中未示出這些其它豎直結構。
出於例示的目的,使用相同的元件編號標記三維NAND元件中的類似或相同部分。然而,元件編號僅用於在具體實施方式部分對相關部分進行區分,而不指示功能性、成分或位置方面的任何相似性或差異。第2圖所示的記憶元件200提供了根據一些實施例的三維NAND記憶元件的側視圖。第3A-3C圖示出了根據一些實施例用於形成三維NAND記憶元件部分的示例性製作過程。第4A-4D圖示出了根據一些實施例用於形成三維NAND記憶元件的另一部分的示例性製作過程。第5A-5C圖示出了根據一些實施例用於將結構接合在一起以形成三維NAND記憶元件的示例性製作過程。圖中為了便於描述而未示出記憶元件的其它部分。儘管使用三維NAND元件作為示例,但是在各種應用和設計中,也可以將所公開的結構應用到類似或不同的半導體元件中,從而(例如)降低金屬互連結構或佈線的密度。所公開的結構的具體應用不應受到本揭露書的實施例所限制。出於例示的目的,以可互換的方式使用字元線和閘電極來描述本揭露書。
第2圖示出了根據一些實施例的示例性記憶元件200。記憶元件200包括在接合介面205處接合到一起的第一半導體結構202和第二半導體結構204。每個第一半導體結構202和第二半導體結構204都包括在基底之上形成的多個不同的材料層。在一些實施例中,第一半導體結構202與第二半導體結構204是分開製作的。
第一半導體結構202包括基底206。基底206能夠提供用於形成後續結構的平臺。這樣的後續結構形成於基底206的正面(如頂面)上。並且可以說這樣的後續結構是沿豎直方向(例如與基底206的正面正交)形成的。在第2圖中,對於所有後續例示的結構而言,X方向和Y方向沿平行於基底206的正面和背面的平面,而Z方向則處於與基底206的正面和背面正交的方向。
在一些實施例中,基底206可以包括用於形成三維記憶元件的任何適當材料。例如基底206可以包括矽、矽鍺、碳化矽、覆矽絕緣基板(silicon-on- insulator, SOI)、絕緣體上鍺(germanium-on-insulator, GOI)、玻璃、氮化鎵、砷化鎵和/或其它適當III-V化合物。
第一半導體結構202的基底206可以包括一或多個週邊元件208。週邊元件208可以形成於基底206「上」,其中在基底206中(例如在基底206的頂面下方)和/或直接在基底206上形成整個週邊元件208或其部分。任何週邊元件208可以包括形成於基底206上的電晶體。也可以在基底206中形成用以形成電晶體的源極區/汲極區的摻雜區,這是相關領域技術人員所能夠理解的。
在一些實施例中,週邊元件208可以包括用於促進記憶元件200的操作的任何適當數位、類比和/或混合訊號週邊電路。例如,週邊元件208可以包括頁緩衝器、解碼器(例如行解碼器或列解碼器)、感測放大器、驅動器、電荷泵、電流或電壓參考、或者所述電路的任何主動或被動部件(例如電晶體、二極體、電阻器或電容器)中的一或多者。在一些實施例中,使用互補金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor, CMOS)技術(又稱為「CMOS晶片」)將週邊元件208形成到基底206上。
第一半導體結構202可以包括週邊元件208上方的一或多個週邊互連層210,以傳輸那些通往和來自週邊元件208的訊號。週邊互連層210可以包括一或多個接觸點以及諸如頂部導體層214的一或多個導體層,每一導體層包括一或多個互連線和/或通孔。如本文所用,術語「接觸」結構可以寬泛地包括任何適當類型的互連結構,例如中段製程(middle-end-of-line, MEOL)互連結構和後段製程(back-end-of-line, BEOL)互連結構,包括豎直互連接入結構(如通孔)和橫向線(如互連線)。週邊互連層210可以進一步包括通常由介電材料212表示的一或多個層間介電(interlayer dielectric, ILD)層。週邊互連層210中的接觸點和導體層可以包括導體材料,其包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、矽化物或其任何組合。介電材料212可以包括氧化矽、氮化矽、氮氧化矽、摻雜氧化矽、或其任何組合。
第二半導體結構204包括可以具有與基底206類似屬性的基底216。在一些實施例中,基底216的材料不同於基底206。
包括交替的導體和絕緣體層的堆疊層218設置在基底216的第一表面(如正面)上。在堆疊層218中可以使用任何數量交替設置的導體/絕緣體層。導體層可以都具有相同厚度或者可以都具有不同厚度。類似地,絕緣體層可以都具有相同厚度或者可以都具有不同厚度。導體層可以包括導體材料,所述導體材料包括但不限於W、Co、Cu、Al、摻雜矽、矽化物或其任何組合。絕緣體層可以包括介電材料,所述介電材料包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合。在一些實施例中,絕緣體層表示空的空間(例如,真空)。
多個結構220豎直延伸通過堆疊層218並在基底216的第一表面之上。多個結構220可以包括任何數量的NAND記憶體串222和/或導電接觸點224。每個NAND記憶體串222提供了由施加至對應的字元線(例如堆疊層218的導體層)的電壓來控制多個記憶體位置。每個NAND記憶體串222的導電頂部部分和底部部分耦合至控制電流流經每個NAND記憶體串222的通道層的位元線。
導電接觸點224可以是貫穿陣列接觸點(TAC)。導電接觸點224可以延伸通過堆疊層218,並且向設置在堆疊層218上方和下方這兩者的導電層或接墊輸送訊號。
根據一些實施例,第二半導體結構204包括兩個不同層級的接觸線,以用於與多個結構220中的每一者進行接觸。例如,第一組導電線228可以位於多個結構220的一側(沿z方向),而第二組導電線234可以位於多個結構220的相對側(沿z方向)。每個第一組和第二組導電線228和234都可包括耦合至一或多個NAND記憶體串222的位元線、使用導電通孔226耦合至堆疊層218的導電層的字元線、以及耦合至導電接觸點224的其它接觸線。通過在分開的位置之間對這樣的導電線進行拆分,能夠降低單個位置上的線的密度,從而降低記憶元件200的串擾和並提供更快的運作速度。
可以按照任何方式使各導電線在第一組導電線228和第二組導電線234之間交錯。對導電線進行交錯能夠建立導電線的梳狀設置。在一個示例中,導電接觸點224的每者都連接至第二組導電線234中的對應接觸線,並且NAND記憶體串222中的每者都連接至第一組導電線228中的對應位元線。在另一個示例中,對於多個結構220中的每者而言,導電線沿x方向交替地位於第一組導電線228中或第二組導電線中。又一示例中,使連接至NAND記憶體串222的位元線發生交錯,以使得對於NAND記憶體串222中的每者而言,位元線係沿x方向交替地位於第一組導電線228和第二組導電線234中。任何其它設置也是可能的,只要耦合至多個結構220中的每一者的導電線並非全部沿同一平面設置即可。
在一些實施例中,多個結構220中的任何第一組結構可以耦合至第一組導電線228中的導電線,並且多個結構220中的任何第二組結構可以耦合至第二組導電線234中的導電線。在一些實施例中,第一組結構可以包括所有的NAND記憶體串222,並且第二組結構可以包括所有的導電接觸點224。第一組結構可以不同於第二組結構。此外,在一些實施例中,第一組結構包括完全不同於第二組結構的結構。
在一些實施例中,第二組導電線234沿z向設置在基底216的相對表面(如背面)之上。另一介電材料236也可以存在於基底216的背面之上並圍繞第二組導電線234。介電材料236可以具有與介電材料212大體上相同的屬性。類似地,另一介電材料235設置在基底216的正面之上,以圍繞並且保護各種導電線和通孔。介電材料235可以具有與介電材料212或者介電材料236大體上相同的屬性。
第二半導體結構204包括一或多個互連層230,其具有與週邊互連層210大體上相同的屬性。互連層230可以包括導體層232。根據一些實施例,互連層230的導體層232以及週邊互連層210的導體層214在接合介面205處相互接觸。
取決於晶片封裝中的記憶元件200的位向,可以沿著更加接近基底216的第一表面238,或者沿著基底206的第二表面240來提供被設計來電連接到外部元件的導電接墊。為了清楚起見,圖中並未示出這些接墊,但是其使用將是相關領域技術人員所能理解的。
第3A-3C圖示出了用於形成半導體元件300的示例性製作過程。在一些實施例中,半導體元件300提供了記憶元件200的部分。第3A圖示出了基底206上週邊元件208的形成。週邊元件208可以包括形成於基底206上的多個電晶體。所述電晶體可以是通過多個處理步驟形成的,所述步驟包括但不限於光刻、乾/濕蝕刻、薄膜沉積、熱生長、佈植、化學機械研磨(chemical mechanical polishing, CMP)或其任何組合。在一些實施例中,在基底206中形成摻雜區,摻雜區充當(例如)電晶體的源極區和/或汲極區。在一些實施例中,還在基底206中形成隔離區,例如淺溝槽隔離結構(shallow trench isolation, STI)。這些具體特徵並未明確示出,因為它們是相關領域技術人員熟知的結構。可以在基底206上提供電晶體或者被動元件(如電容器、電阻器等)的任何設置。
第3B圖示出了週邊元件208上方的第一互連層的形成。第一互連層包括一或多個接觸點302以及圖案化的導體層304。可以提供接觸點302以接觸週邊元件208的圖案化特徵或者基底206部分。導體層304表示延伸進出頁面的導電走線。接觸點302和圖案化的導體層304可以包括通過一或多種薄膜沉積製程沉積的導體材料,所述製程包括但不限於CVD、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)、電鍍、無電鍍或其任何組合。用以形成接觸點和導體層的製作過程還可以包括光刻、CMP、濕/乾蝕刻或其任何組合。
通過介電材料212表示一或多個沉積的介電層。介電材料212可以表示任何數量的沉積介電層,其包括通過一或多種薄膜沉積製程沉積的材料,所述製程包括但不限於CVD、PVD、ALD或者其任何組合。
第3C圖示出了用以形成週邊互連層210的一或多個額外互連層的形成。可以形成任何數量的互連層,它們每一者都具有連接導體層的不同層級的通孔。還可沉積額外的介電層來提高介電材料212的總厚度。
根據一些實施例,沿半導體元件300的頂面306形成頂部導體層214。使用CMP對頂面306進行研磨,以使所述表面跨越介電材料210和頂部導體層214兩者是平滑的。
第4A-4D圖示出了用於形成半導體元件400的示例性製作過程。在一些實施例中,半導體元件400提供了記憶元件200的部分。第4A圖示出了根據一些實施例具有形成於基底216之上的交替的犧牲層404和介電層406的堆疊層402的形成。
堆疊層402的形成可以涉及沉積犧牲層404,以使每個犧牲層具有相同厚度或者具有不同厚度。犧牲層404的示例性厚度可以在從20nm到500nm的範圍內。類似地,介電層406可以具有相同厚度或者可以具有不同厚度。介電層406的示例性厚度可以在從20nm到500nm的範圍內。
根據一些實施例,犧牲層404的介電材料不同於介電層406的介電材料。例如,犧牲層404的每一者可以是氮化矽,而介電層406的每一者可以是二氧化矽。用於犧牲層404的每一者的其它示例性材料包括多晶矽、多晶鍺和多晶矽鍺。用於介電層406或犧牲層404中任一者的介電材料可以包括氧化矽、氮化矽、氮氧化矽或其任何組合。應當理解,在堆疊層402中可以包括任何數量的介電層。
堆疊層402包括具有階梯結構的部分,在所述階梯結構中,至少犧牲層404中的每者沿水平「X」方向終止于不同的長度。該階梯結構允許接觸點電連接記憶元件的字元線中的每者,如將在後面所示出的。
第4B圖示出了根據一些實施例的穿過堆疊層402的豎直結構220的形成。豎直結構220包括NAND記憶體串222和導電接觸點224兩者。
在一些實施例中,NAND記憶體串222包括在基底216上的磊晶生長層408與頂部導電材料410之間延伸的多個記憶體層403和芯絕緣體材料405。磊晶生長材料408可以包括磊晶生長的矽,並且可以延伸到基底216部分中。頂部導電材料可以包括摻雜多晶矽或者任何其它導電材料。
每個NAND記憶體串222的多個記憶體層403可以包括半導體通道層,例如非晶矽、多晶矽或者單晶矽。多個記憶體層403還可以包括穿隧層、儲存層(又稱為「電荷捕獲/儲存層」)和阻擋層。根據一些實施例,阻擋層、儲存層、穿隧層和半導體通道層在側壁上按照所列舉順序設置在彼此之上(首先沉積阻擋層,並且最後沉積半導體通道層)。穿隧層可以包括氧化矽、氮化矽或其任何組合。阻擋層可以包括氧化矽、氮化矽、高介電常數(高k)材料或其任何組合。儲存層可以包括氮化矽、氮氧化矽、矽、或其任何組合。在一些實施例中,多個記憶體層404包括氧化矽/氮化矽/氧化矽(ONO)介電(例如穿隧層包括氧化矽,儲存層包括氮化矽,而阻擋層包括氧化矽)。芯絕緣體406可以是任何介電材料,如氧化物。在一些實施例中,多個記憶體層403圍繞芯絕緣體405。NAND記憶體串222的直徑可以在大約100nm和200nm之間。
在一些實施例中,NAND記憶體串222的形成包括蝕刻出穿過堆疊層402且進入基底216部分中的多個開口。之後,在該多個開口的底部形成磊晶生長材料408,隨後沉積多個記憶體層403並且沉積芯絕緣體405,以使得多個記憶體層403包圍所述芯絕緣體405。可以在多個記憶體層403和芯絕緣體405之上形成頂部導電材料410,並且頂部導電材料410可以是在對記憶體層403和芯絕緣體405的頂部部分進行蝕刻之後形成的。多個記憶體層403的各個層中的每一者可以是使用任何適當的沉積技術形成的。例如,所述技術為濺射、蒸鍍或者化學氣相沉積(CVD)。示例性CVD技術包括電漿輔助式CVD(PECVD)、低壓CVD(LPCVD)和原子層沉積(ALD)。類似地,芯絕緣體405可以是使用上文所描述技術中的任何技術形成的。
導電接觸點224可以是TAC,每個TAC包括被絕緣材料412包圍的導電芯。導電芯414可以包括導體材料,所述導體材料包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、矽化物或其任何組合。絕緣材料412可以包括氧化矽、氮化矽、氮氧化矽、摻雜氧化矽、或其任何組合。導電芯414和絕緣材料412可以都使用任何適當的沉積技術而形成,例如,所述技術為濺射、蒸鍍或者化學氣相沉積(CVD)。示例性CVD技術包括等電漿輔助式CVD(PECVD)、低壓CVD(LPCVD)和原子層沉積(ALD)。
根據一些實施例,可以在形成多個豎直結構220之後執行化學機械研磨(CMP),以使半導體元件400的頂面平坦化。
第4C圖示出了半導體元件400上額外製作出的結構和層。根據一些實施例,堆疊層402的犧牲層404被去除並被替換為導體層416,以形成具有交替的導體層416和介電層406的堆疊層218。導體層416可以充當NAND記憶體串222中每者的字元線。可以通過適當的蝕刻製程(如等向性乾蝕刻或濕蝕刻)去除犧牲層404。蝕刻製程可以具有犧牲層404的材料相對於所述結構其它部分的材料足夠高的蝕刻選擇性,以使得蝕刻製程對所述結構的其它部分具有最小的影響。在一些實施例中,犧牲層404包括氮化矽,並且等向性乾蝕刻的蝕刻劑包括CF4、CHF3、C4F8、C4F6和CH2F2中的一或多者。等向性乾蝕刻的射頻(RF)功率可以低於大約100W,並且偏壓可以低於大約10V。在一些實施例中,犧牲層404包括氮化矽,並且濕蝕刻的蝕刻劑包括磷酸。在一些實施例中,介電層406可以被去除,以使得在導體層416之間存有空的空間(真空)。導體層416之間的真空空間起著絕緣層的作用,並且可以有助於減少寄生電容。
導體層416可以包括導體材料,包括但不限於W、Co、Cu、Al、摻雜矽、矽化物或其任何組合。可以使用諸如CVD、濺射、MOCVD和/或ALD的適當沉積方法將導體層416中的每者沉積到由於去除犧牲層404而空出來的區域中。
使用延伸通過介電材料235的通孔226來進行與導體層416中的每者接觸。第一組導電線228被形成為與字元線中的一或多者以及與NAND記憶體串222和導電接觸點224中的一或多者進行電接觸。第一組導電線228可以包括通往NAND記憶體串222的位元線接觸點。與常規技術相反,並非所有的豎直結構220都包括沿著與第一組導電線228相同平面的接觸線。例如,沿x方向的交替豎直結構220包括處於第一組導電線228中的接觸線。其它圖案也是可能的。
第4D圖示出了根據一些實施例的用於與第一組導電線228中的一或多者進行電連接的一或多個互連層230的形成。可以形成任何數量的互連層,它們每者都具有連接導體層的不同層級的通孔。還可沉積額外的介電層來提高介電材料235的總厚度。
根據一些實施例,沿半導體元件400的頂面420形成頂部導體層232。使用CMP對頂面420進行研磨,以使所述表面跨越介電材料235和頂部導體層232兩者是平滑的。
第5A-5C圖示出了半導體元件300和400的接合以及形成記憶元件200的最終製作過程。在第5A圖中,將半導體元件400與半導體元件300放到一起,以使半導體元件400的頂面420面向半導體元件300的頂面306。
第5B圖示出了半導體元件300和半導體元件400在接合介面205處的接合。可以使用混合接合製程進行所述接合,其中半導體元件300和400中的每者的導電部分在接合介面205處接合到一起,且半導體元件300和400中的每者的介電材料在接合介面205處接合到一起。根據一些實施例,半導體元件300的頂部導體層214與半導體元件400的頂部導體層232在接合介面205處接合到一起。
根據一些實施例,形成延伸通過基底216的厚度的通孔502。可以在基底216的相對側(如背側)上形成開口,並且以諸如W、Co、Cu、Al、摻雜矽、矽化物或其組合的導電材料來填充所述開口以形成通孔502。根據一些實施例,通孔502用於接觸不包含處於第一組導電線228內的接觸點的任何豎直結構220。通孔502還可以用於接觸任何導電接觸點224。
在一些實施例中,在形成通孔502之前對基底216進行薄化動作。可以使用諸如CMP或化學蝕刻等任何已知製程對基底216進行薄化。可以將基底216薄化到小於100µm、小於50µm或者小於10µm的最終厚度。在一些實施例中,在使半導體元件400與半導體元件300接合之前對基底216減薄。
第5C圖示出了根據一些實施例的用以與通孔502進行接觸的第二組導體線234的形成。可以在基底216的背側上沉積被表示為介電材料236的一或多個介電層,以促進使用標準光刻技術形成第二組導體線234。通過在豎直結構220的相對兩側上形成導電位元線和其它接觸線中的各種線,大幅地降低了沿一個平面的導電線密度。
第6圖是根據第一實施例的用於形成NAND記憶元件的示例性方法600的流程圖。第3-5圖大致示出了方法600的操作。應當理解,方法600中所示的操作並不具有排他性,並且也可以在所示操作中的任何操作之前、之後或之間執行其它操作。在本揭露書的各種實施例中,方法600的操作可以是按照不同順序執行的,以及/或可以發生變化。
在操作602中,在第一基底上形成具有交替的導體和絕緣體層的堆疊層。所述堆疊層的形成可以涉及先沉積交替類型的介電材料(如交替設置的犧牲層與介電層)。所述交替介電堆疊層中的層結構可以包括如下材料,其包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合。所述交替介電堆疊層中的層結構可以包括藉由一或多種薄膜沉積製程沉積的介電材料,所述製程包括但不限於CVD、PVD、ALD或其任何組合。隨後可以去除犧牲層並採用導體層來替換犧牲層,以形成交替設置的導體和絕緣體層。絕緣體層可以是介電層,或者可以是處於導體層之間的真空區域。
在操作604中,形成穿過所述堆疊層的多個豎直結構。豎直結構可以包括一或多個NAND記憶體串,所述NAND記憶體串具有圍繞芯絕緣體的多個記憶體層。形成多個記憶體層可以包括沉積諸如非晶矽、多晶矽或單晶矽的半導體通道層、穿隧層、儲存層(又稱為「電荷捕獲/儲存層」)和阻擋層。根據一些實施例,阻擋層、儲存層、穿隧層和半導體通道層可以都按照所列舉順序沉積在一或多個第一開口的側壁上。穿隧層可以包括氧化矽、氮化矽或其任何組合。阻擋層可以包括氧化矽、氮化矽、高介電常數(高k)材料、或其任何組合。儲存層可以包括氮化矽、氮氧化矽、矽、或其任何組合。在一些實施例中,多個記憶體層包括氧化矽/氮化矽/氧化矽(ONO)介電(例如,穿隧層包括氧化矽,儲存層包括氮化矽,阻擋層包括氧化矽)。
其它豎直結構可以包括諸如TAC的導電接觸點,所述導電接觸點包括豎直延伸通過所述堆疊層、進而與堆疊層兩側上的結構進行電連接的芯導電材料。
在操作606中,形成耦合至第一組豎直結構的第一組導電線。所述第一組導電線可以被形成為與所述豎直結構的一端豎直隔開一定距離,以使用通孔來與所述豎直結構進行連接。所述第一組導電線可以是沿同一平面形成的,並且可以由位元線、字元線以及用於豎直導電接觸點的接觸線構成。
根據一些實施例,所述第一組導電線並非連接至所述豎直結構中的每者,而是僅連接至第一組豎直結構。所述第一組豎直結構可以包括任何數量的NAND串和豎直導電接觸點。在一個示例中,第一組豎直結構僅包括NAND串。
在操作608中,在第二基底上形成週邊元件。週邊元件可以包括形成於基底上的多個電晶體。週邊元件可以包括電晶體或被動元件(如電容器、電阻器等)的任何設置。電晶體可以是通過多個處理步驟形成的,所述步驟包括但不限於光刻、乾/濕蝕刻、薄膜沉積、熱生長、佈植、化學機械研磨(CMP)、或其任何組合。在一些實施例中,在第二基底中形成摻雜區,該摻雜區充當(例如)電晶體的源極區和/或汲極區。在一些實施例中,還在第二基底中形成隔離區,例如淺溝槽隔離結構(STI)。
在操作608中,還在週邊元件上方形成一或多個互連層。所述一或多個互連層可以具有存在於所述結構的頂面處裸露的導體層。
在操作610中,第一和第二基底在所述第一和第二基底之間的接合介面處接合到一起。所述接合可以是混合接合,其中所述接合介面處的導電部分係獨立於所述接合介面處的介電部分而接合到一起。根據一些實施例,形成於第一基底之上的導體層在所述接合介面處接觸該形成於所述第二基底上的導體層。
在操作612中,形成耦合至第二組豎直結構的第二組導電線。所述第二組豎直結構不同於所述第一組豎直結構,儘管所述豎直結構中的一些可能既處於第一組中又處於第二組中。根據一些實施例,所述第二組豎直結構可以包括任何數量的NAND串和豎直導電接觸點。在一個示例中,第二組豎直結構僅包括豎直導電接觸點。
根據一些實施例,第二組導電線形成於與第一組導電線不同的平面上,所述平面不是處於所述多個豎直結構上方,就是處於所述多個豎直結構下方。在一個示例中,第二組導電線可以形成在第一基底的相對側(如背側)之上,並且藉由穿過第一基底的厚度形成的通孔而電耦合至第二組豎直結構。與第一組導電線相比,第二組導電線可以形成於相對端之上,與多個豎直結構豎直隔開一定距離。通過在兩個不同平面上對各條導電線的位置進行交錯,減少了給定平面上的導電線密度。
本揭露書描述了三維記憶元件及其製作方法的各種實施例。在一些實施例中,一種記憶元件包括第一半導體結構和第二半導體結構。所述第一半導體結構包括第一基底以及處於所述第一基底上的一或多個週邊元件。所述第一半導體結構還包括具有第一導體層的一或多個互連層。所述第二半導體結構包括第二基底以及設置在所述第二基底的第一表面上方的具有交替的導體層和絕緣體層的堆疊層。所述第二半導體結構還包括豎直延伸通過所述堆疊層的多個結構。所述第二半導體結構還包括與所述多個結構中的第一組電耦合的第一組導電線以及與所述多個結構中的不同於所述第一組的第二組電耦合的第二組導電線。所述第一組導電線與所述多個結構的一端豎直隔開一定距離,並且所述第二組導電線與所述多個結構的相對端豎直隔開一定距離。
在一些實施例中,一種記憶元件包括基底以及設置在所述基底的第一表面上方具有交替的導體和絕緣體層的堆疊層。所述記憶元件還包括豎直延伸通過所述堆疊層的多個結構。所述記憶元件還包括與所述多個結構中的第一組電耦合的第一組導電線以及與所述多個結構中的不同於所述第一組的第二組電耦合的第二組導電線。所述第一組導電線與所述多個結構的一端豎直隔開一定距離,並且所述第二組導電線與所述多個結構的相對端豎直隔開一定距離。所述記憶元件還包括具有處於所述記憶元件的頂面處的第一導體層的一或多個互連層、以及處於所述記憶元件的頂面處的接合表面。另一半導體元件被設置成在所述接合介面處與所述記憶元件接合。
在一些實施例中,一種形成記憶元件的方法包括:在第一基底之上形成堆疊層,第一堆疊層包括交替的導體和絕緣體層;以及形成豎直延伸通過所述堆疊層的多個結構。所述方法還包括在一端之上形成與所述多個結構豎直隔開一定距離的第一組導電線。所述第一組導電線電耦合至所述多個結構中的第一組。所述方法還包括在第二基底上形成一或多個週邊元件。所述方法還包括使第一基底與第二基底在第一基底和第二基底之間的接合介面處接合,以及在相對端之上形成與所述多個結構豎直隔開一定距離的第二組導電線。所述第二組導電線電耦合至所述多個結構中的第二組。
對特定實施例的上述說明因此將完全揭示本揭露書的一般性質,使得他人能夠通過運用本領域技術範圍內的知識容易地對這種特定實施例進行修改和/或調整以用於各種應用,而不需要過度實驗,並且不脫離本揭露書的一般概念。因此,基於本文呈現的教示和指導,這種調整和修改旨在處於所公開的實施例的等同物的含義和範圍內。應當理解,本文中的措辭或術語是用於說明的目的,而不是為了進行限制,從而本說明書的術語或措辭將由技術人員按照所述教示和指導進行解釋。
上文已經借助於功能方塊描述了本揭露書的實施例,功能方塊例示了指定功能及其關係的實施方式。在本文中出於方便描述的目的任意地限定了這些功能方塊的邊界。可以限定替代的邊界,只要適當執行指定的功能及其關係即可。
發明內容和摘要部分可以闡述發明人所設想的本揭露書的一或多個示例性實施例,但未必是所有示例性實施例,並且因此,並非旨在通過任何方式限制本揭露書和所附申請專利範圍。
本揭露書的廣度和範圍不應受任何上述示例性實施例的限制,並且應當僅根據以下申請專利範圍及其等同物來進行限定。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100:快閃記憶元件101:基底103:絕緣層104:下選擇閘電極107:控制閘電極107-1, 107-2, 107-3:臺階108-1, 108-2:閘縫隙109:上選擇閘電極111:位元線113:記憶體膜114:NAND串115:芯填充膜117:金屬接觸點119:金屬互連結構120:摻雜源極線區域200:記憶元件202:第一半導體結構204:第二半導體結構205:接合介面206:基底208:週邊元件210:週邊互連層212:介電材料214:頂部導體層216:基底218:堆疊層220:結構222:NAND記憶體串224:導電接觸點226:通孔228:第一組導電線230:互連層232:導體層234:第二組導電線235:介電材料236:介電材料238:第一表面240:第二表面300:半導體元件302:接觸點304:導體層306:頂面400:半導體元件402:堆疊層403:記憶體層404:犧牲層405:芯絕緣體材料406:介電層408:磊晶生長層410:頂部導電材料412:絕緣材料414:導電芯416:導體層420:頂面502:通孔600:方法602, 604, 606, 608, 610, 612:操作
通過結合附圖閱讀下述詳細描述,本發明的各方面將得到最佳的理解。應當指出,根據本行業的慣例,各種特徵並非是按比例繪製的。實際上,為了例示和討論的清楚起見,可以任意增大或者縮小各種特徵的尺寸。 第1圖是一種三維記憶元件的圖示。 第2圖示出了根據一些實施例的三維記憶元件的截面圖。 第3A-3C圖示出了根據一些實施例處於示例性製作過程的不同階段的三維儲存結構的側視圖。 第4A-4D圖示出了根據一些實施例處於示例性製作過程的不同階段的三維儲存結構的側視圖。 第5A-5C圖示出了根據一些實施例處於示例性製作過程的不同階段的三維儲存結構的側視圖。 第6圖是根據一些實施例用於形成三維儲存結構的製作過程的圖示。
200:記憶元件
202:第一半導體結構
204:第二半導體結構
205:接合介面
206:基底
208:週邊元件
210:週邊互連層
212:介電材料
214:頂部導體層
216:基底
218:堆疊層
220:結構
222:NAND記憶體串
224:導電接觸點
226:通孔
228:第一組導電線
230:互連層
232:導體層
234:第二組導電線
235:介電材料
236:介電材料
238:第一表面
240:第二表面

Claims (20)

  1. 一種記憶元件,包括: 第一半導體結構,包括: 第一基底; 該第一基底上的一或多個週邊元件;以及 一或多個互連層,該一個或多個互連層包括第一導體層; 第二半導體結構,包括: 第二基底; 設置在該第二基底的第一表面上方的具有交替的導體和絕緣體層的堆疊層; 豎直延伸通過該堆疊層的多個結構; 與該多個結構中的第一組電耦合的第一組導電線,該第一組導電線與該多個結構的一端豎直隔開一定距離;以及 與該多個結構中的與該多個結構中的該第一組不同的第二組電耦合的第二組導電線,該第二組導電線與該多個結構的相對端豎直隔開一定距離。
  2. 如申請專利範圍第1項所述之記憶元件,其中該第二半導體結構更包括一或多個第二互連層,該一或多個第二互連層包括第二導體層。
  3. 如申請專利範圍第2項所述之記憶元件,更包括處於該第一半導體結構和該第二半導體結構之間的接合介面,其中該第一導體層在該接合介面處接觸該第二導體層。
  4. 如申請專利範圍第1項所述之記憶元件,其中該多個結構包括NAND記憶體串。
  5. 如申請專利範圍第4項所述之記憶元件,其中該多個結構中的該第一組包括該NAND記憶體串中的第一組,且該多個結構中的第二組包括該NAND記憶體串中的第二組。
  6. 如申請專利範圍第4項所述之記憶元件,其中該多個結構包括導電接觸點。
  7. 如申請專利範圍第6項所述之記憶元件,其中該多個結構中的該第一組僅包括該NAND記憶體串,且該多個結構中的該第二組僅包括該導電接觸點。
  8. 如申請專利範圍第1項所述之記憶元件,其中該第二組導電線位於該第二基底的與該第一組導電線相對的一側上。
  9. 一種記憶元件,包括: 基底; 設置在該基底的第一表面上方的具有交替的導體和絕緣體層的堆疊層; 豎直延伸通過該堆疊層的多個結構; 與該多個結構中的第一組電耦合的第一組導電線,該第一組導電線與該多個結構的一端豎直隔開一定距離; 與該多個結構中的不同於該多個結構中的該第一組的第二組電耦合的第二組導電線,該第二組導電線與該多個結構的相對端豎直隔開一定距離; 一或多個互連層,該一或多個互連層包括處於該記憶元件的頂面處的第一導體層;以及 處於該記憶元件的該頂面處的接合介面,其中半導體元件被配置為在該接合介面處來與該記憶元件接合。
  10. 如申請專利範圍第9項所述之記憶元件,其中該第一導體層被配置為在該接合介面處來與該半導體元件的第二導體層接合。
  11. 如申請專利範圍第9項所述之記憶元件,其中該多個結構包括NAND記憶體串。
  12. 如申請專利範圍第11項所述之記憶元件,其中該多個結構中的該第一組包括NAND記憶體串中的第一組,而該多個結構中的第二組包括NAND記憶體串中的第二組。
  13. 如申請專利範圍第9項所述之記憶元件,其中該多個結構包括導電接觸點。
  14. 如申請專利範圍第13項所述之記憶元件,其中該多個結構中的該第一組僅包括該NAND記憶體串,而該多個結構中的該第二組僅包括該導電接觸點。
  15. 如申請專利範圍第9項所述之記憶元件,其中該第二組導電線位於該第二基底的與該第一組導電線相對的一側上。
  16. 一種用於形成記憶元件的方法,包括: 在第一基底上形成具有交替的導體和絕緣體層的堆疊層; 形成豎直延伸通過該堆疊層的多個結構; 在一端之上形成與該多個結構豎直隔開一定距離的第一組導電線,該第一組導電線電耦合至該多個結構中的第一組; 在第二基底上形成一或多個週邊元件; 使該第一基底與該第二基底在該第一基底和該第二基底之間的接合介面處接合;以及 在相對端之上形成與該多個結構豎直隔開一定距離的第二組導電線,該第二組導電線電耦合至該多個結構中的第二組,該多個結構中的不同於該多個結構中的第一組的第二組。
  17. 如申請專利範圍第16項所述之用於形成記憶元件的方法,更包括在該接合之前在該多個結構之上形成一或多個互連層,該一或多個互連層包括第一導體層。
  18. 如申請專利範圍第17項所述之用於形成記憶元件的方法,更包括在該接合之前在該一或多個週邊元件之上形成一或多個第二互連層,該一或多個第二互連層包括第二導體層。
  19. 如申請專利範圍第18項所述之用於形成記憶元件的方法,其中在該第一基底與該第二基底的接合期間,該第一導體層接觸該第二導體層。
  20. 如申請專利範圍第16項所述之用於形成記憶元件的方法,其中接合該第一基底和該第二基底包括使用混合接合來接合該第一基底和該第二基底。
TW107139131A 2018-09-10 2018-11-05 使用梳狀繞線結構減少金屬線裝載的記憶元件 TWI685953B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2018/104801 2018-09-10
PCT/CN2018/104801 WO2020051731A1 (en) 2018-09-10 2018-09-10 Memory device using comb-like routing structure for reduced metal line loading

Publications (2)

Publication Number Publication Date
TWI685953B TWI685953B (zh) 2020-02-21
TW202011579A true TW202011579A (zh) 2020-03-16

Family

ID=65462667

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139131A TWI685953B (zh) 2018-09-10 2018-11-05 使用梳狀繞線結構減少金屬線裝載的記憶元件

Country Status (4)

Country Link
US (3) US10510415B1 (zh)
CN (2) CN109417073B (zh)
TW (1) TWI685953B (zh)
WO (1) WO2020051731A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI751615B (zh) * 2020-05-25 2022-01-01 大陸商長江存儲科技有限責任公司 記憶體元件及其形成方法
TWI771709B (zh) * 2020-05-22 2022-07-21 大陸商長江存儲科技有限責任公司 記憶體裝置及其形成方法
TWI800803B (zh) * 2020-09-04 2023-05-01 日商鎧俠股份有限公司 半導體記憶裝置
TWI824292B (zh) * 2021-09-10 2023-12-01 華邦電子股份有限公司 記憶元件及其製造方法
US11876048B2 (en) 2021-10-19 2024-01-16 Winbond Electronics Corp. Memory device and method of manufacturing the same

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892269B2 (en) * 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN109417073B (zh) * 2018-09-10 2019-12-06 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN111524900B (zh) * 2019-03-04 2021-02-09 长江存储科技有限责任公司 三维存储器件
JP7427022B2 (ja) 2019-04-30 2024-02-02 長江存儲科技有限責任公司 3次元相変化メモリを伴う3次元メモリデバイス
US11393789B2 (en) * 2019-05-31 2022-07-19 Qualcomm Incorporated Stacked circuits of III-V devices over silicon with high quality integrated passives with hybrid bonding
CN110998844A (zh) * 2019-11-05 2020-04-10 长江存储科技有限责任公司 键合的三维存储器件及其形成方法
CN111211126B (zh) * 2020-01-13 2023-12-12 长江存储科技有限责任公司 三维存储器及其形成方法
CN111223871B (zh) * 2020-01-14 2023-07-04 长江存储科技有限责任公司 一种存储器件的制备方法以及存储器件
CN111279480B (zh) 2020-01-28 2021-02-12 长江存储科技有限责任公司 三维存储器件及用于形成三维存储器件的方法
WO2021151220A1 (en) 2020-01-28 2021-08-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
EP3912188B1 (en) * 2020-03-20 2023-06-21 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and fabrication method thereof
CN111758164B (zh) * 2020-04-14 2021-08-31 长江存储科技有限责任公司 三维存储器件和用于形成其的方法
WO2021207910A1 (en) 2020-04-14 2021-10-21 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with backside source contact
KR20220129620A (ko) * 2020-04-14 2022-09-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 후면 인터커넥트 구조물들을 갖는 3차원 메모리 디바이스
EP4136675A4 (en) * 2020-04-14 2024-01-17 Yangtze Memory Tech Co Ltd METHOD FOR PRODUCING A THREE-DIMENSIONAL STORAGE DEVICE WITH BACK SOURCE CONTACT
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20220053733A (ko) 2020-10-22 2022-05-02 삼성전자주식회사 반도체 메모리 장치, 이를 포함하는 전자 시스템 및 이의 제조 방법
KR20220057834A (ko) * 2020-10-30 2022-05-09 삼성전자주식회사 반도체 장치 및 이를 포함하는 대용량 데이터 저장 시스템
KR20220078011A (ko) 2020-12-02 2022-06-10 삼성전자주식회사 비휘발성 메모리 소자 및 이를 포함하는 메모리 시스템
JP2023004446A (ja) * 2021-06-25 2023-01-17 キオクシア株式会社 半導体記憶装置
EP4152395A1 (de) * 2021-09-20 2023-03-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum herstellen eines dreidimensional-integrierten halbleiterspeichers
US11791273B2 (en) 2021-10-13 2023-10-17 Micron Technology, Inc. Microelectronic devices including contact structures, and related memory devices, electronic systems, and methods

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101038906B (zh) * 2006-03-15 2012-03-21 财团法人工业技术研究院 三维存储器的层间连线结构及其制法
KR101320518B1 (ko) * 2007-10-24 2013-12-19 삼성전자주식회사 적층 레벨의 트랜지스터들을 갖는 집적 회로 반도체 소자및 그 제조방법
JP5283960B2 (ja) * 2008-04-23 2013-09-04 株式会社東芝 三次元積層不揮発性半導体メモリ
US8541831B2 (en) * 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
KR101928897B1 (ko) * 2010-08-27 2018-12-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 기억 장치, 반도체 장치
JP2012146861A (ja) * 2011-01-13 2012-08-02 Toshiba Corp 半導体記憶装置
JP2012204493A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
US8508999B2 (en) * 2011-09-29 2013-08-13 Intel Corporation Vertical NAND memory
WO2015066794A1 (en) * 2013-11-08 2015-05-14 Conversant Intellectual Property Management Inc. A three-dimensional nonvolatile memory cell structure with upper body connection
KR102195112B1 (ko) * 2013-11-19 2020-12-24 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9224747B2 (en) * 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9583350B2 (en) * 2015-01-05 2017-02-28 Macronix International Co., Ltd. Memory device and method for fabricating the same
KR102342549B1 (ko) * 2015-06-05 2021-12-24 삼성전자주식회사 메모리 장치 및 그 제조 방법
KR102336739B1 (ko) * 2015-09-25 2021-12-06 삼성전자주식회사 비휘발성 메모리 장치
TWI615922B (zh) * 2017-05-26 2018-02-21 華邦電子股份有限公司 半導體記憶元件的製造方法
CN107658315B (zh) * 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
CN107658317B (zh) 2017-09-15 2019-01-01 长江存储科技有限责任公司 一种半导体装置及其制备方法
CN109417073B (zh) 2018-09-10 2019-12-06 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN109417077B (zh) * 2018-09-10 2019-10-18 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771709B (zh) * 2020-05-22 2022-07-21 大陸商長江存儲科技有限責任公司 記憶體裝置及其形成方法
US11488973B2 (en) 2020-05-22 2022-11-01 Yangtze Memory Technologies Co., Ltd. Memory device having staircase structure including word line tiers and formation method thereof
TWI751615B (zh) * 2020-05-25 2022-01-01 大陸商長江存儲科技有限責任公司 記憶體元件及其形成方法
TWI800803B (zh) * 2020-09-04 2023-05-01 日商鎧俠股份有限公司 半導體記憶裝置
TWI824292B (zh) * 2021-09-10 2023-12-01 華邦電子股份有限公司 記憶元件及其製造方法
US11876048B2 (en) 2021-10-19 2024-01-16 Winbond Electronics Corp. Memory device and method of manufacturing the same

Also Published As

Publication number Publication date
US10510415B1 (en) 2019-12-17
CN109417073A (zh) 2019-03-01
WO2020051731A1 (en) 2020-03-19
CN109417073B (zh) 2019-12-06
US11164633B2 (en) 2021-11-02
CN111211133B (zh) 2021-03-30
TWI685953B (zh) 2020-02-21
US20210118511A1 (en) 2021-04-22
CN111211133A (zh) 2020-05-29
US10878911B2 (en) 2020-12-29
US20200176058A1 (en) 2020-06-04

Similar Documents

Publication Publication Date Title
TWI685953B (zh) 使用梳狀繞線結構減少金屬線裝載的記憶元件
TWI694594B (zh) 使用梳狀繞線結構以減少金屬線裝載的記憶元件
TWI738381B (zh) 具有背面源極接觸的立體記憶體元件
TWI805929B (zh) 用於形成具有背面源極觸點的三維記憶體元件的方法
US11765898B2 (en) Stacked connections in 3D memory and methods of making the same
TWI756737B (zh) 用於形成立體記憶體元件的方法
TW202008568A (zh) 三維記憶體裝置
TW202008567A (zh) 用於形成三維記憶體裝置的方法
JP7442504B2 (ja) 接合メモリ装置およびその製作方法
TW202145522A (zh) 立體記憶體元件
TWI809312B (zh) 半導體裝置及用於形成半導體裝置的方法
TWI742886B (zh) 在儲存塊之間具有穩定結構的立體記憶體元件以及用於形成其的方法
TWI779318B (zh) 三維記憶體元件及其製作方法