TW202006174A - 成膜裝置及成膜方法 - Google Patents

成膜裝置及成膜方法 Download PDF

Info

Publication number
TW202006174A
TW202006174A TW108115835A TW108115835A TW202006174A TW 202006174 A TW202006174 A TW 202006174A TW 108115835 A TW108115835 A TW 108115835A TW 108115835 A TW108115835 A TW 108115835A TW 202006174 A TW202006174 A TW 202006174A
Authority
TW
Taiwan
Prior art keywords
film
substrate
plasma
capacitive coupling
gas
Prior art date
Application number
TW108115835A
Other languages
English (en)
Inventor
山涌純
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202006174A publication Critical patent/TW202006174A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

[課題項] 提供一種成膜裝置及成膜方法,能夠利用PEALD進行對應半導體裝置的進一步微細化的成膜。 [解決手段項] 在基板上藉由電漿ALD形成預定的膜的成膜裝置,具備:腔室、載台、具有上部電極及與上部電極絕緣的噴淋平板的噴淋頭、連接上部電極的第1高頻電源、連接至包含於載台的電極的第2高頻電源。藉由從第1高頻電源對上部電極供應高頻電力,在上部電極與噴淋平板之間形成高頻電場,生成第1電容耦合電漿,藉由從第2高頻電源對電極供應高頻電力,在噴淋平板與電極之間形成高頻電場,生成與第1電容耦合電漿獨立的第2電容耦合電漿。

Description

成膜裝置及成膜方法
本揭示係有關於成膜裝置及成膜方法。
近時,隨著半導體裝置的微細化進展,作為適合微細圖案的成膜的成膜技術,已知有將預定的膜以每一原子層成膜的原子層沉積法(Atomic Layer Deposition;ALD)。最近使使用氣體的反應性提升,降低製程溫度作為目的的電漿ALD(PEALD)受到注目(例如專利文獻1)。
又,作為不在微細的凹槽內產生空隙而進行埋入的技術,利用能產生ICP型、ECR型等高密度電漿的腔室,在基板側施加偏壓,使成膜與蝕刻同時進行HDP-CVD法為已知(例如專利文獻2)。 [先前技術文獻] [專利文獻]
[專利文獻1] 特表2009-521594號公報 [專利文獻2] 特開2012-134288號公報
[發明所欲解決的問題]
本揭示提供一種成膜裝置及成膜方法,能夠利用PEALD進行對應半導體裝置的進一步微細化的成膜。 [解決問題的手段]
本揭示的一實施形態的成膜裝置,係在基板上藉由電漿ALD形成預定的膜,具備:收容基板的腔室;在前述腔室內支持基板的載台;與前述載台對向設置,具有導電性的上部電極及與該上部電極絕緣的導電性噴淋平板,供應包含用來成膜的成膜原料氣體及反應氣體的氣體,將該氣體導入前述腔室內的噴淋頭;包含於前述載台的電極;連接前述上部電極的第1高頻電源;連接前述電極的第2高頻電源;藉由從前述第1高頻電源向前述上部電極供應高頻電力,在前述上部電極及前述噴淋平板之間形成高頻電場,生成第1電容耦合電漿;藉由從前述第2高頻電源向前述電極供應高頻電力,在前述噴淋平板與前述電極之間形成高頻電場,生成與前述第1電容耦合電漿獨立的第2電容耦合電漿。 [發明的效果]
根據本揭示,能提供一種成膜裝置及成膜方法,能夠利用PEALD進行對應半導體裝置的進一步微細化的成膜。
以下,參照圖式說明實施形態。
圖1為表示一實施形態的成膜裝置的概略剖面圖。 成膜裝置100作為電容耦合型的電漿處理裝置構成,具有對基板W藉由PEALD形成預定的膜的成膜機能及蝕刻機能。作為基板W,例如可以是半導體晶圓,但不限於此。
該成膜裝置100具有略圓筒狀的腔室1。安全設置腔室1。在腔室1的內部,配置用來將基板W水平載置(支持)的載台2。載台2雖由AlN等絕緣性陶瓷構成,其中央下部藉由從腔室1的底部垂直延伸的圓筒狀的絕緣性陶瓷構成的支持構件3支持。又,在載台2埋入以鉬等高熔點金屬構成的加熱器5,該加熱器5藉由從加熱器電源(圖未示)供電而將載台2上的基板W加熱成預定的溫度。此外,載台2也可以是導電體。
此外,雖圖未示,但在載台2中,複數升降銷能藉由升降機構相對於其上面以突陷動作的方式插通,因複數升降銷的升降動作,進行向載台2上的基板W的收授。
在腔室1的天壁具有開口1a,在開口1a通過絕緣構件9嵌入噴淋頭10。噴淋頭10其全體形狀形成圓筒狀,具備:上部板即上部電極11、構成下面的噴淋平板12、設於該等其間的圓筒狀絕緣構件13。上部電極11與噴淋平板12以導電性材料形成。噴淋頭10的內部成為氣體擴散空間14。上部電極11在其外周具有凸緣部11a,該凸緣部11a支持於絕緣構件9。在噴淋平板12形成複數氣體吐出孔15,在上部電極11形成氣體導入孔16。氣體導入孔16連接至供應用來成膜的氣體的氣體供應機構20的氣體線。
氣體供應機構20供應進行PEALD成膜時用的氣體,例如,包含欲形成的膜的構成元素的成膜原料氣體、與成膜原料氣體反應的反應氣體、及淨化氣體等。供應該等氣體的配管設有閥門類及質量流量控制器的那種流量控制器。成膜原料氣體及反應氣體能夠因應欲成膜的膜使用各種氣體。作為淨化氣體,使用不活性氣體,例如Ar氣體、He氣體等隋性氣體、或N2 氣體。在成膜時,維持供應淨化氣體,交互且間斷地供應成膜原料氣體與反應氣體。又,反應氣體僅在電漿的存在下與成膜原料氣體反應時,維持供應反應氣體也可以。
噴淋頭10的上部電極11通過第1匹配器25連接第1高頻電源26,從該第1高頻電源26向上部電極11供應高頻電力。第1高頻電源26的頻率較佳為450kHz~40MHz,作為典型例為13.56MHz。另一方面,噴淋平板12連接接地線17而接地。上部電極11與噴淋平板12以絕緣構件13絕緣,其等構成一對平行平板電極。藉由上部電極11、噴淋平板12、第1高頻電源26構成第1電容耦合電漿生成部30。
在第1電容耦合電漿生成部30中,藉由對第1高頻電源26施加高頻電力,在上部電極11與噴淋平板12之間形成高頻電場,在氣體擴散空間14內生成第1電容耦合電漿。
第1匹配器25為對第1高頻電源26的內部(或輸出)阻抗使負荷阻抗匹配者。第1匹配器25在氣體擴散空間14生成電漿時以使第1高頻電源26的輸出阻抗與負荷阻抗在外觀上一致的方式作用。
第1電容耦合電漿生成部30作為用於PEALD的遙控電漿源構成。亦即,因在氣體擴散空間14生成的第1電容耦合電漿而反應氣體被解離,解離並生成的主要是自由基通過氣體吐出孔15供應至載台2上的基板W,提供PEALD進行的成膜。在PEALD時,第1電容耦合電漿生成部30在未供應成膜原料氣體的時序,間斷地將電漿點火,使反應氣體解離。
又,上部電極11連接DC脈衝施加單元70。DC脈衝施加單元70具有:DC電源71、DC脈衝開關72、濾波器73。DC脈衝施加單元70可以取代第1高頻電源26使用、也可以與第1高頻電源26併用。併用時,來自第1高頻電源26的高頻與來自DC脈衝施加單元70的DC脈衝重疊。DC脈衝電源71因為能夠施加0~正的脈衝電壓、或0~負的脈衝電壓,能夠施加一致於氣體特性(極性)的電力。因此,能夠更促進氣體的解離。
在噴淋平板12的正下方,設置用來捕抓電漿中的離子的離子陷阱28。電漿中的離子,因為在噴淋平板12的氣體吐出孔15其一部分失去活性,供應至基板W的離子少,但能夠藉由離子陷阱28又更除去通過噴淋平板12的離子。離子陷阱28可以是導電體也可以絕緣體,在絕緣體的內部埋入導電體也可以。又,導電體的情形,也可以接地也可以是浮置狀態。此外,通過噴淋平板12的電漿中的離子若充分地少,則不需要離子陷阱28。
又,如圖2所示,藉由在接地線17設置具有線圈及電容的阻抗調整電路29,能夠控制通過離子量。此外,藉由阻抗調整電路29造成的阻抗調整,也可以將電漿的生成位置變更至噴淋平板12之下。因此,藉由將電漿的生成位置變更至噴淋平板12之下,能夠使後述在載台2與噴淋平板12之間形成的電容耦合電漿的密度等的調整幅度更廣。
在載台2的內部埋設電極31。電極31包含於載台2,載台2為導電體時,載台2自體作為電極作用。電極31通過第2匹配器32連接第2高頻電源33(圖1參照)。第2高頻電源33的頻率較佳為13.56kHz~100MHz,作為典型例為40MHz。噴淋平板12與載台2內的電極31構成一對平行平板電極。藉由噴淋平板12、電極31、第2高頻電源33構成第2電容耦合電漿生成部40。
在第2電容耦合電漿生成部40中,藉由從第2高頻電源33施加高頻電力至載台2的電極31,在噴淋平板12與電極31之間形成高頻電場,在其等之間生成第2電容耦合電漿。接著,藉由高頻電力施加造成的偏壓,電漿中的離子被吸引至基板W而實現離子輔助蝕刻。亦即,第2電容耦合電漿生成部40作為用於蝕刻的電漿源而構成。
第2匹配器32為對第2高頻電源33的內部(或輸出)阻抗使負荷阻抗匹配者。第2匹配器32在噴淋平板12與載台2之間的空間生成電漿時以使第2高頻電源33的輸出阻抗與負荷阻抗在外觀上一致的方式作用。
此外,如圖3所示,在電極31,加上第2高頻電源33,通過第3匹配器36連接第3高頻電源37也可以。第3高頻電源37具有將電漿中的離子吸引至基板W而將提高離子的作用的高頻偏壓施加至基板W的機能。第3高頻電源37的頻率比第2高頻電源33的頻率還小,較佳為450kHz~13.56MHz。作為典型例為13.56MHz。第3匹配器36具有與第2匹配器32一樣的機能。
在腔室1的底壁設有排氣口51,在排氣口51連接有排氣管52。在排氣管52連接有排氣裝置53。排氣裝置53具有自動壓力控制閥門及真空泵,藉由排氣裝置53可以將腔室1內保持在預定的真空度。
在腔室1的側壁在與腔室1鄰接設置的真空搬送室(圖未示)之間設有用來進行基板W的搬入搬出的搬入出口57、將該搬入出口57開關的閘閥58。
成膜裝置100的構成部即加熱器電源、閥門類、流量控制器、高頻電源等,藉由控制部60控制。控制部60具有:具有實際進行該等控制的電腦(CPU)的主控制部、輸入裝置、輸出裝置、顯示裝置、及記憶裝置。在記憶裝置記憶有以成膜裝置100執行的各種處理的參數,又,設置記憶媒體來儲存控制以成膜裝置100執行的處理所需的程式,亦即處理配方。主控制部以將記憶於記憶媒體的預定處理配方叫出,基於該處理配方藉由成膜裝置100進行預定處理的方式控制。
接著,說明關於如以上的方式構成的成膜裝置100中的動作。 首先,將閘閥58開放而從真空搬送室藉由搬送裝置將晶圓W搬入腔室1內,載置於載台2上。使搬送裝置退避後,將閘閥58關閉。
接著,對腔室1內連續供應淨化氣體,藉由排氣裝置53,將腔室1內保持在預定的減壓狀態,同時藉由加熱器5將載台2的溫度控制在預定溫度。
接著,維持連續供應淨化氣體的狀態,將成膜原料氣體間斷地供應,同時以未供應成膜原料氣體的時序,藉由第1電容耦合電漿生成部30間斷地生成第1電容耦合電漿,藉由PEALD形成預定的膜。此時電漿生成時,如圖4所示,藉由從第1高頻電源26對噴淋頭10的基底平板11施加高頻電力,在上部電極11與噴淋平板12之間形成高頻電場。藉此,在氣體擴散空間14生成第1電容耦合電漿P1,藉由該第1電容耦合電漿P1將反應氣體解離。第1電容耦合電漿P1作為遙控電漿生成,通過氣體吐出孔15的主要是反應氣體的自由基供應至載台2上的基板W。
在PEALD中,具體上如圖5所示,以連續供應淨化氣體的狀態,間斷地實施向基板的成膜原料氣體的供應工程(步驟S1),藉由第1電容耦合電漿P1將反應氣體解離,將藉此生成的自由基供應至基板W的工程(步驟S2)在步驟S1之間間斷地實施。藉此,因步驟S1造成的成膜原料氣體的向基板W的吸附、及因步驟S2解離而主要自由基化的反應氣體,在因淨化氣體造成的腔室1內的淨化(步驟S3)前後交互實施。此時藉由第1電容耦合電漿P1促進反應氣體的解離,在該電漿之中離子在噴淋平板12的氣體吐出孔15失去活性,再來由離子陷阱28除去,因此向基板W主要是供應反應氣體的自由基。又,藉由阻抗調整電路29能夠控制通過離子量。因此,主要是反應氣體的自由基與成膜原料氣體反應,在基板W上形成預定的膜。因此,能夠實現將自由基作為主體的無離子破壞、或離子被控制的良好的成膜。
作為成膜的膜的具體例,藉由作為反應氣體使用氧化劑、氮化劑等,形成SiO2 、TiO2 、TiN、SiN、TaN、BN等化合物膜。又,作為反應氣體藉由使用H2 氣體那種還原氣體,能夠將Ti、Ta、W、Si等金屬膜成膜。作為成膜原料氣體,有氯化物、氫化物、有機化合物等。
此時,反應氣體的供應可以僅在電漿的生成時序,反應氣體僅在電漿的存在下與成膜原料氣體反應時,維持供應反應氣體也可以。例如,成膜原料氣體以TiCl4 氣體、反應氣體以O2 氣體時,與淨化氣體一同維持供應O2 氣體的狀態下,藉由交互進行TiCl4 氣體的供應及電漿的生成,形成TiO2 膜。
另一方面,在進行上述PEALD造成的成膜的途中於預定的時序,藉由第2電容耦合電漿生成部40生成電漿,對於在基板W形成的膜,實施主要進行因離子輔助造成的蝕刻的工程。此時的電漿生成時,如圖6所示,藉由從第2高頻電源33對載台2內的電極31施加高頻電力,在噴淋平板12與電極31之間形成高頻電場。藉此,在噴淋平板12與載台2之間的空間生成第2電容耦合電漿P2,對基板W及於離子的輔助造成的蝕刻作用。此時,下部電極即載台2(電極31)的對向電極為噴淋平板12,因為施加第1高頻電力的上部電極11無法從載台2看到,能不相依於上部的第1匹配器25,而供應穩定的高頻電力。亦即,第2電容耦合電漿P2無論第1電容耦合電漿P1的存在與否,都能夠獨立生成。第2電容耦合電漿P2以接於基板W的方式生成,得到效率佳的蝕刻特性。
藉由這樣的第2電容耦合電漿P2,能夠使離子輔助造成的蝕刻作用及於PEALD成膜中的基板W,能夠得到以下[1]~[4]的那種效果。
[1] 向洞或凹槽的那種凹部的埋入,特別是向深孔埋入時,在從前的PEALD造成的成膜中,如圖7所示那樣。亦即,在形成凹部202的基板W藉由PEALD將膜203成膜後,如(a)所示,有在凹部202的間口沉積過剩的膜的情形。在這種情況下,進行成膜後,如(b)所示,在間口會產生膜203的外伸部分204而塞住間口,妨礙向凹部202的底部的氣體供應。因此,在向凹部202的膜203的埋入結束的時序,如(c)所示,有剩下空隙205的情形。
在此,如圖8所示,以產生(a)的膜203的外伸部分204的狀態,生成第2電容耦合電漿P2使離子的輔助造成的蝕刻作用及於基板W,除去膜203的外伸部分204((b)參照)。藉此,不會妨礙向凹部202的底部的氣體供應,實現了無空隙的埋入((c)參照)。
[2] 如圖9所示,在從前的PEALD造成的成膜中,向凹部202的膜203的埋入時,從成膜初期的(a)的狀態進行成膜時,在凹部202的上部與底部,膜203的厚度成為不同的狀態((b)參照),這是應力的原因。因此,在向凹部202的膜203的埋入結束的時序,有在膜203產生應力的情形((c)參照)。
在此,如圖10所示,以成膜進行(a)的狀態(與圖7的(b)相同的狀態),使離子輔助造成的蝕刻作用及於第2電容耦合電漿P2的基板W,藉由使膜的厚度均勻來調整應力((b)參照)。藉此,在向凹部202的膜203的埋入結束的時序降低膜應力((c)參照)。
[3] 藉由第2電容耦合電漿P2控制膜203的蝕刻量,如圖11所示,能夠調整膜203的側壁203a的厚度a、與膜203的底部203b的厚度b之比。藉此,能夠實現選擇成膜。
[4] 藉由將第2電容耦合電漿P2與第1電容耦合電漿P1同時施加,能夠控制反應氣體的自由基與離子的平衡、蝕刻量。又,藉由電漿中的離子,能夠促進原料氣體的不要成份(配體)的切斷。因此,能夠適切地控制PEALD造成的成膜。
作為第1電容耦合電漿P1與第2電容耦合電漿P2的施加時序,例示了如圖12~圖14所示者。
圖12之例,為讓藉由進行成膜的第1電容耦合電漿P1使反應氣體解離的工程在與圖5同樣的時序實施,實施生成在與其相同時序進行蝕刻的第2電容耦合電漿P2的工程。藉此,能夠同時調整成膜/蝕刻的平衡。
圖13之例,為讓藉由進行成膜的第1電容耦合電漿P1使反應氣體解離的工程在與圖5同樣的時序實施,將生成進行蝕刻的第2電容耦合電漿P2的工程,在藉由第1電容耦合電漿P1使反應氣體解離的工程的時序的一部分,與第1電容耦合電漿P1的生成同時實施。藉此,例如,在凹部的間口閉塞前的預定的時序,能夠及於蝕刻作用。
圖14之例,為讓藉由進行成膜的第1電容耦合電漿P1使反應氣體解離的工程在與圖5同樣的時序實施,同時將第1電容耦合電漿P1的生成時序的一部分,以進行蝕刻的第2電容耦合電漿P2置換,將藉由第1電容耦合電漿P1使反應氣體解離的工程、與生成第2電容耦合電漿P2的工程獨立實施。藉此,在利用第1電容耦合電漿P1進行成膜的途中的,例如,凹部的間口閉塞前的預定的時序,能夠藉由第2電容耦合電漿P2實施蝕刻。
如同以上,本實施形態的成膜裝置100,設置都將噴淋平板12作為對向電極的一者使用的能分別獨立生成電漿的第1電容耦合電漿生成部30及第2電容耦合電漿生成部40。接著,如同上述,藉由上側的第1電容耦合電漿生成部30,生成用來成膜的電漿,藉由下側的第2電容耦合電漿生成部40,生成主要能由離子輔助進行蝕刻的電漿。
藉此,以生成適合PEALD的電容耦合電漿的成膜裝置為前提,不讓裝置構成複雜化,而在PEALD成膜時,控制電漿的離子量,能夠及於蝕刻作用。因此,能夠進行對應半導體裝置的更加微細化的成膜。
專利文獻1記載的那種從前的PEALD成膜裝置,將噴淋頭與基座作為一對的平行平板電極使用,藉由對噴淋頭施加高頻電力,能在其等之間生成電容耦合電漿。接著,藉由這樣構成的成膜裝置,以與本實施形態同樣的工程進行PEALD造成的成膜。但是,電容耦合電漿能夠對應ALD的快速氣體置換,因為電漿點火快,適於PEALD,但最近,隨著半導體記憶體等的裝置的微細化越來越進展,在從前的PEALD存在無法充分對應微細化的情形。
亦即,因為半導體裝置的微細化的進展,要求向微細的洞及凹槽的良好的埋入性、無應力、無空隙的埋入、及選擇成膜的技術,但在從前的PEALD成膜裝置中對應其等是困難的。特別在向微細的深孔埋入時,藉由PEALD也有在間口附著過剩的膜,難以向底部成膜的情形。
另一方面,在專利文獻2中,為了進行向微細的凹槽的埋入,提案使ICP型、ECR型等高密度電漿產生,且在基板側施加偏壓,使自由基造成的成膜與離子造成的蝕刻同時進行的HDP-CVD法。亦即,藉由離子造成的蝕刻,對應微細化。
不過,在專利文獻2記載的CVD中,ALD這種控制性高的成膜是困難的。又,在專利文獻2的ICP型、ECR型的電漿源極中,因為氣體的置換及電漿點火慢,難以對應PEALD。從前的PEALD雖利用電容耦合電漿,實現適於PEALD的構成,但電漿生成機構僅將反應氣體的解離,作為目的,無法及於離子造成的蝕刻作用。
相對於此,在本實施形態中,如同上述,以簡單的裝置構成,利用適於PEALD的電容耦合電漿,藉由獨立控制成膜用的電漿與蝕刻用的電漿,能夠進行對應半導體裝置的進一步微細化的成膜。
以上,雖利用實施形態來說明,但應注意這次揭示的實施形態,以所有的點來例示但非用來限制者。上述實施形態,在不脫離申請專利範圍及其主旨的情況下,也能夠以各種形態進行省略、置換、變更。
1‧‧‧腔室 2‧‧‧載台 10‧‧‧噴淋頭 11‧‧‧上部電極 12‧‧‧噴淋平板 13‧‧‧絕緣構件 14‧‧‧氣體擴散空間 20‧‧‧氣體供應機構 26‧‧‧第1高頻電源 30‧‧‧第1電容耦合電漿生成部 31‧‧‧電極 33‧‧‧第2高頻電源 40‧‧‧第2電容耦合電漿生成部 53‧‧‧排氣裝置 60‧‧‧控制部 100‧‧‧成膜裝置 P1‧‧‧第1電容耦合電漿 P2‧‧‧第2電容耦合電漿 W‧‧‧基板
[圖1] 表示一實施形態的成膜裝置的概略剖面圖。 [圖2] 表示一實施形態的成膜裝置的變形例的一部分的概略剖面圖。 [圖3] 表示一實施形態的成膜裝置的其他變形例的概略剖面圖。 [圖4] 表示藉由一實施形態的成膜裝置生成第1電容耦合電漿時的狀態的概略剖面圖。 [圖5] 表示藉由一實施形態的成膜裝置進行PEALD時的基本流程之時序流程圖。 [圖6] 表示藉由一實施形態的成膜裝置生成第2電容耦合電漿時的狀態的概略剖面圖。 [圖7] 用來說明藉由從前的PEALD進行向凹部的埋入時凹部的開口閉塞的狀態的工程剖面圖。 [圖8] 用來說明藉由利用一實施形態的成膜裝置的PEALD來進行向凹部的埋入而解消凹部開口的閉塞的工程剖面圖。 [圖9] 用來說明藉由從前的PEALD進行向凹部的埋入時在埋入的膜產生應力的工程剖面圖。 [圖10] 用來說明藉由利用一實施形態的成膜裝置的PEALD來進行向凹部的埋入而能調整膜應力的工程剖面圖。 [圖11] 表示利用一實施形態的成膜裝置的PEALD進行的選擇成膜之例的剖面圖。 [圖12] 表示第1電容耦合電漿與第2電容耦合電漿的施加時序的一例的時序圖表。 [圖13] 表示第1電容耦合電漿與第2電容耦合電漿的施加時序的其他例的時序圖表。 [圖14] 表示第1電容耦合電漿與第2電容耦合電漿的施加時序的再其他例的時序圖表。
1‧‧‧腔室
1a‧‧‧開口
2‧‧‧載台
3‧‧‧支持構件
5‧‧‧加熱器
9‧‧‧絕緣構件
10‧‧‧噴淋頭
11‧‧‧上部電極
11a‧‧‧凸緣部
12‧‧‧噴淋平板
13‧‧‧絕緣構件
14‧‧‧氣體擴散空間
15‧‧‧氣體吐出孔
16‧‧‧氣體導入孔
17‧‧‧接地線
20‧‧‧氣體供應機構
25‧‧‧第1匹配器
26‧‧‧第1高頻電源
28‧‧‧離子陷阱
30‧‧‧第1電容耦合電漿生成部
31‧‧‧電極
32‧‧‧第2匹配器
33‧‧‧第2高頻電源
40‧‧‧第2電容耦合電漿生成部
51‧‧‧排氣口
52‧‧‧排氣管
53‧‧‧排氣裝置
57‧‧‧搬入出口
58‧‧‧閘閥
60‧‧‧控制部
70‧‧‧DC脈衝施加單元
71‧‧‧DC電源
72‧‧‧DC脈衝開關
73‧‧‧濾波器
100‧‧‧成膜裝置
W‧‧‧基板

Claims (15)

  1. 一種成膜裝置,係在基板上藉由電漿ALD形成預定的膜,具備: 收容基板的腔室; 在前述腔室內支持基板的載台; 與前述載台對向設置,具有導電性的上部電極及與該上部電極絕緣的導電性噴淋平板,供應包含用來成膜的成膜原料氣體及反應氣體的氣體,將該氣體導入前述腔室內的噴淋頭; 包含於前述載台的電極; 連接前述上部電極的第1高頻電源; 連接前述電極的第2高頻電源; 藉由從前述第1高頻電源向前述上部電極供應高頻電力,在前述上部電極及前述噴淋平板之間形成高頻電場,生成第1電容耦合電漿; 藉由從前述第2高頻電源向前述電極供應高頻電力,在前述噴淋平板與前述電極之間形成高頻電場,生成與前述第1電容耦合電漿獨立的第2電容耦合電漿。
  2. 如請求項1記載的成膜裝置,其中,取代前述第1高頻電源、或加上前述第1高頻電源,具備向前述上部電極施加DC脈衝的DC脈衝施加單元。
  3. 如請求項1或請求項2記載的成膜裝置,其中,藉由前述第1電容耦合電漿解離前述反應氣體,主要是反應氣體的自由基與前述成膜原料氣體反應,在前述基板上形成預定的膜。
  4. 如請求項1或請求項2記載的成膜裝置,其中,前述第1電容耦合電漿,在前述噴淋頭內的氣體擴散空間內作為遙控電漿形成,通過前述噴淋平板的主要是反應氣體的自由基供應至前述基板。
  5. 如請求項1或請求項2記載的成膜裝置,其中,藉由前述第2電容耦合電漿,形成於前述基板的膜主要藉由離子輔助來蝕刻。
  6. 如請求項5記載的成膜裝置,更具備:設於前述噴淋平板的正下方,將通過前述噴淋平板的前述第2電容耦合電漿中的離子除去的離子陷阱。
  7. 如請求項1或請求項2記載的成膜裝置,其中,前述噴淋平板接地。
  8. 如請求項1或請求項2記載的成膜裝置,其中,前述噴淋平板連接接地線,且更具備設於前述接地線的阻抗調整電路。
  9. 如請求項1或請求項2記載的成膜裝置,更具備:連接至前述電極,具有對前述基板施加高頻偏壓的機能的第3高頻電源。
  10. 一種成膜方法,係在基板上藉由電漿ALD形成預定的膜,具有: 對基板間斷地供應成膜原料氣體的第1工程; 在未供應前述成膜原料氣體的期間間斷地藉由第1電容耦合電漿使反應氣體解離,主要將反應氣體的自由基供應至前述基板的第2工程; 在任意的時序,與前述第1電容耦合電漿獨立,生成使離子輔助造成的蝕刻作用及於基板的第2電容耦合電漿的第3工程。
  11. 如請求項10記載的成膜方法,其中,在前述第1工程中,使成膜原料氣體吸附於前述基板,在前述第2工程中,使藉由前述第1電容耦合電漿生成的主要是前述反應氣體的自由基與吸附於前述基板的前述成膜原料氣體反應。
  12. 如請求項10或請求項11記載的成膜方法,其中,使前述第3工程,在與前述第2工程一樣的時序實施。
  13. 如請求項10或請求項11記載的成膜方法,其中,使前述第3工程,在進行前述第2工程的時序的一部分,與前述第2工程同時實施。
  14. 如請求項10或請求項11記載的成膜方法,其中,使進行前述第2工程的時序的一部分,以前述第3工程置換來實施。
  15. 如請求項10或請求項11記載的成膜方法,係藉由請求項1至請求項9中任一項的成膜裝置進行。
TW108115835A 2018-05-21 2019-05-08 成膜裝置及成膜方法 TW202006174A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-097404 2018-05-21
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法

Publications (1)

Publication Number Publication Date
TW202006174A true TW202006174A (zh) 2020-02-01

Family

ID=68616320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115835A TW202006174A (zh) 2018-05-21 2019-05-08 成膜裝置及成膜方法

Country Status (6)

Country Link
US (1) US11578407B2 (zh)
JP (1) JP7126381B2 (zh)
KR (1) KR102571839B1 (zh)
CN (1) CN112135925B (zh)
TW (1) TW202006174A (zh)
WO (1) WO2019225184A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7413099B2 (ja) 2020-03-16 2024-01-15 東京エレクトロン株式会社 成膜方法および成膜装置
CN113755822B (zh) * 2020-06-04 2024-03-01 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
JP2022015848A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 成膜装置及び成膜方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022133762A (ja) * 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR20230015004A (ko) * 2021-07-22 2023-01-31 세메스 주식회사 기판 처리 장치
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023078977A (ja) 2021-11-26 2023-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2008010591A1 (fr) * 2006-07-21 2008-01-24 Nec Corporation Procédé de formation d'un film isolant poreux
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012134288A (ja) 2010-12-21 2012-07-12 Elpida Memory Inc 半導体装置の製造方法
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN105122431A (zh) * 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
JP6406811B2 (ja) * 2013-11-20 2018-10-17 国立大学法人名古屋大学 Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6516542B2 (ja) 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
JP6763274B2 (ja) * 2016-10-14 2020-09-30 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR20210072826A (ko) * 2018-11-05 2021-06-17 램 리써치 코포레이션 에칭 챔버의 방향성 증착

Also Published As

Publication number Publication date
US20210222296A1 (en) 2021-07-22
JP7126381B2 (ja) 2022-08-26
KR20210006985A (ko) 2021-01-19
WO2019225184A1 (ja) 2019-11-28
CN112135925A (zh) 2020-12-25
KR102571839B1 (ko) 2023-08-28
US11578407B2 (en) 2023-02-14
JP2019203155A (ja) 2019-11-28
CN112135925B (zh) 2023-05-23

Similar Documents

Publication Publication Date Title
TW202006174A (zh) 成膜裝置及成膜方法
KR100960162B1 (ko) 성막 처리 방법
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
US8906471B2 (en) Method of depositing metallic film by plasma CVD and storage medium
KR101578744B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20150075362A (ko) 콘택트층의 형성 방법
KR101759769B1 (ko) Ti막의 성막 방법
KR20060041306A (ko) 성막 방법
TWI419259B (zh) 半導體裝置之製造方法
JPWO2007125836A1 (ja) Ti膜の成膜方法
KR20210132731A (ko) 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
JP4810281B2 (ja) プラズマ処理装置
TW202303831A (zh) 用於改進晶圓邊緣效能的遮蔽環升降機
KR100517550B1 (ko) 원자층 증착 장치
KR20200069411A (ko) 박막 증착 방법
KR20030027505A (ko) 배기 구조가 개선된 반도체 처리장치
TW202412066A (zh) 低溫氧化矽間隙填充
KR102357926B1 (ko) 박막 제조 방법
JPWO2007123212A1 (ja) Ti膜の成膜方法
WO2007125837A1 (ja) Ti膜の成膜方法
JP2009194298A (ja) 原子層成長装置