WO2019225184A1 - 成膜装置および成膜方法 - Google Patents

成膜装置および成膜方法 Download PDF

Info

Publication number
WO2019225184A1
WO2019225184A1 PCT/JP2019/015389 JP2019015389W WO2019225184A1 WO 2019225184 A1 WO2019225184 A1 WO 2019225184A1 JP 2019015389 W JP2019015389 W JP 2019015389W WO 2019225184 A1 WO2019225184 A1 WO 2019225184A1
Authority
WO
WIPO (PCT)
Prior art keywords
film forming
coupled plasma
gas
substrate
capacitively coupled
Prior art date
Application number
PCT/JP2019/015389
Other languages
English (en)
French (fr)
Inventor
山涌 純
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020207035556A priority Critical patent/KR102571839B1/ko
Priority to CN201980031668.3A priority patent/CN112135925B/zh
Priority to US17/056,342 priority patent/US11578407B2/en
Publication of WO2019225184A1 publication Critical patent/WO2019225184A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Definitions

  • the present disclosure relates to a film forming apparatus and a film forming method.
  • ALD atomic layer deposition
  • a chamber capable of generating high-density plasma such as ICP type or ECR type is used, bias is applied to the substrate side, and film formation and etching are performed simultaneously.
  • An advanced HDP-CVD method is known (for example, Patent Document 2).
  • the present disclosure provides a film forming apparatus and a film forming method capable of performing film formation corresponding to further miniaturization of a semiconductor device using PEALD.
  • a film forming apparatus is a film forming apparatus that forms a predetermined film on a substrate by plasma ALD, and includes a chamber that accommodates the substrate, a stage that supports the substrate in the chamber, A conductive upper electrode provided opposite to the stage and a conductive shower plate insulated from the upper electrode, and supplied with a gas containing a film forming source gas and a reaction gas for film formation
  • a shower head for introducing the gas into the chamber, an electrode included in the stage, a first high-frequency power source connected to the upper electrode, and a second high-frequency power source connected to the electrode, When high frequency power is supplied from the first high frequency power source to the upper electrode, a high frequency electric field is formed between the upper electrode and the shower plate, and the first capacitive coupling plate is formed.
  • a high frequency electric power is supplied to the electrode from the second high frequency power source, a high frequency electric field is formed between the shower plate and the electrode, and is independent of the first capacitively coupled plasma.
  • the second capacitively coupled plasma is
  • a film forming apparatus and a film forming method capable of performing film formation corresponding to further miniaturization of a semiconductor device using PEALD are provided.
  • FIG. 1 is a schematic cross-sectional view showing a film forming apparatus according to an embodiment.
  • the film forming apparatus 100 is configured as a capacitively coupled plasma processing apparatus, and has a film forming function for forming a predetermined film on the substrate W by PEALD and an etching function.
  • Examples of the substrate W include a semiconductor wafer, but are not limited thereto.
  • the film forming apparatus 100 has a substantially cylindrical chamber 1.
  • the chamber 1 is secured.
  • a stage 2 for placing (supporting) the substrate W horizontally is disposed inside the chamber 1 .
  • the stage 2 is made of an insulating ceramic such as AlN, and the lower center portion thereof is supported by a support member 3 made of a cylindrical insulating ceramic extending vertically from the bottom of the chamber 1.
  • a heater 5 made of a high melting point metal such as molybdenum is embedded in the stage 2, and the heater 5 is supplied with power from a heater power source (not shown) to thereby attach a substrate W on the stage 2 to a predetermined level. Heat to temperature.
  • the stage 2 may be a conductor.
  • the top wall of the chamber 1 has an opening 1a, and a shower head 10 is fitted into the opening 1a through an insulating member 9.
  • the shower head 10 has a cylindrical shape as a whole, and includes an upper electrode 11 that is an upper plate, a shower plate 12 that constitutes a lower surface, and a cylindrical insulating member 13 provided therebetween. .
  • the upper electrode 11 and the shower plate 12 are made of a conductive material.
  • the interior of the shower head 10 is a gas diffusion space 14.
  • the upper electrode 11 has a flange portion 11 a on the outer periphery thereof, and the flange portion 11 a is supported by the insulating member 9.
  • a plurality of gas discharge holes 15 are formed in the shower plate 12, and a gas introduction hole 16 is formed in the upper electrode 11.
  • the gas introduction hole 16 is connected to a gas line of a gas supply mechanism 20 that supplies a gas for film formation.
  • the gas supply mechanism 20 supplies a gas used when performing PEALD film formation, for example, a film formation source gas containing a constituent element of a film to be formed, a reaction gas that reacts with the film formation source gas, a purge gas, and the like.
  • the piping for supplying these gases is provided with flow controllers such as valves and a mass flow controller.
  • Various materials can be used as the film forming source gas and the reaction gas depending on the film to be formed.
  • the purge gas an inert gas, for example, a rare gas such as Ar gas or He gas, or N 2 gas can be used.
  • the film forming material gas and the reaction gas are alternately and intermittently supplied with the purge gas being supplied. Further, when the reaction gas reacts with the film forming raw material gas only in the presence of plasma, the reaction gas may be kept supplied during film formation.
  • a first high frequency power supply 26 is connected to the upper electrode 11 of the shower head 10 via a first matching unit 25, and high frequency power is supplied from the first high frequency power supply 26 to the upper electrode 11. Yes.
  • the frequency of the first high-frequency power supply 26 is preferably 450 kHz to 40 MHz, and a typical example is 13.56 MHz.
  • a ground line 17 is connected to the shower plate 12 and is grounded.
  • the upper electrode 11 and the shower plate 12 are insulated by an insulating member 13, and these constitute a pair of parallel plate electrodes.
  • the upper capacitive electrode 11, the shower plate 12, and the first high frequency power supply 26 constitute a first capacitively coupled plasma generating unit 30.
  • the first capacitively coupled plasma generating unit 30 by applying high frequency power to the first high frequency power supply 26, a high frequency electric field is formed between the upper electrode 11 and the shower plate 12, and the first capacitively coupled plasma generating unit 30 1 capacitively coupled plasma is generated.
  • the first matching unit 25 matches the load impedance to the internal (or output) impedance of the first high frequency power supply 26.
  • the first matching unit 25 functions so that the output impedance of the first high frequency power supply 26 and the load impedance seem to coincide when plasma is generated in the gas diffusion space 14.
  • the first capacitively coupled plasma generator 30 is configured as a remote plasma source for PEALD. That is, the reaction gas is dissociated by the first capacitively coupled plasma generated in the gas diffusion space 14, and radicals generated by dissociation mainly pass through the gas discharge holes 15 and are supplied to the substrate W on the stage 2. Then, it is used for film formation by PEALD. During PEALD, the first capacitively coupled plasma generating unit 30 intermittently ignites plasma and dissociates the reaction gas at a timing when the film forming source gas is not supplied.
  • the DC pulse application unit 70 includes a DC power source 71, a DC pulse switch 72, and a filter 73.
  • the DC pulse applying unit 70 may be used in place of the first high frequency power supply 26 or may be used in combination with the first high frequency power supply 26. When used together, the high frequency from the first high frequency power supply 26 and the DC pulse from the DC pulse applying unit 70 are superimposed. Since the DC pulse power supply 71 can apply a zero to positive pulse voltage or a zero to negative pulse voltage, it is possible to apply power in accordance with the characteristics (polarity) of the gas. For this reason, dissociation of gas can be promoted more.
  • an ion trap 28 for trapping ions in the plasma is provided. Since some of the ions in the plasma are deactivated in the gas discharge holes 15 of the shower plate 12, the ions supplied to the substrate W are few, but the ions passing through the shower plate 12 are further removed by the ion trap 28. be able to.
  • the ion trap 28 may be a conductor or an insulator, or may be one in which a conductor is embedded in the insulator. In the case of a conductor, it may be grounded or in a floating state. If there are not enough ions in the plasma passing through the shower plate 12, the ion trap 28 is unnecessary.
  • the electrode 31 is embedded inside the stage 2.
  • the electrode 31 is included in the stage 2.
  • stage 2 When stage 2 is a conductor, stage 2 itself functions as electrode 31.
  • a second high-frequency power source 33 is connected to the electrode 31 via a second matching unit 32 (see FIG. 1).
  • the frequency of the second high frequency power supply 33 is preferably 13.56 to 100 MHz, and typically 40 MHz.
  • the shower plate 12 and the electrode 31 in the stage 2 constitute a pair of parallel plate electrodes.
  • the shower plate 12, the electrode 31, and the second high frequency power source 33 constitute a second capacitively coupled plasma generating unit 40.
  • the second capacitively coupled plasma generating unit 40 In the second capacitively coupled plasma generating unit 40, a high frequency electric field is formed between the shower plate 12 and the electrode 31 by applying high frequency power from the second high frequency power source 33 to the electrode 31 of the stage 2, and these In the meantime, a second capacitively coupled plasma is generated. Then, ions in the plasma are drawn into the substrate W by a bias due to the application of high-frequency power, and ion-assisted etching is realized. That is, the second capacitively coupled plasma generation unit 40 is configured as a plasma source for etching.
  • the second matching unit 32 matches the load impedance with the internal (or output) impedance of the second high frequency power supply 33.
  • the second matching unit 32 functions so that the output impedance of the second high-frequency power source 33 and the load impedance seem to coincide when plasma is generated in the space between the shower plate 12 and the stage 2.
  • a third high frequency power source 37 may be connected to the electrode 31 via a third matching unit 36 in addition to the second high frequency power source 33.
  • the third high-frequency power source 37 has a function of applying a high-frequency bias to the substrate W to attract ions in the plasma to the substrate W and enhance the action of the ions.
  • the frequency of the third high frequency power supply 37 is smaller than the frequency of the second high frequency power supply 33 and is preferably 450 kHz to 13.56 MHz. A typical example is 13.56 MHz.
  • the third matching unit 36 has the same function as the second matching unit 32.
  • An exhaust port 51 is provided in the bottom wall of the chamber 1, and an exhaust pipe 52 is connected to the exhaust port 51.
  • An exhaust device 53 is connected to the exhaust pipe 52.
  • the exhaust device 53 includes an automatic pressure control valve and a vacuum pump, and the exhaust device 53 can maintain the inside of the chamber 1 at a predetermined degree of vacuum.
  • a loading / unloading port 57 for loading / unloading the substrate W to / from a vacuum transfer chamber (not shown) provided adjacent to the chamber 1 and a gate for opening / closing the loading / unloading port 57.
  • a valve 58 is provided on the side wall of the chamber 1.
  • the heater power supply, valves, flow rate controller, high frequency power supply and the like, which are components of the film forming apparatus 100, are controlled by the control unit 60.
  • the control unit 60 includes a main control unit having a computer (CPU) that actually performs these controls, an input device, an output device, a display device, and a storage device.
  • the storage device stores parameters of various processes executed by the film forming apparatus 100, and a storage medium storing a program for controlling the processes executed by the film forming apparatus 100, that is, a processing recipe. Is set.
  • the main control unit calls a predetermined processing recipe stored in the storage medium, and controls the film forming apparatus 100 to perform a predetermined process based on the processing recipe.
  • the gate valve 58 is opened, and the wafer W is loaded into the chamber 1 from the vacuum transfer chamber by the transfer device and placed on the stage 2. After retracting the transfer device, the gate valve 58 is closed.
  • purge gas is continuously supplied into the chamber 1, the inside of the chamber 1 is maintained at a predetermined reduced pressure state by the exhaust device 53, and the temperature of the stage 2 is controlled to a predetermined temperature by the heater 5.
  • the first capacitively coupled plasma generating unit 30 intermittently supplies the purge gas.
  • a first capacitively coupled plasma is generated, and a predetermined film is formed by PEALD.
  • a high frequency power is applied to the base plate 11 of the shower head 10 from the first high frequency power supply 26, so that a high frequency is generated between the upper electrode 11 and the shower plate 12.
  • create an electric field thereby, the first capacitively coupled plasma P1 is generated in the gas diffusion space 14, and the reactive gas is dissociated by the first capacitively coupled plasma P1.
  • the first capacitively coupled plasma P ⁇ b> 1 is generated as a remote plasma, and mainly radicals of the reactive gas that have passed through the gas discharge holes 15 are supplied to the substrate W on the stage 2.
  • step S1 the process of supplying the film forming material gas to the substrate (step S1) is intermittently performed while the purge gas is continuously supplied, and the first capacitive coupling is performed.
  • step S2 A step (Step S2) of dissociating the reaction gas by the plasma P1 and supplying radicals generated thereby to the substrate W (Step S2) is intermittently performed between Steps S1.
  • step S3 the purge gas
  • the dissociation of the reactive gas is promoted by the first capacitively coupled plasma P1, and ions in this plasma are deactivated in the gas discharge holes 15 of the shower plate 12 and further removed by the ion trap 28, so Is mainly supplied with radicals of the reaction gas. Further, the amount of passing ions can be controlled by the impedance adjustment circuit 29. For this reason, mainly the radicals of the reaction gas react with the film forming source gas, and a predetermined film is formed on the substrate W. Therefore, it is possible to realize a good film mainly composed of radicals, free from ion damage or ion-controlled.
  • a compound film of SiO 2 , TiO 2 , TiN, SiN, TaN, BN, or the like can be formed by using an oxidizing agent, a nitriding agent, or the like as a reaction gas. Further, by using a reducing gas such as H 2 gas as a reactive gas, a metal film such as Ti, Ta, W, Si, etc. can be formed.
  • a reducing gas such as H 2 gas
  • the film forming source gas include chlorides, hydrides, and organic compounds.
  • the reaction gas may be supplied only at the generation timing of the plasma, or when the reaction gas reacts with the film forming raw material gas only in the presence of the plasma, the reaction gas may be supplied.
  • the deposition source gas is TiCl 4 gas and the reaction gas is O 2 gas
  • the supply of TiCl 4 gas and the generation of plasma are alternately performed while the O 2 gas is supplied together with the purge gas.
  • a TiO 2 film is formed.
  • plasma is generated by the second capacitively coupled plasma generation unit 40 at a predetermined timing during the film formation by PEALD, and the film formed on the substrate W is mainly etched by ion assist.
  • the process to perform is implemented.
  • a high frequency electric field is applied between the shower plate 12 and the electrode 31 by applying high frequency power from the second high frequency power supply 33 to the electrode 31 in the stage 2 as shown in FIG. Form.
  • the second capacitively coupled plasma P2 is generated in the space between the shower plate 12 and the stage 2, and the substrate W is subjected to an etching action with the assistance of ions.
  • the counter electrode of the stage 2 (electrode 31), which is the lower electrode, is the shower plate 12, and the upper electrode 11 to which the first high-frequency power is applied cannot be seen from the stage 2, so the upper first matching device 25
  • the second capacitively coupled plasma P2 can be generated independently regardless of the presence of the first capacitively coupled plasma P1.
  • the second capacitively coupled plasma P2 is generated so as to be in contact with the substrate W, and an efficient etching characteristic is obtained.
  • Such second capacitively-coupled plasma P2 can exert an ion-assisted etching action on the substrate W during PEALD film formation, and the following effects [1] to [4] can be obtained. .
  • the conventional PEALD film formation is as shown in FIG. That is, when the film 203 is formed by PEALD on the substrate W on which the concave portion 202 is formed, the film may be excessively deposited at the opening of the concave portion 202 as shown in FIG. In such a case, when the film formation proceeds, as shown in FIG. 5B, an overhang portion 204 of the film 203 is formed at the front opening, the front opening is closed, and gas supply to the bottom of the concave portion 202 is hindered. For this reason, at the time when the embedding of the film 203 into the concave portion 202 is completed, the void 205 may remain as shown in FIG.
  • the second capacitively-coupled plasma P2 is generated to exert an etching action by the ion assist on the substrate W.
  • the overhang portion 204 of 203 is removed (see (b)). Thereby, the gas supply to the bottom part of the recessed part 202 is not prevented and embedding without a void is implement
  • the process of dissociating the reactive gas by the first capacitively coupled plasma P1 for film formation is performed at the same timing as in FIG. 5, and the second capacitively coupled plasma P2 that is etched at the same timing is used.
  • a generating step is performed. Thereby, the balance between film formation and etching can be adjusted simultaneously.
  • the process of dissociating the reactive gas by the first capacitively coupled plasma P1 for forming the film is performed at the same timing as in FIG. 5, and the process of generating the second capacitively coupled plasma P2 for performing the etching This is performed at a part of the timing of the step of dissociating the reactive gas by the first capacitively coupled plasma P1.
  • the etching action can be exerted at a predetermined timing before the opening of the recess is closed.
  • the step of dissociating the reactive gas by the first capacitively coupled plasma P1 for film formation is performed at the same timing as in FIG. 5, and part of the generation timing of the first capacitively coupled plasma P1 is performed. Then, the second capacitively coupled plasma P2 to be etched is replaced. That is, the step of dissociating the reactive gas with the first capacitively coupled plasma P1 and the step of generating the second capacitively coupled plasma P2 are performed independently. Thus, etching is performed with the second capacitively coupled plasma P2 at a predetermined timing during film formation using the first capacitively coupled plasma P1, for example, before the opening of the recess is closed. Can do.
  • the film forming apparatus 100 of the present embodiment uses the shower plate 12 as one of the counter electrodes, and the first capacitively coupled plasma generating unit 30 and the second capable of generating plasma independently.
  • a capacitively coupled plasma generation unit 40 is provided.
  • the upper first capacitively coupled plasma generating unit 30 generates plasma for film formation
  • the lower second capacitively coupled plasma generating unit 40 mainly performs ion-assisted etching. Can generate plasma.
  • a conventional PEALD film forming apparatus as described in Patent Document 1 uses a shower head and a susceptor as a pair of parallel plate electrodes, and generates capacitively coupled plasma between them by applying high-frequency power to the shower head. It was possible. Then, with the film forming apparatus having such a configuration, film formation by PEALD is performed in the same process as in the present embodiment. However, since capacitively coupled plasma can cope with fast gas replacement of ALD and plasma ignition is fast, it is suitable for PEALD. Recently, however, miniaturization of devices such as semiconductor memory has been increasingly advanced. In PEALD, there are cases where the response to miniaturization is not always sufficient.
  • Patent Document 2 in order to embed in a fine trench, high-density plasma such as ICP type or ECR type is generated and a bias is applied to the substrate side so that film formation by radicals and etching by ions are performed.
  • An HDP-CVD method that proceeds simultaneously has been proposed. That is, it corresponds to miniaturization by etching with ions.
  • the capacitively coupled plasma suitable for PEALD is used with a simple apparatus configuration, and the film forming plasma and the etching plasma are controlled independently, thereby providing a semiconductor. Film formation corresponding to further miniaturization of devices can be performed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

基板上にプラズマALDにより所定の膜を成膜する成膜装置は、チャンバーと、ステージと、上部電極および上部電極と絶縁されたシャワープレートを有するシャワーヘッドと、上部電極に接続された第1高周波電源と、ステージに含まれる電極に接続された第2高周波電源とを備える。第1高周波電源から上部電極に高周波電力が供給されることにより、上部電極およびシャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、第2高周波電源から電極に高周波電力が供給されることにより、シャワープレートと電極との間に高周波電界が形成されて、第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成される。

Description

成膜装置および成膜方法
 本開示は、成膜装置および成膜方法に関する。
 近時、半導体デバイスの微細化が進んでおり、微細パターンの成膜に適した成膜技術として、所定の膜を一原子層ずつ成膜する原子層堆積法(Atomic Layer Deposition;ALD)が知られている。最近では使用するガスの反応性を向上させ、プロセス温度を低下することを目的としたプラズALD(PEALD)が注目されている(例えば特許文献1)。
 また、微細なトレンチ内にボイドを発生させることなく埋め込みを行う技術として、ICP型、ECR型等の高密度プラズマを発生可能なチャンバーを用い、基板側にバイアスをかけ、成膜とエッチングを同時進行させるHDP-CVD法が知られている(例えば特許文献2)。
特表2009-521594号公報 特開2012-134288号公報
 本開示は、PEALDを用いて半導体デバイスのさらなる微細化に対応した成膜を行うことができる成膜装置および成膜方法を提供する。
 本開示の一実施形態に係る成膜装置は、基板上にプラズマALDにより所定の膜を成膜する成膜装置であって、基板を収容するチャンバーと、前記チャンバー内で基板を支持するステージと、前記ステージに対向して設けられ、導電性の上部電極および該上部電極と絶縁された導電性のシャワープレートを有し、成膜のための成膜原料ガスおよび反応ガスを含むガスが供給され、該ガスを前記チャンバー内に導入するシャワーヘッドと、前記ステージに含まれる電極と、前記上部電極に接続された第1高周波電源と、前記電極に接続された第2高周波電源とを備え、前記第1高周波電源から前記上部電極に高周波電力が供給されることにより、前記上部電極および前記シャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、前記第2高周波電源から前記電極に高周波電力が供給されることにより、前記シャワープレートと前記電極との間に高周波電界が形成されて、前記第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成される。
 本開示によれば、PEALDを用いて半導体デバイスのさらなる微細化に対応した成膜を行うことができる成膜装置および成膜方法が提供される。
一実施形態に係る成膜装置を示す概略断面図である。 図1の成膜装置の変形例の一部を示す概略断面図である。 図1の成膜装置の他の変形例を示す概略断面図である。 図1の成膜装置により第1の容量結合プラズマを生成した際の状態を示す概略断面図である。 一実施形態に係る成膜装置によりPEALDを行う際の基本シーケンスを示すタイミングチャートである。 図1の成膜装置により第2の容量結合プラズマを生成した際の状態を示す概略断面図である。 従来のPEALDにより凹部への埋め込みを行う際に凹部の開口が閉塞する状態を説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる凹部への埋め込みにより凹部開口の閉塞が解消されることを説明するための工程断面図である。 従来のPEALDにより凹部への埋め込みを行う際に埋め込んだ膜にストレスが生じることを説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる凹部への埋め込みにより膜ストレスが調整可能なことを説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる選択成膜の例を示す断面図である。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングの一例を示すタイミングチャートである。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングの他の例を示すタイミングチャートである。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングのさらに他の例を示すタイミングチャートである。
 以下、添付図面を参照して実施形態について説明する。
 図1は一実施形態に係る成膜装置を示す概略断面図である。
 成膜装置100は容量結合型のプラズマ処理装置として構成されており、基板Wに対してPEALDにより所定の膜を成膜する成膜機能とエッチング機能とを有する。基板Wとしては、例えば半導体ウエハを挙げることができるが、これに限定されない。
 この成膜装置100は、略円筒状のチャンバー1を有している。チャンバー1は保安設置されている。チャンバー1の内部には、基板Wを水平に載置(支持)するためのステージ2が配置されている。ステージ2は、AlN等の絶縁性セラミックスで構成されており、その中央下部が、チャンバー1の底部から垂直に延びる円筒状の絶縁性セラミックスからなる支持部材3により支持されている。また、ステージ2にはモリブデン等の高融点金属で構成されたヒーター5が埋め込まれており、このヒーター5はヒーター電源(図示せず)から給電されることによりステージ2上の基板Wを所定の温度に加熱する。なお、ステージ2は導電体であってもよい。
 なお、図示していないが、ステージ2には、複数の昇降ピンが、昇降機構によりその上面に対し突没可能に挿通されており、複数の昇降ピンの昇降動作により、ステージ2上への基板Wの授受が行われるようになっている。
 チャンバー1の天壁には、開口1aを有しており、開口1aには、絶縁部材9を介してシャワーヘッド10が嵌め込まれている。シャワーヘッド10は、全体形状が円筒状をなし、上部プレートである上部電極11と、下面を構成するシャワープレート12と、これらの間に設けられた円筒状の絶縁部材13とを有している。上部電極11とシャワープレート12は、導電性材料で形成されている。シャワーヘッド10の内部は、ガス拡散空間14となっている。上部電極11はその外周にフランジ部11aを有しており、このフランジ部11aが絶縁部材9に支持されている。シャワープレート12には複数のガス吐出孔15が形成されており、上部電極11にはガス導入孔16が形成されている。ガス導入孔16は、成膜のためのガスを供給するガス供給機構20のガスラインに接続されている。
 ガス供給機構20は、PEALD成膜を行う際に用いるガス、例えば、形成しようとする膜の構成元素を含む成膜原料ガス、成膜原料ガスと反応する反応ガス、およびパージガス等を供給する。これらガスを供給する配管には、バルブ類およびマスフローコントローラのような流量制御器が設けられている。成膜原料ガスおよび反応ガスは、成膜しようとする膜に応じて種々のものを用いることができる。パージガスとしては、不活性ガス、例えばArガス、Heガス等の希ガスや、Nガスを用いることができる。成膜の際には、パージガスを供給したまま、成膜原料ガスと反応ガスとを交互にかつ間欠的に供給する。また、反応ガスがプラズマの存在下でのみ成膜原料ガスと反応する場合は、成膜の際に反応ガスを供給したままにしてもよい。
 シャワーヘッド10の上部電極11には、第1整合器25を介して第1高周波電源26が接続されており、この第1高周波電源26から上部電極11に高周波電力が供給されるようになっている。第1高周波電源26の周波数は、450kHz~40MHzであることが好ましく、典型例としては13.56MHzを挙げることができる。一方、シャワープレート12には、接地ライン17が接続され、接地されている。上部電極11とシャワープレート12とは絶縁部材13で絶縁されており、これらは一対の平行平板電極を構成している。上部電極11、シャワープレート12、第1高周波電源26により第1の容量結合プラズマ生成部30が構成される。
 第1の容量結合プラズマ生成部30においては、第1高周波電源26に高周波電力を印加することにより、上部電極11とシャワープレート12との間に高周波電界が形成され、ガス拡散空間14内に第1の容量結合プラズマが生成される。
 第1整合器25は、第1高周波電源26の内部(または出力)インピーダンスに負荷インピーダンスを整合させるものである。第1整合器25は、ガス拡散空間14にプラズマが生成されている時に第1高周波電源26の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
 第1の容量結合プラズマ生成部30は、PEALDのためのリモートプラズマ源として構成される。すなわち、ガス拡散空間14に生成された第1の容量結合プラズマにより反応ガスが解離され、解離して生成された主にラジカルがガス吐出孔15を通過してステージ2上の基板Wに供給されて、PEALDによる成膜に供される。PEALDに際しては、第1の容量結合プラズマ生成部30は、成膜原料ガスが供給されていないタイミングで、間欠的にプラズマを着火し、反応ガスを解離させる。
 また、上部電極11には、DCパルス印加ユニット70が接続されている。DCパルス印加ユニット70は、DC電源71と、DCパルススイッチ72と、フィルター73とを有している。DCパルス印加ユニット70は、第1高周波電源26に替えて用いてもよいし、第1高周波電源26と併用してもよい。併用する場合は、第1高周波電源26からの高周波とDCパルス印加ユニット70からのDCパルスとが重畳されることとなる。DCパルス電源71は、ゼロ~正のパルス電圧、またはゼロ~負のパルス電圧を印加することができるため、ガスの特性(極性)に合わせた電力を印加することができる。このため、ガスの解離をより促進することができる。
 シャワープレート12の直下には、プラズマ中のイオンをトラップするためのイオントラップ28が設けられている。プラズマ中のイオンは、シャワープレート12のガス吐出孔15でその一部が失活するため、基板Wに供給されるイオンは少ないが、イオントラップ28によりシャワープレート12を通過するイオンをさらに除去することができる。イオントラップ28は、導電体でも絶縁体でもよく、絶縁体の内部に導電体を埋め込んだものであってもよい。また、導電体の場合は、接地されていてもフローティング状態であってもよい。なお、シャワープレート12を通過するプラズマ中のイオンが十分少なければ、イオントラップ28は不要である。
 また、図2に示すように、接地ライン17にコイルおよびコンデンサを有するインピーダンス調整回路29を設けることにより、通過イオン量を制御することができる。なお、インピーダンス調整回路29によるインピーダンス調整により、プラズマの生成箇所をシャワープレート12の下に変更することも可能となる。このように、プラズマの生成箇所をシャワープレート12の下に変更することにより、後述する、ステージ2とシャワープレート12との間に形成される容量結合プラズマの密度等の調整幅をより広げることができる。
 ステージ2の内部には、電極31が埋設されている。電極31はステージ2に含まれている。ステージ2が導電体の場合は、ステージ2自体が電極31として機能する。電極31には、第2整合器32を介して第2高周波電源33が接続されている(図1参照)。第2高周波電源33の周波数は、13.56~100MHzであることが好ましく、典型例としては40MHzである。シャワープレート12とステージ2内の電極31とは一対の平行平板電極を構成している。シャワープレート12、電極31、第2高周波電源33により第2の容量結合プラズマ生成部40が構成される。
 第2の容量結合プラズマ生成部40においては、第2高周波電源33からステージ2の電極31に高周波電力を印加することにより、シャワープレート12と電極31との間に高周波電界が形成され、これらの間に第2の容量結合プラズマが生成される。そして、高周波電力印加によるバイアスによりプラズマ中のイオンが基板Wに引き込まれてイオンアシストエッチングが実現される。すなわち、第2の容量結合プラズマ生成部40は、エッチングのためのプラズマ源として構成される。
 第2整合器32は、第2高周波電源33の内部(または出力)インピーダンスに負荷インピーダンスを整合させるものである。第2整合器32は、シャワープレート12とステージ2との間の空間にプラズマが生成されている時に第2高周波電源33の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
 なお、図3に示すように、電極31に、第2高周波電源33に加えて、第3整合器36を介して第3高周波電源37を接続してもよい。第3高周波電源37は、プラズマ中のイオンを基板Wに引き込んでイオンの作用を高める高周波バイアスを基板Wに印加する機能を有する。第3高周波電源37の周波数は、第2高周波電源33の周波数よりも小さく、450kHz~13.56MHzが好ましい。典型例としては13.56MHzである。第3整合器36は、第2整合器32と同様の機能を有する。
 チャンバー1の底壁には排気口51が設けられており、排気口51には排気管52が接続されている。排気管52には排気装置53が接続されている。排気装置53は自動圧力制御バルブと真空ポンプを有し、排気装置53によりチャンバー1内を所定の真空度に保持することが可能となっている。
 チャンバー1の側壁には、チャンバー1と隣接して設けられた真空搬送室(図示せず)との間で基板Wの搬入出を行うための搬入出口57と、この搬入出口57を開閉するゲートバルブ58とが設けられている。
 成膜装置100の構成部であるヒーター電源、バルブ類、流量制御器、高周波電源等は、制御部60により制御される。制御部60は、実際にこれらの制御を行うコンピュータ(CPU)を有する主制御部と、入力装置、出力装置、表示装置、および記憶装置とを有している。記憶装置には、成膜装置100で実行される各種処理のパラメータが記憶されており、また、成膜装置100で実行される処理を制御するためのプログラム、すなわち処理レシピが格納された記憶媒体がセットされるようになっている。主制御部は、記憶媒体に記憶されている所定の処理レシピを呼び出し、その処理レシピに基づいて成膜装置100により所定の処理が行われるように制御する。
 次に、以上のように構成された成膜装置100における動作について説明する。
 まず、ゲートバルブ58を開放して真空搬送室から搬送装置によりウエハWをチャンバー1内に搬入し、ステージ2上に載置する。搬送装置を退避させた後、ゲートバルブ58を閉じる。
 次いで、チャンバー1内にパージガスを連続的に供給し、排気装置53により、チャンバー1内を所定の減圧状態に保持するとともに、ヒーター5によりステージ2の温度を所定温度に制御する。
 そして、パージガスを連続的に供給した状態を維持したまま、成膜原料ガスを間欠的に供給するとともに、成膜原料ガスを供給していないタイミングで、第1の容量結合プラズマ生成部30により間欠的に第1の容量結合プラズマを生成して、PEALDにより所定の膜を成膜する。このときのプラズマ生成の際には、図4に示すように、第1高周波電源26からシャワーヘッド10のベースプレート11に高周波電力を印加することにより、上部電極11とシャワープレート12との間に高周波電界を形成する。これにより、ガス拡散空間14に第1の容量結合プラズマP1が生成され、この第1の容量結合プラズマP1により反応ガスが解離される。第1の容量結合プラズマP1は、リモートプラズマとして生成され、ガス吐出孔15を通過した主に反応ガスのラジカルがステージ2上の基板Wに供給される。
 PEALDでは、具体的には図5に示すように、パージガスを連続的に供給した状態で、基板へ成膜原料ガスの供給する工程(ステップS1)が間欠的に実施され、第1の容量結合プラズマP1により反応ガスを解離して、それにより生成されたラジカルを基板Wに供給する工程(ステップS2)がステップS1の間で間欠的に実施される。これにより、ステップS1による成膜原料ガスの基板Wへの吸着と、ステップS2により解離されて主にラジカル化した反応ガスとが、パージガスによるチャンバー1内のパージ(ステップS3)を挟んで交互に実施される。このとき第1の容量結合プラズマP1により反応ガスの解離が促進され、このプラズマのうちイオンはシャワープレート12のガス吐出孔15で失活し、さらにイオントラップ28で除去されるため、基板Wへは主に反応ガスのラジカルが供給される。また、インピーダンス調整回路29により通過イオン量を制御することもできる。このため、主に反応ガスのラジカルが成膜原料ガスと反応して、基板W上に所定の膜が成膜される。したがって、ラジカルを主体とした、イオンダメージのない、またはイオンコントロールされた良好な成膜が実現される。
 成膜される膜の具体例としては、反応ガスとして酸化剤、窒化剤等を用いることにより、SiO、TiO、TiN、SiN、TaN、BN等の化合物膜を成膜することができる。また、反応ガスとしてHガスのような還元ガスを用いることにより、Ti、Ta、W、Si等の金属膜を成膜することができる。成膜原料ガスとしては、塩化物、水素化物、有機化合物等を挙げることができる。
 このとき、反応ガスの供給は、プラズマの生成タイミングのみであってもよいし、反応ガスがプラズマの存在下でのみ成膜原料ガスと反応する場合は、反応ガスを供給したままにしてもよい。例えば、成膜原料ガスがTiClガスで、反応ガスがOガスの場合には、パージガスとともにOガスを供給したままの状態で、TiClガスの供給とプラズマの生成を交互に行うことにより、TiO膜が成膜される。
 一方、上記PEALDによる成膜を行っている途中に所定のタイミングで、第2の容量結合プラズマ生成部40によりプラズマを生成し、基板Wに形成された膜に対し、主にイオンアシストによるエッチングを行う工程を実施する。このときのプラズマ生成の際には、図6に示すように、第2高周波電源33からステージ2内の電極31に高周波電力を印加することにより、シャワープレート12と電極31との間に高周波電界を形成する。これにより、シャワープレート12とステージ2との間の空間に第2の容量結合プラズマP2が生成され、基板Wにイオンのアシストによるエッチング作用が及ぼされる。このとき、下部電極であるステージ2(電極31)の対向電極は、シャワープレート12であり、第1高周波電力が印加される上部電極11はステージ2から見えないので、上部の第1整合器25に依存せず、安定した高周波電力の供給が可能となる。すなわち、第2の容量結合プラズマP2は、第1の容量結合プラズマP1の存在に関わらず、独立して生成することができる。第2の容量結合プラズマP2は、基板Wに接するように生成され、効率の良いエッチング特性が得られる。
 このような第2の容量結合プラズマP2により、PEALD成膜中の基板Wにイオンのアシストによるエッチング作用をおよぼすことができ、以下の[1]~[4]のような効果を得ることができる。
 [1]ホールやトレンチのような凹部への埋め込み、特に深穴への埋め込みの際には、従来のPEALDによる成膜では、図7に示すようになっていた。すなわち、凹部202が形成された基板WにPEALDにより膜203を成膜すると、(a)に示すように、凹部202の間口に膜が過剰に堆積される場合がある。このような場合、成膜が進行すると、(b)に示すように、間口に膜203のオーバーハング部分204が生じて間口が塞がり、凹部202の底部へのガス供給が妨げられる。このため、凹部202への膜203の埋め込みが完了した時点では、(c)に示すように、ボイド205が残ってしまうことがあった。
 そこで、図8に示すように、(a)の膜203のオーバーハング部分204が生じた状態で、第2の容量結合プラズマP2を生成して基板Wにイオンのアシストによるエッチング作用を及ぼし、膜203のオーバーハング部分204を除去する((b)参照)。これにより、凹部202の底部へのガス供給が妨げられず、ボイドのない埋め込みが実現される((c)参照)。
 [2]図9に示すように、従来のPEALDによる成膜では、凹部202への膜203の埋め込みの際は、成膜初期の(a)の状態から成膜が進行すると、凹部202の上部と底部で膜203の厚さが異なる状態となり((b)参照)、それがストレスの原因となる。そして、凹部202への膜203の埋め込みが完了した時点では、膜203にストレスが生じることがある((c)参照)。
 そこで、図10に示すように、成膜が進行した(a)の状態(図9の(b)と同じ状態)で、第2の容量結合プラズマP2の基板Wにイオンのアシストによるエッチング作用を及ぼして、膜の厚さを均一にすることによりストレスを調整する((b)参照)。これにより、凹部202への膜203の埋め込みが完了した時点で膜ストレスが低減される((c)参照)。
 [3]第2の容量結合プラズマP2によって膜203のエッチング量をコントロールすることにより、図11に示すように、膜203の側壁203aの厚さaと、膜203の底部203bの厚さbとの比を調整することができる。これにより、選択成膜を実現することができる。
 [4]第2の容量結合プラズマP2を、第1の容量結合プラズマP1と同時に印加することで、反応ガスのラジカルとイオンのバランス、エッチング量をコントロールすることができる。また、プラズマ中のイオンにより、原料ガスの不要成分(リガンド)の切断を促進することができる。このため、PEALDによる成膜を適切に制御することができる。
 第1の容量結合プラズマP1と第2の容量結合プラズマP2の印加タイミングとしては、図12~図14に示すものが例示される。
 図12の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施し、それと同じタイミングでエッチングを行う第2の容量結合プラズマP2を生成する工程を実施する。これにより、成膜・エッチングのバランスを同時調整することができる。
 図13の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施し、エッチングを行う第2の容量結合プラズマP2を生成する工程を、第1の容量結合プラズマP1により反応ガスを解離させる工程のタイミングの一部で実施する。これにより、例えば、凹部の間口が閉塞する前の所定のタイミングで、エッチング作用を及ぼすことができる。
 図14の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施するとともに、第1の容量結合プラズマP1の生成タイミングの一部を、エッチングを行う第2の容量結合プラズマP2で置き換える。すなわち、第1の容量結合プラズマP1により反応ガスを解離させる工程と、第2の容量結合プラズマP2を生成する工程を独立実施する。これにより、第1の容量結合プラズマP1を用いて成膜を行っている途中の、例えば、凹部の間口が閉塞する前の所定のタイミングで、第2の容量結合プラズマP2によりエッチングを実施することができる。
 以上のように、本実施形態の成膜装置100は、いずれもシャワープレート12を対向電極の一方として用いる、それぞれ独立してプラズマ生成が可能な第1の容量結合プラズマ生成部30および第2の容量結合プラズマ生成部40を設ける。そして、上述したように、上側の第1の容量結合プラズマ生成部30により、成膜のためのプラズマを生成し、下側の第2の容量結合プラズマ生成部40により、主にイオンアシストによるエッチングが可能なプラズマを生成する。
 これにより、PEALDに適した容量結合プラズマを生成する成膜装置を前提とし、装置構成を複雑にすることなく、PEALD成膜の際に、プラズマのイオン量を制御して、エッチング作用を及ぼすことができる。このため、半導体デバイスのさらなる微細化に対応した成膜を行うことができる。
 特許文献1に記載されたような従来のPEALD成膜装置は、シャワーヘッドとサセプタを一対の平行平板電極として用い、シャワーヘッドに高周波電力を印加することにより、これらの間に容量結合プラズマを生成可能としていた。そして、このような構成の成膜装置により、本実施形態と同様の工程でPEALDによる成膜を行っていた。しかし、容量結合プラズマはALDの速いガス置換に対応することができ、プラズマ着火が速いため、PEALDに適しているものの、近時、半導体メモリ等のデバイスの微細化が益々進んでおり、従来のPEALDでは微細化への対応が必ずしも十分でない場合が存在していた。
 すなわち、半導体デバイスの微細化が進むことにより、微細なホールやトレンチへの良好な埋め込み性、ストレスレス、ボイドレスの埋め込み、および選択成膜の技術が求められているが、従来のPEALD成膜装置ではこれらに対応することは困難であった。特に、微細な深穴への埋め込みの際には、PEALDによっても、間口に膜が過剰に付着して、底部への成膜が困難になる場合がある。
 一方、特許文献2には、微細なトレンチに埋め込みを行うために、ICP型、ECR型等の高密度プラズマを発生させ、かつ基板側にバイアスをかけて、ラジカルによる成膜とイオンによるエッチングを同時進行させるHDP-CVD法が提案されている。すなわち、イオンによるエッチングにより、微細化に対応している。
 しかし、特許文献2に記載されているCVDでは、ALDのような制御性の高い成膜は困難である。また、特許文献2のICP型、ECR型のプラズマソースでは、ガスの置換やプラズマ着火が遅いため、PEALDに対応することは困難である。従来のPEALDは、容量結合プラズマを用い、PEALDに適した構成を実現しているものの、プラズマ生成機構は、反応ガスの解離を目的としているのみであり、イオンによるエッチング作用を及ぼすことはできなかった。
 これに対し、本実施形態では、上述したように、簡単な装置構成で、PEALDに適した容量結合プラズマを用い、成膜用のプラズマとエッチング用のプラズマを独立して制御することにより、半導体デバイスのさらなる微細化に対応した成膜を行うことができる。
 以上、実施形態について説明したが、今回開示された実施形態は、全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の特許請求の範囲およびその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
 1;チャンバー、2;ステージ、10;シャワーヘッド、11;上部電極、12;シャワープレート、13;絶縁部材、14;ガス拡散空間、20;ガス供給機構、26;第1高周波電源、30;第1の容量結合プラズマ生成部、31;電極、33;第2高周波電源、40;第2の容量結合プラズマ生成部、53;排気装置、60;制御部、100;成膜装置、P1;第1の容量結合プラズマ、P2;第2の容量結合プラズマ、W;基板

Claims (15)

  1.  基板上にプラズマALDにより所定の膜を成膜する成膜装置であって、
     基板を収容するチャンバーと、
     前記チャンバー内で基板を支持するステージと、
     前記ステージに対向して設けられ、導電性の上部電極および該上部電極と絶縁された導電性のシャワープレートを有し、成膜のための成膜原料ガスおよび反応ガスを含むガスが供給され、該ガスを前記チャンバー内に導入するシャワーヘッドと、
     前記ステージに含まれる電極と、
     前記上部電極に接続された第1高周波電源と、
     前記電極に接続された第2高周波電源と、
    を備え、
     前記第1高周波電源から前記上部電極に高周波電力が供給されることにより、前記上部電極および前記シャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、
     前記第2高周波電源から前記電極に高周波電力が供給されることにより、前記シャワープレートと前記電極との間に高周波電界が形成されて、前記第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成される、成膜装置。
  2.  前記第1高周波電源に替えて、または前記第1高周波電源に加えて、前記上部電極にDCパルスを印加するDCパルス印加ユニットを備える、請求項1に記載の成膜装置。
  3.  前記第1の容量結合プラズマにより前記反応ガスが解離され、主に反応ガスのラジカルが前記成膜原料ガスと反応して、前記基板上に所定の膜が成膜される、請求項1に記載の成膜装置。
  4.  前記第1の容量結合プラズマは、前記シャワーヘッド内のガス拡散空間内にリモートプラズマとして形成され、前記シャワープレートを通過した主に反応ガスのラジカルが前記基板に供給される、請求項1に記載の成膜装置。
  5.  前記第2の容量結合プラズマにより、前記基板に形成された膜が、主にイオンアシストによりエッチングされる、請求項1に記載の成膜装置。
  6.  前記シャワープレートの直下に設けられ、前記シャワープレートを通過する前記第2の容量結合プラズマ中のイオンを除去するイオントラップをさらに備える、請求項5に記載の成膜装置。
  7.  前記シャワープレートは接地されている、請求項1に記載の成膜装置。
  8.  前記シャワープレートには接地ラインが接続され、前記接地ラインに設けられたインピーダンス調整回路をさらに備える、請求項1に記載の成膜装置。
  9.  前記電極に接続された、前記基板に高周波バイアスを印加する機能を有する第3の高周波電源をさらに備える、請求項1に記載の成膜装置。
  10.  基板上にプラズマALDにより所定の膜を成膜する成膜方法であって、
     基板に対して成膜原料ガスを間欠的に供給することと、
     前記成膜原料ガスを供給していない期間に間欠的に第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給することと、
     任意のタイミングで、前記第1の容量結合プラズマとは独立して、基板にイオンアシストによるエッチング作用を及ぼす第2の容量結合プラズマを生成することと、
    を有する、成膜方法。
  11.  前記成膜原料ガスを供給することにより、前記基板に成膜原料ガスを吸着させ、前記第1容量結合プラズマにより生成された主に前記反応ガスのラジカルを前記基板に吸着された前記成膜原料ガスと反応させる、請求項10に記載の成膜方法。
  12.  前記第2の容量結合プラズマを生成することを、前記第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給することと同様のタイミングで実施する、請求項10に記載の成膜方法。
  13.  前記第2の容量結合プラズマを生成することを、前記第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給することとを行うタイミングの一部で実施する、請求項10に記載の成膜方法。
  14.  前記第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給することを行うタイミングの一部を、前記第2の容量結合プラズマを生成することで置き換えて実施する、請求項10に記載の成膜方法。
  15.  成膜装置を用いて基板上にプラズマALDにより所定の膜を成膜する成膜方法であって、
      前記成膜装置は、
      基板を収容するチャンバーと、
      前記チャンバー内で基板を支持するステージと、
      前記ステージに対向して設けられ、導電性の上部電極および該上部電極と絶縁された導電性のシャワープレートを有し、成膜のための成膜原料ガスおよび反応ガスを含むガスが供給され、該ガスを前記チャンバー内に導入するシャワーヘッドと、
      前記ステージに含まれる電極と、
      前記上部電極に接続された第1高周波電源と、
      前記電極に接続された第2高周波電源と、
    を備え、
      前記第1高周波電源から前記上部電極に高周波電力が供給されることにより、前記上部電極および前記シャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、
      前記第2高周波電源から前記電極に高周波電力が供給されることにより、前記シャワープレートと前記電極との間に高周波電界が形成されて、前記第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成されるものであり、
     前記成膜方法は、
     基板に対して成膜原料ガスを間欠的に供給することと、
     前記成膜原料ガスを供給していない期間に間欠的に前記第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給することと、
     任意のタイミングで、前記第1の容量結合プラズマとは独立して、基板にイオンアシストによるエッチング作用を及ぼす前記第2の容量結合プラズマを生成することと、
    を有する、成膜方法。
     
PCT/JP2019/015389 2018-05-21 2019-04-09 成膜装置および成膜方法 WO2019225184A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020207035556A KR102571839B1 (ko) 2018-05-21 2019-04-09 성막 장치 및 성막 방법
CN201980031668.3A CN112135925B (zh) 2018-05-21 2019-04-09 成膜装置和成膜方法
US17/056,342 US11578407B2 (en) 2018-05-21 2019-04-09 Film-forming apparatus and film-forming method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法
JP2018-097404 2018-05-21

Publications (1)

Publication Number Publication Date
WO2019225184A1 true WO2019225184A1 (ja) 2019-11-28

Family

ID=68616320

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/015389 WO2019225184A1 (ja) 2018-05-21 2019-04-09 成膜装置および成膜方法

Country Status (6)

Country Link
US (1) US11578407B2 (ja)
JP (1) JP7126381B2 (ja)
KR (1) KR102571839B1 (ja)
CN (1) CN112135925B (ja)
TW (1) TW202006174A (ja)
WO (1) WO2019225184A1 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7413099B2 (ja) 2020-03-16 2024-01-15 東京エレクトロン株式会社 成膜方法および成膜装置
CN113755822B (zh) * 2020-06-04 2024-03-01 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
JP2022015848A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2022133762A (ja) * 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
KR20230015004A (ko) * 2021-07-22 2023-01-31 세메스 주식회사 기판 처리 장치
JP2023078977A (ja) 2021-11-26 2023-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US8790785B2 (en) * 2006-07-21 2014-07-29 Renesas Electronics Corporation Method of forming a porous insulation film
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012134288A (ja) 2010-12-21 2012-07-12 Elpida Memory Inc 半導体装置の製造方法
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140273487A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
CN112970096A (zh) * 2018-11-05 2021-06-15 朗姆研究公司 蚀刻室中的方向性沉积

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
US11578407B2 (en) 2023-02-14
JP7126381B2 (ja) 2022-08-26
CN112135925B (zh) 2023-05-23
CN112135925A (zh) 2020-12-25
TW202006174A (zh) 2020-02-01
US20210222296A1 (en) 2021-07-22
KR102571839B1 (ko) 2023-08-28
KR20210006985A (ko) 2021-01-19
JP2019203155A (ja) 2019-11-28

Similar Documents

Publication Publication Date Title
WO2019225184A1 (ja) 成膜装置および成膜方法
KR100960162B1 (ko) 성막 처리 방법
JP5318562B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20150075362A (ko) 콘택트층의 형성 방법
KR101578744B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20210025060A1 (en) Apparatus for processing substrate
KR101759769B1 (ko) Ti막의 성막 방법
US8043471B2 (en) Plasma processing apparatus
JP6280721B2 (ja) TiN膜の成膜方法および記憶媒体
JPWO2007125836A1 (ja) Ti膜の成膜方法
JP4810281B2 (ja) プラズマ処理装置
JPWO2007123212A1 (ja) Ti膜の成膜方法
WO2007125837A1 (ja) Ti膜の成膜方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19808248

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207035556

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19808248

Country of ref document: EP

Kind code of ref document: A1