CN112135925B - 成膜装置和成膜方法 - Google Patents

成膜装置和成膜方法 Download PDF

Info

Publication number
CN112135925B
CN112135925B CN201980031668.3A CN201980031668A CN112135925B CN 112135925 B CN112135925 B CN 112135925B CN 201980031668 A CN201980031668 A CN 201980031668A CN 112135925 B CN112135925 B CN 112135925B
Authority
CN
China
Prior art keywords
substrate
film forming
gas
capacitively coupled
coupled plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980031668.3A
Other languages
English (en)
Other versions
CN112135925A (zh
Inventor
山涌纯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112135925A publication Critical patent/CN112135925A/zh
Application granted granted Critical
Publication of CN112135925B publication Critical patent/CN112135925B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

本发明的成膜装置通过等离子体ALD在基片上形成规定的膜,其包括:腔室;载置台;喷淋头,其具有上部电极和与上部电极绝缘的喷淋板;与上部电极连接的第一高频电源;以及与包含于载置台的电极连接的第二高频电源。通过从第一高频电源对上部电极供给高频电功率,在上部电极与喷淋板之间形成高频电场,生成第一电容耦合等离子体,通过从第二高频电源对电极供给高频电功率,在喷淋板与电极之间形成高频电场,生成与第一电容耦合等离子体独立的第二电容耦合等离子体。

Description

成膜装置和成膜方法
技术领域
本发明涉及成膜装置和成膜方法。
背景技术
近来,半导体器件的微小化不断推进,作为适用于微小图案的成膜的成膜技术,已知有按每一原子层形成规定的膜的原子层沉积法(Atomic Layer Deposition;ALD)。最近,以提高所使用的气体的反应性,降低处理温度为目的的等离子体增强ALD(PEALD)备受瞩目(例如专利文献1)。
此外,作为不在微小的沟槽内产生空隙地进行埋入的技术,已知有使用能够产生ICP型、ECR型等的高密度等离子体的腔室,对基片侧施加偏压,使成膜和蚀刻同时进行的HDP-CVD法(例如专利文献2)。
现有技术文献
专利文献
专利文献1:日本特表2009-521594号公报
专利文献2:日本特开2012-134288号公报
发明内容
发明要解决的技术问题
本发明提供能够使用PEALD进行与半导体器件的进一步微小化相应的成膜的成膜装置和成膜方法。
用于解决技术问题的技术方案
本发明的一实施方式的成膜装置是通过等离子体ALD在基片上形成规定的膜的成膜装置,其包括:收纳基片的腔室;在所述腔室内支承基片的载置台;与所述载置台相对地设置的喷淋头,其具有导电性的上部电极和与该上部电极绝缘的导电性的喷淋板,能够供给包含用于成膜的成膜原料气体和反应气体的气体,将该气体导入所述腔室内;包含于所述载置台的电极;与所述上部电极连接的第一高频电源;以及与所述电极连接的第二高频电源,通过从所述第一高频电源对所述上部电极供给高频电功率,在所述上部电极与所述喷淋板之间形成高频电场,生成第一电容耦合等离子体,通过从所述第二高频电源对所述电极供给高频电功率,在所述喷淋板与所述电极之间形成高频电场,生成与所述第一电容耦合等离子体独立的第二电容耦合等离子体。
发明效果
依照本发明,提供能够使用PEALD进行与半导体器件的进一步微小化相应的成膜的成膜装置和成膜方法。
附图说明
图1是表示一实施方式的成膜装置的概要截面图。
图2是表示图1的成膜装置的变形例的局部的概要截面图。
图3是表示图1的成膜装置的另一变形例的概要截面图。
图4是表示利用图1的成膜装置生成第一电容耦合等离子体时的状态的概要截面图。
图5是表示利用一实施方式的成膜装置进行PEALD时的基本进程的时序图。
图6是表示利用图1的成膜装置生成第二电容耦合等离子体时的状态的概要截面图。
图7是用于说明在以往的通过PEALD进行向凹部的埋入时凹部的开口堵塞的状态的工序截面图。
图8是用于说明基通过使用一实施方式的成膜装置的PEALD进行向凹部的埋入,由此凹部开口的堵塞被解决的情况的工序截面图。
图9是用于说明在以往的通过PEALD进行向凹部的埋入时在埋入的膜产生压力的情况的工序截面图。
图10是用于说明通过使用一实施方式的成膜装置的PEALD进行向凹部的埋入,由此能够调节膜压力的情况的工序截面图。
图11是表示通过使用一实施方式的成膜装置的PEALD进行的选择成膜的例子的截面图。
图12是表示第一电容耦合等离子体和第二电容耦合等离子体的施加时间的一个例子的时序图。
图13是表示第一电容耦合等离子体和第二电容耦合等离子体的施加时间的另一例子的时序图。
图14是表示第一电容耦合等离子体和第二电容耦合等离子体的施加时间的又一例子的时序图。
具体实施方式
以下,参照附图对实施方式进行说明。
图1是表示一实施方式的成膜装置的概要截面图。
成膜装置100作为电容耦合型的等离子体处理装置而构成,具有通过PEALD在基片W形成规定的膜的成膜功能和蚀刻功能。作为基片W,例如能够例举出半导体晶片,但不限于此。
该成膜装置100具有大致圆筒状的腔室1。腔室1以安全方式设置。在腔室1的内部配置有用于水平地载置(支承)基片W的载置台2。载置台2由AlN等绝缘性陶瓷构成,其中央下部由从腔室1的底部垂直延伸的圆筒状的支承部件3支承,该支承部件3由绝缘性陶瓷构成。此外,在载置台2中埋入有由钼等高熔点金属构成的加热器5,该加热器5通过由加热器电源(未图示)供电而将载置台2上的基片W加热至规定的温度。此外,载置台2也可以是导电体。
另外,虽未图示,但在载置台2上,多个升降销利用升降机构以能够相对于其上表面突出和没入的方式插通,通过多个升降销的升降动作来进行基片W向载置台2上的授受。
在腔室1的顶壁具有开口1a,在开口1a经由绝缘部件9嵌入有喷淋头10。喷淋头10的整体形状呈圆筒状,具有作为上部板的上部电极11、构成下表面的喷淋板12和设置于它们之间的圆筒状的绝缘部件13。上部电极11和喷淋板12由导电性材料形成。喷淋头10的内部成为气体扩散空间14。上部电极11在其外周具有凸缘部11a,该凸缘部11a由绝缘部件9支承。在喷淋板12形成有多个气体释放孔15,在上部电极11形成有气体导入孔16。气体导入孔16与供给用于成膜的气体的气体供给机构20的气体管路连接。
气体供给机构20供给进行PEALD成膜时使用的气体,例如含有要形成的膜的构成元素的成膜原料气体、与成膜原料气体反应的反应气体以及吹扫气体等。在供给这些气体的配管设置有阀类和质量流量控制器这样的流量控制器。成膜原料气体和反应气体能够根据想要形成的膜而使用各种气体。作为吹扫气体,能够使用非活性气体,例如Ar气体、He气体等稀有气体和N2气体。在成膜时,在保持供给吹扫气体的状态下,交替且间歇地供给成膜原料气体和反应气体。此外,在反应气体仅在存在等离子体时与成膜原料气体反应的情况下,也可以在成膜时一直供给反应气体。
喷淋头10的上部电极11经由第一匹配器25与第一高频电源26连接,能够从该第一高频电源26对上部电极11供给高频电功率。第一高频电源26的频率优选为450kHz~40MHz,作为典型例可例举出13.56MHz。另一方面,喷淋板12与接地线17连接而接地。上部电极11与喷淋板12通过绝缘部件13绝缘,它们构成一对平行平板电极。由上部电极11、喷淋板12、第一高频电源26构成第一电容耦合等离子体生成部30。
在第一电容耦合等离子体生成部30中,通过对第一高频电源26施加高频电功率,在上部电极11与喷淋板12之间形成高频电场,在气体扩散空间14内生成第一电容耦合等离子体。
第一匹配器25是使负载阻抗与第一高频电源26的内部(或输出)阻抗匹配的部件。第一匹配器25发挥作用使得在气体扩散空间14生成等离子体时第一高频电源26的输出阻抗和负载阻抗在表观上一致。
第一电容耦合等离子体生成部30作为用于PEALD的远程等离子体源而构成。即,用在气体扩散空间14生成的第一电容耦合等离子体,将反应气体解离,解离而生成的主要为自由基,通过气体释放孔15被供给到载置台2上的基片W,以供通过PEALD进行的成膜使用。在进行PEALD时,第一电容耦合等离子体生成部30在没有供给成膜原料气体的时间,间歇地将等离子体点火,使反应气体解离。
此外,上部电极11与DC脉冲施加部70连接。DC脉冲施加部70具有DC电源71、DC脉冲开关72和滤波器73。DC脉冲施加部70可以代替第一高频电源26使用,也可以与第一高频电源26一同使用。在一同使用的情况下,来自第一高频电源26的高频与来自DC脉冲施加部70的DC脉冲重叠。DC脉冲电源71能够施加零~正脉冲电压或零~负脉冲电压,因此,能够施加与气体的特性(极性)相应的电功率。因此,能够进一步促进气体的解离。
在喷淋板12的正下设置有用于捕获等离子体中的离子的离子阱28。在喷淋板12的气体释放孔15中,等离子体中的一部分离子失活,对基片W供给的离子少,而用离子阱28能够进一步除去通过喷淋板12的离子。离子阱28可以是导电体也可以是绝缘体,还可以在绝缘体的内部埋入有导电体。此外,在为导电体的情况下,可以接地也可以为浮置状态。另外,当通过喷淋板12的等离子体中的离子非常少时,无需离子阱28。
此外,如图2所示,在接地线17设置具有线圈和电容器的阻抗调整电路29,由此,能够控制通过离子量。此外,通过用阻抗调整电路29进行阻抗调整,能够将等离子体的生成部位改变为喷淋板12之下。通过像这样将等离子体的生成部位改变为喷淋板12之下,能够进一步扩大后文所述的、形成于载置台2与喷淋板12之间的电容耦合等离子体的密度等的调整幅度。
在载置台2的内部埋设有电极31。电极31包含于载置台2。在载置台2为导电体的情况下,载置台2本身作为电极31发挥作用。电极31经由第二匹配器32与第二高频电源33连接(参照图1)。第二高频电源33的频率优选为13.56~100MHz,作为典型例为40MHz。喷淋板12和载置台2内的电极31构成一对平行平板电极。由喷淋板12、电极31、第二高频电源33构成第二电容耦合等离子体生成部40。
在第二电容耦合等离子体生成部40中,通过从第二高频电源33对载置台2的电极31施加高频电功率,在喷淋板12与电极31之间形成高频电场,在它们之间生成第二电容耦合等离子体。并且,利用通过施加高频电功率而产生的偏压,等离子体中的离子被吸引到基片W而能够实现离子促进蚀刻(ion assist etching)。即,第二电容耦合等离子体生成部40作为用于蚀刻的等离子体源而构成。
第二匹配器32是使负载阻抗与第二高频电源33的内部(或输出)阻抗匹配的部件。第二匹配器32发挥作用使得在喷淋板12与载置台2之间的空间生成等离子体时使第二高频电源33的输出阻抗与负载阻抗在表观上一致。
此外,如图3所示,电极31也可以除第二高频电源33之外,还经由第三匹配器36与第三高频电源37连接。第三高频电源37具有对基片W施加将等离子体中的离子吸引到基片W而提高离子的作用的高频偏压的功能。第三高频电源37的频率比第二高频电源33的频率小,优选为450kHz~13.56MHz。作为典型例为13.56MHz。第三匹配器36具有与第二匹配器32同样的功能。
在腔室1的底壁设有排气口51,排气口51与排气管52连接。排气管52与排气装置53连接。排气装置53具有自动压力控制阀和真空泵,能够利用排气装置53将腔室1内保持为规定的真空度。
在腔室1的侧壁设置有送入送出口57和开闭该送入送出口57的闸阀58,送入送出口57用于在腔室1和与腔室1相邻设置的真空输送室(未图示)之间进行基片W的送入送出。
作为成膜装置100的构成部的加热器电源、阀类、流量控制器、高频电源等,由控制部60控制。控制部60包括具有实际上进行它们的控制的计算机(CPU)的主控制部、输入装置、输出装置、显示装置和存储装置。存储装置中存储有由成膜装置100执行的各种处理的参数,此外安装保存了用于控制成膜装置100所执行的处理的程序即处理方案的存储介质。主控制部进行控制,以调取存储于存储介质的规定的处理方案,基于该处理方案通过成膜装置100进行规定的处理。
下面,对以如以上那样构成的成膜装置100的工作进行说明。
首先,开放闸阀58,用输送装置将晶片W从真空输送室送入腔室1内,并载置在载置台2上。在使输送装置退出后,关闭闸阀58。
接着,对腔室1内连续地供给吹扫气体,利用排气装置53将腔室1内保持为规定的减压状态,并且利用加热器5将载置台2的温度控制为规定温度。
然后,在维持连续地供给吹扫气体的状态下,间歇地供给成膜原料气体,并且在不供给成膜原料气体的时间,利用第一电容耦合等离子体生成部30间歇地生成第一电容耦合等离子体,通过PEALD形成规定的膜。在此时的等离子体生成时,如图4所示,通过从第一高频电源26对喷淋头10的底板11施加高频电功率,在上部电极11与喷淋板12之间形成高频电场。由此,在气体扩散空间14生成第一电容耦合等离子体P1,利用该第一电容耦合等离子体P1将反应气体解离。第一电容耦合等离子体P1作为远程等离子体生成,通过了气体释放孔15的主要为反应气体的自由基,被供给至载置台2上的基片W。
在PEALD中,具体如图5所示,在连续地供给了吹扫气体的状态下,对基片间歇地实施供给成膜原料气体的工序(步骤S1),在步骤S1之间间歇地实施用第一电容耦合等离子体P1将反应气体解离,对基片W供给由此生成的自由基的工序(步骤S2)。由此,能够以穿插着用吹扫气体对腔室1内进行的吹扫(步骤S3)的方式交替地实施步骤S1的成膜原料气体在基片W的吸附和通过步骤S2解离而主要被自由基化的反应气体。此时,能够利用第一电容耦合等离子体P1促进反应气体的解离,该等离子体中的离子在喷淋板12的气体释放孔15中失活,然后在离子阱28被除去,因此,对基片W主要供给反应气体的自由基。此外,能够利用阻抗调整电路29来控制通过离子量。因此,主要是反应气体的自由基与成膜原料气体反应,在基片W上形成规定的膜。因而,能够实现以自由基为主体的、无离子损伤或得到离子控制的良好的成膜。
作为形成的膜的具体例子,通过使用氧化剂、氮化剂等作为反应气体,能够形成SiO2、TiO2、TiN、SiN、TaN、BN等化合物膜。此外,通过使用如H2气体那样的还原气体作为反应气体,能够形成Ti、Ta、W、Si等金属膜。作为成膜原料气体,能够例举出氯化物、氢化物、有机化合物等。
此时,反应气体的供给可以仅在等离子体的生成时间进行,在反应气体仅在等离子体存在时与成膜原料气体反应的情况下,也可以一直供给反应气体。例如,在成膜原料气体为TiCl4气体、反应气体为O2气体的情况下,可以在与吹扫气体一同供给O2气体的状态下,交替地进行TiCl4气体的供给和等离子体的生成,由此形成TiO2膜。
另一方面,在进行上述PEALD的成膜的中途,在规定的时间实施如下工序:利用第二电容耦合等离子体生成部40生成等离子体,对形成于基片W的膜主要进行基于离子促进的蚀刻的工序。在此时的等离子体生成时,如图6所示,通过从第二高频电源33对载置台2内的电极31施加高频电功率,在喷淋板12与电极31之间形成高频电场。由此,在喷淋板12与载置台2之间的空间生成第二电容耦合等离子体P2,使基片W受到基于离子促进的蚀刻作用。此时,作为下部电极的载置台2(电极31)的对置电极为喷淋板12,被施加第一高频电功率的上部电极11从载置台2不可见,因此,能够不依赖于上部的第一匹配器25而供给稳定的高频电功率。即,无论第一电容耦合等离子体P1存在与否,都能够独立地生成第二电容耦合等离子体P2。第二电容耦合等离子体P2以与基片W接触的方式生成,能够得到高效的蚀刻特性。
利用这样的第二电容耦合等离子体P2,能够使PEALD成膜中的基片W受到基于离子促进的蚀刻作用,能够得到如以下[1]~[4]那样的效果。
[1]在进行对如孔或沟槽那样的凹部的埋入,尤其是对深孔的埋入时,在以往的通过PEALD进行成膜时,会如图7所示那样。即,在通过PEALD在形成有凹部202的基片W形成膜203时,如(a)所示,存在在凹部202的开口处过度地沉积膜的情况。在这样的情况下进行成膜时,如(b)所示,在开口产生膜203的突出部分204而开口被堵塞,妨碍向凹部202的底部供给气体。因此,在对凹部202埋入膜203的处理完成之时,会如(c)所示那样残留有空隙205。
于是,如图8所示,在产生了(a)的膜203的突出部分204的状态下,生成第二电容耦合等离子体P2以使基片W受到基于离子促进的蚀刻作用,将膜203的突出部分204除去(参照(b))。由此,不会妨碍向凹部202的底部供给气体,能够实现无空隙的埋入(参照(c))。
[2]如图9所示,在以往的通过PEALD的成膜中,对凹部202埋入膜203时,若从成膜初期的(a)的状态进行成膜,则成为膜203的厚度在凹部202的上部与底部不同的状态(参照(b)),其会成为压力的原因。而且,在对凹部202埋入膜203的处理完成之时,存在在膜203产生压力的情况(参照(c))。
于是,如图10所示,在进行了成膜的(a)的状态(与图9的(b)相同的状态)下,使第二电容耦合等离子体P2的基片W受到基于离子促进的蚀刻作用,使膜厚均匀,由此来调节压力(参照(b))。由此,能够在对凹部202埋入膜203的处理完成之时减小膜压力(参照(c))。
[3]通过用第二电容耦合等离子体P2控制膜203的蚀刻量,如图11所示,能够调节膜203的侧壁203a的厚度a与膜203的底部203b的厚度b之比。由此,能够实现选择成膜。
[4]通过与第一电容耦合等离子体P1同时施加第二电容耦合等离子体P2,能够控制反应气体的自由基与离子的平衡、蚀刻量。此外,能够利用等离子体中的离子来促进原料气体的不必要成分(配体(ligand))的切断。因此,能够恰当地控制基于PEALD的成膜。
作为第一电容耦合等离子体P1和第二电容耦合等离子体P2的施加时间,例示有图12~图14的例子。
在图12的例子中,在与图5同样的时间实施用进行成膜的第一电容耦合等离子体P1使反应气体解离的工序,在与之相同的时间实施生成进行蚀刻的第二电容耦合等离子体P2的工序。由此,能够同时调节成膜、蚀刻的平衡。
在图13的例子中,在与图5同样的时间实施用进行成膜的第一电容耦合等离子体P1使反应气体解离的工序,在用第一电容耦合等离子体P1使反应气体解离的工序的时间的一部分中,实施生成进行蚀刻的第二电容耦合等离子体P2的工序。由此,例如能够在凹部的开口堵塞前的规定时间发挥蚀刻作用。
在图14的例子中,在与图5同样的时间实施用进行成膜的第一电容耦合等离子体P1使反应气体解离的工序,并且将第一电容耦合等离子体P1的生成时间的一部分置换为进行蚀刻的第二电容耦合等离子体P2。即,独立实施用第一电容耦合等离子体P1使反应气体解离的工序和生成第二电容耦合等离子体P2的工序。由此,能够在使用第一电容耦合等离子体P1进行成膜的中途的、例如凹部的开口堵塞前的规定时间,用第二电容耦合等离子体P2实施蚀刻。
如上所述,本实施方式的成膜装置100均设置将喷淋板12用作对置电极之一的、能够分别独立地进行等离子体生成的第一电容耦合等离子体生成部30和第二电容耦合等离子体生成部40。而且,如上所述,用上侧的第一电容耦合等离子体生成部30生成用于成膜的等离子体,用下侧的第二电容耦合等离子体生成部40主要生成能够进行基于离子促进的蚀刻的等离子体。
由此,以生成适于PEALD的电容耦合等离子体的成膜装置为前提,无需使装置结构复杂化,就能够在PEALD成膜时控制等离子体的离子量,发挥蚀刻作用。因此,能够进行与半导体器件的进一步微小化相应的成膜。
专利文献1所记载的以往的PEALD成膜装置,将喷淋头和基座用作一对平行平板电极,通过对喷淋头施加高频电功率而能够在它们之间生成电容耦合等离子体。而且,利用这样的结构的成膜装置,以与本实施方式同样的工序进行了基于PEALD的成膜。但是,电容耦合等离子体能够应对ALD的快速的气体置换,等离子体点火迅速,因而适于PEALD,然而随着近来半导体存储器等的器件的微小化日益发展,在以往的PEALD中存在对微小化的应对并不一定充分的情况。
即,由于半导体器件的微小化的发展,需要对微小的孔及沟槽的良好的埋入性、无压力、无空隙的埋入和选择成膜的技术,在以往的PEALD成膜装置中,难以应对这些要求。尤其是在进行对微小的深孔的埋入时,即使通过PEALD,也存在膜过度附着在开口而难以在底部成膜的情况。
另一方面,专利文献2中提案了为了对微小的沟槽进行埋入,生成ICP型、ECR型等的高密度等离子体,并对基片侧施加偏压,来同时进行基于自由基的成膜和基于离子的蚀刻的HDP-CVD法。即,通过基于离子的蚀刻来应对微小化。
但是,在专利文献2所记载的CVD中,难以进行ALD那样的控制性高的成膜。此外,在专利文献2的ICP型、ECR型的等离子体源中,气体的置换和等离子体点火慢,因此,难以应对PEALD。以往的PEALD使用电容耦合等离子体实现了适于PEALD的方式,但是等离子体生成机构仅以解离反应气体为目的,无法起到基于离子的蚀刻作用。
与此相对,在本实施方式中,如上所述,能够以简单的装置结构,使用适于PEALD的电容耦合等离子体,独立地控制成膜用的等离子体和蚀刻用的等离子体,由此进行与半导体器件的进一步微小化相应的成膜。
以上,对实施方式进行了说明,但应当认为,此次公开的实施方式在所有方面均是示例而非限制性的。上述实施方式在不脱离所附的权利要求及其主旨的情况下可以各种方式进行省略、替换、改变。
附图标记说明
1:腔室,2:载置台,10:喷淋头,11:上部电极,12:喷淋板,13:绝缘部件,14:气体扩散空间,20:气体供给机构,26:第一高频电源,30:第一电容耦合等离子体生成部,31:电极,33:第二高频电源,40:第二电容耦合等离子体生成部,53:排气装置,60:控制部,100:成膜装置,P1:第一电容耦合等离子体,P2:第二电容耦合等离子体,W:基片。

Claims (13)

1.一种通过等离子体ALD在具有凹部的基片上形成规定的膜的成膜装置,其特征在于,包括:
收纳基片的腔室;
在所述腔室内支承基片的载置台;
与所述载置台相对地设置的喷淋头,其具有导电性的上部电极和与该上部电极绝缘的导电性的喷淋板,所述上部电极具有气体导入孔,能够经由所述气体导入孔对位于所述上部电极与所述喷淋头之间的气体扩散空间供给包含用于成膜的成膜原料气体和反应气体的气体,将该气体导入所述腔室内;
包含于所述载置台的电极;
与所述上部电极连接的第一高频电源;
与所述载置台内的所述电极连接的第二高频电源;
控制部;以及
存储有处理方案的存储介质,
所述控制部通过执行所述处理方案来进行控制,以使得:
A,对所述基片间歇地供给所述成膜原料气体,使所述成膜原料气体吸附在所述基片;
B,在不供给所述成膜原料气体的期间,主要将通过用第一电容耦合等离子体使所述反应气体解离而得到的反应气体的自由基供给到所述基片,其中,所述第一电容耦合等离子体通过从所述第一高频电源对所述上部电极供给高频电功率而在所述气体扩散空间中生成;
C,通过交替地实施所述A和所述B而使所述自由基与吸附于所述基片的所述成膜原料气体反应,由此在所述基片上形成规定的膜;
D,在所述C的中途的规定的时间,与所述第一电容耦合等离子体独立地生成使形成于所述基片的膜主要通过离子促进而被蚀刻的第二电容耦合等离子体,其中,所述第二电容耦合等离子体通过从所述第二高频电源对所述电极供给高频电功率而在所述喷淋板与所述载置台内的所述电极之间生成。
2.如权利要求1所述的成膜装置,其特征在于:
代替所述第一高频电源或除所述第一高频电源之外,具有对所述上部电极施加DC脉冲的DC脉冲施加部。
3.如权利要求1所述的成膜装置,其特征在于:
所述第一电容耦合等离子体在所述喷淋头内的气体扩散空间内作为远程等离子体而形成,通过了所述喷淋板的主要为反应气体的自由基,被供给至所述基片。
4.如权利要求1所述的成膜装置,其特征在于:
还包括设置于所述喷淋板的正下方的离子阱,其能够除去通过所述喷淋板的所述第一电容耦合等离子体中的离子。
5.如权利要求1所述的成膜装置,其特征在于:
所述喷淋板接地。
6.如权利要求1所述的成膜装置,其特征在于:
所述喷淋板与接地线连接,还包括设置于所述接地线的阻抗调整电路。
7.如权利要求1所述的成膜装置,其特征在于:
还包括与所述载置台内的所述电极连接的第三高频电源,其具有对所述基片施加高频偏压的功能。
8.一种通过等离子体ALD在具有凹部的基片上形成规定的膜的成膜方法,其特征在于,包括:
工序A,对基片间歇地供给成膜原料气体,使所述成膜原料气体吸附在所述基片;
工序B,在不供给所述成膜原料气体的期间,主要将通过用第一电容耦合等离子体使反应气体解离而得到的反应气体的自由基供给到所述基片;
工序C,通过交替地实施所述工序A和所述工序B而使所述自由基与吸附于所述基片的所述成膜原料气体反应,由此在所述基片上形成规定的膜;以及
工序D,在所述工序C的中途的规定的时间,与所述第一电容耦合等离子体独立地生成第二电容耦合等离子体,其中所述第二电容耦合等离子体使形成于所述基片的膜通过离子促进而被蚀刻。
9.如权利要求8所述的成膜方法,其特征在于:
通过供给所述成膜原料气体,使成膜原料气体吸附在所述基片,使利用所述第一电容耦合等离子体生成的主要为所述反应气体的自由基与吸附于所述基片的所述成膜原料气体反应。
10.如权利要求8所述的成膜方法,其特征在于:
在与用所述第一电容耦合等离子体使反应气体解离而主要将反应气体的自由基供给到所述基片的处理相同的时间,实施生成所述第二电容耦合等离子体的处理。
11.如权利要求8所述的成膜方法,其特征在于:
在进行用所述第一电容耦合等离子体使反应气体解离而主要将反应气体的自由基供给到所述基片的处理的时间的一部分,实施生成所述第二电容耦合等离子体的处理。
12.如权利要求8所述的成膜方法,其特征在于:
将进行用所述第一电容耦合等离子体使反应气体解离而主要将反应气体的自由基供给到所述基片的处理的时间的一部分,置换为生成所述第二电容耦合等离子体的处理来实施。
13.一种使用成膜装置通过等离子体ALD在具有凹部的基片上形成规定的膜的成膜方法,其特征在于:
所述成膜装置包括:
收纳基片的腔室;
在所述腔室内支承基片的载置台;
与所述载置台相对地设置的喷淋头,其具有导电性的上部电极和与该上部电极绝缘的导电性的喷淋板,所述上部电极具有气体导入孔,能够经由所述气体导入孔对位于所述上部电极与所述喷淋头之间的气体扩散空间供给包含用于成膜的成膜原料气体和反应气体的气体,将该气体导入所述腔室内;
包含于所述载置台的电极;
与所述上部电极连接的第一高频电源;以及
与所述载置台内的所述电极连接的第二高频电源,
通过从所述第一高频电源对所述上部电极供给高频电功率,在所述上部电极与所述喷淋板之间形成高频电场,生成第一电容耦合等离子体,
通过从所述第二高频电源对所述电极供给高频电功率,在所述喷淋板与所述电极之间形成高频电场,以使得形成于所述基片的膜主要通过离子促进而被蚀刻的方式,生成与所述第一电容耦合等离子体独立的第二电容耦合等离子体,
所述成膜方法包括:
工序A,对所述基片间歇地供给所述成膜原料气体,使所述成膜原料气体吸附在所述基片;
工序B,在不供给所述成膜原料气体的期间,主要将通过用所述第一电容耦合等离子体使反应气体解离而得到的反应气体的自由基供给到所述基片,其中,所述第一电容耦合等离子体通过从所述第一高频电源对所述上部电极供给高频电功率而在所述气体扩散空间中生成;
工序C,通过交替地实施所述工序A和所述工序B而使所述自由基与吸附于所述基片的所述成膜原料气体反应,由此在所述基片上形成规定的膜;以及
工序D,在所述工序C的中途的规定的时间,与所述第一电容耦合等离子体独立地生成使形成于所述基片的膜主要通过离子促进而被蚀刻的所述第二电容耦合等离子体,其中,所述第二电容耦合等离子体通过从所述第二高频电源对所述电极供给高频电功率而在所述喷淋板与所述载置台内的所述电极之间生成。
CN201980031668.3A 2018-05-21 2019-04-09 成膜装置和成膜方法 Active CN112135925B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法
JP2018-097404 2018-05-21
PCT/JP2019/015389 WO2019225184A1 (ja) 2018-05-21 2019-04-09 成膜装置および成膜方法

Publications (2)

Publication Number Publication Date
CN112135925A CN112135925A (zh) 2020-12-25
CN112135925B true CN112135925B (zh) 2023-05-23

Family

ID=68616320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980031668.3A Active CN112135925B (zh) 2018-05-21 2019-04-09 成膜装置和成膜方法

Country Status (6)

Country Link
US (1) US11578407B2 (zh)
JP (1) JP7126381B2 (zh)
KR (1) KR102571839B1 (zh)
CN (1) CN112135925B (zh)
TW (1) TW202006174A (zh)
WO (1) WO2019225184A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7413099B2 (ja) * 2020-03-16 2024-01-15 東京エレクトロン株式会社 成膜方法および成膜装置
CN113755822B (zh) * 2020-06-04 2024-03-01 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
JP2022015848A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 成膜装置及び成膜方法
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022133762A (ja) * 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023078977A (ja) 2021-11-26 2023-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201105813A (en) * 2009-08-04 2011-02-16 Novellus Systems Inc Depositing tungsten into high aspect ratio features
CN103053011A (zh) * 2010-08-04 2013-04-17 朗姆研究公司 用于中性粒子/离子流通量控制的双等离子体容积处理装置
CN103243310A (zh) * 2012-02-14 2013-08-14 诺发系统公司 用于等离子体激活的保形膜沉积的前体
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107431012A (zh) * 2015-04-20 2017-12-01 东京毅力科创株式会社 蚀刻被蚀刻层的方法
CN107564790A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP4812838B2 (ja) * 2006-07-21 2011-11-09 ルネサスエレクトロニクス株式会社 多孔質絶縁膜の形成方法
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012134288A (ja) 2010-12-21 2012-07-12 Elpida Memory Inc 半導体装置の製造方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014164300A1 (en) * 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
JP6406811B2 (ja) 2013-11-20 2018-10-17 国立大学法人名古屋大学 Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
JP6763274B2 (ja) 2016-10-14 2020-09-30 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
WO2020096817A1 (en) * 2018-11-05 2020-05-14 Lam Research Corporation Directional deposition in etch chamber

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201105813A (en) * 2009-08-04 2011-02-16 Novellus Systems Inc Depositing tungsten into high aspect ratio features
CN103053011A (zh) * 2010-08-04 2013-04-17 朗姆研究公司 用于中性粒子/离子流通量控制的双等离子体容积处理装置
CN103243310A (zh) * 2012-02-14 2013-08-14 诺发系统公司 用于等离子体激活的保形膜沉积的前体
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107431012A (zh) * 2015-04-20 2017-12-01 东京毅力科创株式会社 蚀刻被蚀刻层的方法
CN107564790A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法

Also Published As

Publication number Publication date
TW202006174A (zh) 2020-02-01
KR102571839B1 (ko) 2023-08-28
KR20210006985A (ko) 2021-01-19
CN112135925A (zh) 2020-12-25
JP7126381B2 (ja) 2022-08-26
US20210222296A1 (en) 2021-07-22
US11578407B2 (en) 2023-02-14
WO2019225184A1 (ja) 2019-11-28
JP2019203155A (ja) 2019-11-28

Similar Documents

Publication Publication Date Title
CN112135925B (zh) 成膜装置和成膜方法
CN109477212B (zh) 用于填充间隙的方法和设备
JP5318562B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
JP5253149B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
KR100824088B1 (ko) 성막 처리 방법
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
KR100855617B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20070000870A1 (en) Plasma processing method
JP4426642B2 (ja) 原子層成長装置および原子層成長方法
US20210025060A1 (en) Apparatus for processing substrate
US20070227668A1 (en) Plasma processing apparatus
KR101759769B1 (ko) Ti막의 성막 방법
JP4810281B2 (ja) プラズマ処理装置
US20090314635A1 (en) Plasma processing apparatus, plasma processing method, and organic electron device
KR20080083289A (ko) 기판 처리 방법 및 기판 처리 장치
US20240087885A1 (en) Method of forming silicon nitride film and film forming apparatus
CN117730405A (zh) 用于改进晶片边缘性能的遮蔽环升降机
TW202412066A (zh) 低溫氧化矽間隙填充
CN117577524A (zh) 蚀刻方法和等离子体处理装置
JPWO2007123212A1 (ja) Ti膜の成膜方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant