JP2019203155A - 成膜装置および成膜方法 - Google Patents

成膜装置および成膜方法 Download PDF

Info

Publication number
JP2019203155A
JP2019203155A JP2018097404A JP2018097404A JP2019203155A JP 2019203155 A JP2019203155 A JP 2019203155A JP 2018097404 A JP2018097404 A JP 2018097404A JP 2018097404 A JP2018097404 A JP 2018097404A JP 2019203155 A JP2019203155 A JP 2019203155A
Authority
JP
Japan
Prior art keywords
film
film forming
gas
substrate
coupled plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018097404A
Other languages
English (en)
Other versions
JP7126381B2 (ja
JP2019203155A5 (ja
Inventor
山涌 純
Jun Yamawaki
山涌  純
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2018097404A priority Critical patent/JP7126381B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US17/056,342 priority patent/US11578407B2/en
Priority to PCT/JP2019/015389 priority patent/WO2019225184A1/ja
Priority to CN201980031668.3A priority patent/CN112135925B/zh
Priority to KR1020207035556A priority patent/KR102571839B1/ko
Priority to TW108115835A priority patent/TW202006174A/zh
Publication of JP2019203155A publication Critical patent/JP2019203155A/ja
Publication of JP2019203155A5 publication Critical patent/JP2019203155A5/ja
Application granted granted Critical
Publication of JP7126381B2 publication Critical patent/JP7126381B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】PEALDを用いて半導体デバイスのさらなる微細化に対応した成膜を行うことができる成膜装置および成膜方法を提供する。【解決手段】チャンバー1と、ステージ2と、上部電極11および上部電極11と絶縁されたシャワープレート12を有するシャワーヘッド10と、上部電極11に接続された第1高周波電源26と、ステージ2に含まれる電極31に接続された第2高周波電源33とを備え、第1高周波電源26から上部電極11に高周波電力が供給されることにより、上部電極11およびシャワープレート12の間に高周波電界が形成されて、第1の容量結合プラズマが生成され、第2高周波電源33から電極31に高周波電力が供給されることにより、シャワープレート12と電極31との間に高周波電界が形成されて、第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成され、基板上にプラズマALDにより所定の膜を成膜する成膜装置100。【選択図】図1

Description

本開示は、成膜装置および成膜方法に関する。
近時、半導体デバイスの微細化が進んでおり、微細パターンの成膜に適した成膜技術として、所定の膜を一原子層ずつ成膜する原子層堆積法(Atomic Layer Deposition;ALD)が知られている。最近では使用するガスの反応性を向上させ、プロセス温度を低下することを目的としたプラズALD(PEALD)が注目されている(例えば特許文献1)。
また、微細なトレンチ内にボイドを発生させることなく埋め込みを行う技術として、ICP型、ECR型等の高密度プラズマを発生可能なチャンバーを用い、基板側にバイアスをかけ、成膜とエッチングを同時進行させるHDP−CVD法が知られている(例えば特許文献2)。
特表2009−521594号公報 特開2012−134288号公報
本開示は、PEALDを用いて半導体デバイスのさらなる微細化に対応した成膜を行うことができる成膜装置および成膜方法を提供する。
本開示の一実施形態に係る成膜装置は、基板上にプラズマALDにより所定の膜を成膜する成膜装置であって、基板を収容するチャンバーと、前記チャンバー内で基板を支持するステージと、前記ステージに対向して設けられ、導電性の上部電極および該上部電極と絶縁された導電性のシャワープレートを有し、成膜のための成膜原料ガスおよび反応ガスを含むガスが供給され、該ガスを前記チャンバー内に導入するシャワーヘッドと、前記ステージに含まれる電極と、前記上部電極に接続された第1高周波電源と、前記電極に接続された第2高周波電源とを備え、前記第1高周波電源から前記上部電極に高周波電力が供給されることにより、前記上部電極および前記シャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、前記第2高周波電源から前記電極に高周波電力が供給されることにより、前記シャワープレートと前記電極との間に高周波電界が形成されて、前記第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成される。
本開示によれば、PEALDを用いて半導体デバイスのさらなる微細化に対応した成膜を行うことができる成膜装置および成膜方法が提供される。
一実施形態に係る成膜装置を示す概略断面図である。 一実施形態に係る成膜装置の変形例の一部を示す概略断面図である。 一実施形態に係る成膜装置の他の変形例を示す概略断面図である。 一実施形態に係る成膜装置により第1の容量結合プラズマを生成した際の状態を示す概略断面図である。 一実施形態に係る成膜装置によりPEALDを行う際の基本シーケンスを示すタイミングチャートである。 一実施形態に係る成膜装置により第2の容量結合プラズマを生成した際の状態を示す概略断面図である。 従来のPEALDにより凹部への埋め込みを行う際に凹部の開口が閉塞する状態を説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる凹部への埋め込みにより凹部開口の閉塞が解消されることを説明するための工程断面図である。 従来のPEALDにより凹部への埋め込みを行う際に埋め込んだ膜にストレスが生じることを説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる凹部への埋め込みにより膜ストレスが調整可能なことを説明するための工程断面図である。 一実施形態に係る成膜装置を用いたPEALDによる選択成膜の例を示す断面図である。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングの一例を示すタイミングチャートである。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングの他の例を示すタイミングチャートである。 第1の容量結合プラズマと第2の容量結合プラズマの印加タイミングのさらに他の例を示すタイミングチャートである。
以下、添付図面を参照して実施形態について説明する。
図1は一実施形態に係る成膜装置を示す概略断面図である。
成膜装置100は容量結合型のプラズマ処理装置として構成されており、基板Wに対してPEALDにより所定の膜を成膜する成膜機能とエッチング機能とを有する。基板Wとしては、例えば半導体ウエハを挙げることができるが、これに限定されない。
この成膜装置100は、略円筒状のチャンバー1を有している。チャンバー1は保安設置されている。チャンバー1の内部には、基板Wを水平に載置(支持)するためのステージ2が配置されている。ステージ2は、AlN等の絶縁性セラミックスで構成されており、その中央下部が、チャンバー1の底部から垂直に延びる円筒状の絶縁性セラミックスからなる支持部材3により支持されている。また、ステージ2にはモリブデン等の高融点金属で構成されたヒーター5が埋め込まれており、このヒーター5はヒーター電源(図示せず)から給電されることによりステージ2上の基板Wを所定の温度に加熱する。なお、ステージ2は導電体であってもよい。
なお、図示していないが、ステージ2には、複数の昇降ピンが、昇降機構によりその上面に対し突没可能に挿通されており、複数の昇降ピンの昇降動作により、ステージ2上への基板Wの授受が行われるようになっている。
チャンバー1の天壁には、開口1aを有しており、開口1aには、絶縁部材9を介してシャワーヘッド10が嵌め込まれている。シャワーヘッド10は、全体形状が円筒状をなし、上部プレートである上部電極11と、下面を構成するシャワープレート12と、これらの間に設けられた円筒状の絶縁部材13とを有している。上部電極11とシャワープレート12は、導電性材料で形成されている。シャワーヘッド10の内部は、ガス拡散空間14となっている。上部電極11はその外周にフランジ部11aを有しており、このフランジ部11aが絶縁部材9に支持されている。シャワープレート12には複数のガス吐出孔15が形成されており、上部電極11にはガス導入孔16が形成されている。ガス導入孔16は、成膜のためのガスを供給するガス供給機構20のガスラインに接続されている。
ガス供給機構20は、PEALD成膜を行う際に用いるガス、例えば、形成しようとする膜の構成元素を含む成膜原料ガス、成膜原料ガスと反応する反応ガス、およびパージガス等を供給する。これらガスを供給する配管には、バルブ類およびマスフローコントローラのような流量制御器が設けられている。成膜原料ガスおよび反応ガスは、成膜しようとする膜に応じて種々のものを用いることができる。パージガスとしては、不活性ガス、例えばArガス、Heガス等の希ガスや、Nガスを用いることができる。成膜の際には、パージガスを供給したまま、成膜原料ガスと反応ガスとを交互にかつ間欠的に供給する。また、反応ガスがプラズマの存在下でのみ成膜原料ガスと反応する場合は、反応ガスを供給したままにしてもよい。
シャワーヘッド10の上部電極11には、第1整合器25を介して第1高周波電源26が接続されており、この第1高周波電源26から上部電極11に高周波電力が供給されるようになっている。第1高周波電源26の周波数は、450kHz〜40MHzであることが好ましく、典型例としては13.56MHzである。一方、シャワープレート12には、接地ライン17が接続され、接地されている。上部電極11とシャワープレート12とは絶縁部材13で絶縁されており、これらは一対の平行平板電極を構成している。上部電極11、シャワープレート12、第1高周波電源26により第1の容量結合プラズマ生成部30が構成される。
第1の容量結合プラズマ生成部30においては、第1高周波電源26に高周波電力を印加することにより、上部電極11とシャワープレート12との間に高周波電界が形成され、ガス拡散空間14内に第1の容量結合プラズマが生成される。
第1整合器25は、第1高周波電源26の内部(または出力)インピーダンスに負荷インピーダンスを整合させるものである。第1整合器25は、ガス拡散空間14にプラズマが生成されている時に第1高周波電源26の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
第1の容量結合プラズマ生成部30は、PEALDのためのリモートプラズマ源として構成される。すなわち、ガス拡散空間14に生成された第1の容量結合プラズマにより反応ガスが解離され、解離して生成された主にラジカルがガス吐出孔15を通過してステージ2上の基板Wに供給され、PEALDによる成膜に供される。PEALDに際しては、第1の容量結合プラズマ生成部30は、成膜原料ガスが供給されていないタイミングで、間欠的にプラズマを着火し、反応ガスを解離させる。
また、上部電極11には、DCパルス印加ユニット70が接続されている。DCパルス印加ユニット70は、DC電源71と、DCパルススイッチ72と、フィルター73とを有している。DCパルス印加ユニット70は、第1高周波電源26に替えて用いてもよいし、第1高周波電源26と併用してもよい。併用する場合は、第1高周波電源26からの高周波とDCパルス印加ユニット70からのDCパルスとが重畳されることとなる。DCパルス電源71は、ゼロ〜正のパルス電圧、またはゼロ〜負のパルス電圧を印加することができるため、ガスの特性(極性)に合わせた電力を印加することができる。このため、ガスの解離をより促進することができる。
シャワープレート12の直下には、プラズマ中のイオンをトラップするためのイオントラップ28が設けられている。プラズマ中のイオンは、シャワープレート12のガス吐出孔15でその一部が失活するため、基板Wに供給されるイオンは少ないが、イオントラップ28によりシャワープレート12を通過するイオンをさらに除去することができる。イオントラップ28は、導電体でも絶縁体でもよく、絶縁体の内部に導電体を埋め込んだものであってもよい。また、導電体の場合は、接地されていてもフローティング状態であってもよい。なお、シャワープレート12を通過するプラズマ中のイオンが十分少なければ、イオントラップ28は不要である。
また、図2に示すように、接地ライン17にコイルおよびコンデンサを有するインピーダンス調整回路29を設けることにより、通過イオン量を制御することができる。なお、インピーダンス調整回路29によるインピーダンス調整により、プラズマの生成箇所をシャワープレート12の下に変更することも可能となる。このように、プラズマの生成箇所をシャワープレート12の下に変更することにより、後述する、ステージ2とシャワープレート12との間に形成される容量結合プラズマの密度等の調整幅をより広げることができる。
ステージ2の内部には、電極31が埋設されている。電極31はステージ2に含まれており、ステージ2が導電体の場合は、ステージ2自体が電極として機能する。電極31には、第2整合器32を介して第2高周波電源33が接続されている(図1参照)。第2高周波電源33の周波数は、13.56〜100MHzであることが好ましく、典型例としては40MHzである。シャワープレート12とステージ2内の電極31とは一対の平行平板電極を構成している。シャワープレート12、電極31、第2高周波電源33により第2の容量結合プラズマ生成部40が構成される。
第2の容量結合プラズマ生成部40においては、第2高周波電源33からステージ2の電極31に高周波電力を印加することにより、シャワープレート12と電極31との間に高周波電界が形成され、これらの間に第2の容量結合プラズマが生成される。そして、高周波電力印加によるバイアスによりプラズマ中のイオンが基板Wに引き込まれてイオンアシストエッチングが実現される。すなわち、第2の容量結合プラズマ生成部40は、エッチングのためのプラズマ源として構成される。
第2整合器32は、第2高周波電源33の内部(または出力)インピーダンスに負荷インピーダンスを整合させるものである。第2整合器32は、シャワープレート12とステージ2との間の空間にプラズマが生成されている時に第2高周波電源33の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
なお、図3に示すように、電極31に、第2高周波電源33に加えて、第3整合器36を介して第3高周波電源37を接続してもよい。第3高周波電源37は、プラズマ中のイオンを基板Wに引き込んでイオンの作用を高める高周波バイアスを基板Wに印加する機能を有する。第3高周波電源37の周波数は、第2高周波電源33の周波数よりも小さく、450kHz〜13.56MHzが好ましい。典型例としては13.56MHzである。第3整合器36は、第2整合器32と同様の機能を有する。
チャンバー1の底壁には排気口51が設けられており、排気口51には排気管52が接続されている。排気管52には排気装置53が接続されている。排気装置53は自動圧力制御バルブと真空ポンプを有し、排気装置53によりチャンバー1内を所定の真空度に保持することが可能となっている。
チャンバー1の側壁には、チャンバー1と隣接して設けられた真空搬送室(図示せず)との間で基板Wの搬入出を行うための搬入出口57と、この搬入出口57を開閉するゲートバルブ58とが設けられている。
成膜装置100の構成部であるヒーター電源、バルブ類、流量制御器、高周波電源等は、制御部60により制御される。制御部60は、実際にこれらの制御を行うコンピュータ(CPU)を有する主制御部と、入力装置、出力装置、表示装置、および記憶装置とを有している。記憶装置には、成膜装置100で実行される各種処理のパラメータが記憶されており、また、成膜装置100で実行される処理を制御するためのプログラム、すなわち処理レシピが格納された記憶媒体がセットされるようになっている。主制御部は、記憶媒体に記憶されている所定の処理レシピを呼び出し、その処理レシピに基づいて成膜装置100により所定の処理が行われるように制御する。
次に、以上のように構成された成膜装置100における動作について説明する。
まず、ゲートバルブ58を開放して真空搬送室から搬送装置によりウエハWをチャンバー1内に搬入し、ステージ2上に載置する。搬送装置を退避させた後、ゲートバルブ58を閉じる。
次いで、チャンバー1内にパージガスを連続的に供給し、排気装置53により、チャンバー1内を所定の減圧状態に保持するとともに、ヒーター5によりステージ2の温度を所定温度に制御する。
そして、パージガスを連続的に供給した状態を維持したまま、成膜原料ガスを間欠的に供給するとともに、成膜原料ガスを供給していないタイミングで、第1の容量結合プラズマ生成部30により間欠的に第1の容量結合プラズマを生成して、PEALDにより所定の膜を成膜する。このときのプラズマ生成の際には、図4に示すように、第1高周波電源26からシャワーヘッド10のベースプレート11に高周波電力を印加することにより、上部電極11とシャワープレート12との間に高周波電界を形成する。これにより、ガス拡散空間14に第1の容量結合プラズマP1が生成され、この第1の容量結合プラズマP1により反応ガスが解離される。第1の容量結合プラズマP1は、リモートプラズマとして生成され、ガス吐出孔15を通過した主に反応ガスのラジカルがステージ2上の基板Wに供給される。
PEALDでは、具体的には図5に示すように、パージガスを連続的に供給した状態で、基板へ成膜原料ガスの供給する工程(ステップS1)が間欠的に実施され、第1の容量結合プラズマP1により反応ガスを解離して、それにより生成されたラジカルを基板Wに供給する工程(ステップS2)がステップS1の間で間欠的に実施される。これにより、ステップS1による成膜原料ガスの基板Wへの吸着と、ステップS2により解離されて主にラジカル化した反応ガスとが、パージガスによるチャンバー1内のパージ(ステップS3)を挟んで交互に実施される。このとき第1の容量結合プラズマP1により反応ガスの解離が促進され、このプラズマのうちイオンはシャワープレート12のガス吐出孔15で失活し、さらにイオントラップ28で除去されるため、基板Wへは主に反応ガスのラジカルが供給される。また、インピーダンス調整回路29により通過イオン量を制御することもできる。このため、主に反応ガスのラジカルが成膜原料ガスと反応して、基板W上に所定の膜が成膜される。したがって、ラジカルを主体とした、イオンダメージのない、またはイオンコントロールされた良好な成膜が実現される。
成膜される膜の具体例としては、反応ガスとして酸化剤、窒化剤等を用いることにより、SiO、TiO、TiN、SiN、TaN、BN等の化合物膜を成膜することができる。また、反応ガスとしてHガスのような還元ガスを用いることにより、Ti、Ta、W、Si等の金属膜を成膜することができる。成膜原料ガスとしては、塩化物、水素化物、有機化合物等を挙げることができる。
このとき、反応ガスの供給は、プラズマの生成タイミングのみであってもよいし、反応ガスがプラズマの存在下でのみ成膜原料ガスと反応する場合は、反応ガスを供給したままにしてもよい。例えば、成膜原料ガスがTiClガスで、反応ガスがOガスの場合には、パージガスとともにOガスを供給したままの状態で、TiClガスの供給とプラズマの生成を交互に行うことにより、TiO膜が成膜される。
一方、上記PEALDによる成膜を行っている途中に所定のタイミングで、第2の容量結合プラズマ生成部40によりプラズマを生成し、基板Wに形成された膜に対し、主にイオンアシストによるエッチングを行う工程を実施する。このときのプラズマ生成の際には、図6に示すように、第2高周波電源33からステージ2内の電極31に高周波電力を印加することにより、シャワープレート12と電極31との間に高周波電界を形成する。これにより、シャワープレート12とステージ2との間の空間に第2の容量結合プラズマP2が生成され、基板Wにイオンのアシストによるエッチング作用が及ぼされる。このとき、下部電極であるステージ2(電極31)の対向電極は、シャワープレート12であり、第1高周波電力が印加される上部電極11はステージ2から見えないので、上部の第1整合器25に依存せず、安定した高周波電力の供給が可能となる。すなわち、第2の容量結合プラズマP2は、第1の容量結合プラズマP1の存在に関わらず、独立して生成することができる。第2の容量結合プラズマP2は、基板Wに接するように生成され、効率の良いエッチング特性が得られる。
このような第2の容量結合プラズマP2により、PEALD成膜中の基板Wにイオンのアシストによるエッチング作用をおよぼすことができ、以下の[1]〜[4]のような効果を得ることができる。
[1]ホールやトレンチのような凹部への埋め込み、特に深穴への埋め込みの際には、従来のPEALDによる成膜では、図7に示すようになっていた。すなわち、凹部202が形成された基板WにPEALDにより膜203を成膜すると、(a)に示すように、凹部202の間口に膜が過剰に堆積される場合がある。このような場合、成膜が進行すると、(b)に示すように、間口に膜203のオーバーハング部分204が生じて間口が塞がり、凹部202の底部へのガス供給が妨げられる。このため、凹部202への膜203の埋め込みが完了した時点では、(c)に示すように、ボイド205が残ってしまうことがあった。
そこで、図8に示すように、(a)の膜203のオーバーハング部分204が生じた状態で、第2の容量結合プラズマP2を生成して基板Wにイオンのアシストによるエッチング作用を及ぼし、膜203のオーバーハング部分204を除去する((b)参照)。これにより、凹部202の底部へのガス供給が妨げられず、ボイドのない埋め込みが実現される((c)参照)。
[2]図9に示すように、従来のPEALDによる成膜では、凹部202への膜203の埋め込みの際は、成膜初期の(a)の状態から成膜が進行すると、凹部202の上部と底部で膜203の厚さが異なる状態となり((b)参照)、それがストレスの原因となる。そして、凹部202への膜203の埋め込みが完了した時点では、膜203にストレスが生じることがある((c)参照)。
そこで、図10に示すように、成膜が進行した(a)の状態(図7の(b)と同じ状態)で、第2の容量結合プラズマP2の基板Wにイオンのアシストによるエッチング作用を及ぼして、膜の厚さを均一にすることによりストレスを調整する((b)参照)。これにより、凹部202への膜203の埋め込みが完了した時点で膜ストレスが低減される((c)参照)。
[3]第2の容量結合プラズマP2によって膜203のエッチング量をコントロールすることにより、図11に示すように、膜203の側壁203aの厚さaと、膜203の底部203bの厚さbとの比を調整することができる。これにより、選択成膜を実現することができる。
[4]第2の容量結合プラズマP2を、第1の容量結合プラズマP1と同時に印加することで、反応ガスのラジカルとイオンのバランス、エッチング量をコントロールすることができる。また、プラズマ中のイオンにより、原料ガスの不要成分(リガンド)の切断を促進することができる。このため、PEALDによる成膜を適切に制御することができる。
第1の容量結合プラズマP1と第2の容量結合プラズマP2の印加タイミングとしては、図12〜図14に示すものが例示される。
図12の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施し、それと同じタイミングでエッチングを行う第2の容量結合プラズマP2を生成する工程を実施する。これにより、成膜・エッチングのバランスを同時調整することができる。
図13の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施し、エッチングを行う第2の容量結合プラズマP2を生成する工程を、第1の容量結合プラズマP1により反応ガスを解離させる工程のタイミングの一部で、第1の容量結合プラズマP1の生成と同時に実施する。これにより、例えば、凹部の間口が閉塞する前の所定のタイミングで、エッチング作用を及ぼすことができる。
図14の例は、成膜を行う第1の容量結合プラズマP1により反応ガスを解離させる工程を図5と同様のタイミングで実施するとともに、第1の容量結合プラズマP1の生成タイミングの一部を、エッチングを行う第2の容量結合プラズマP2で置き換え、第1の容量結合プラズマP1により反応ガスを解離させる工程と、第2の容量結合プラズマP2を生成する工程を独立実施する。これにより、第1の容量結合プラズマP1を用いて成膜を行っている途中の、例えば、凹部の間口が閉塞する前の所定のタイミングで、第2の容量結合プラズマP2によりエッチングを実施することができる。
以上のように、本実施形態の成膜装置100は、いずれもシャワープレート12を対向電極の一方として用いる、それぞれ独立してプラズマ生成が可能な第1の容量結合プラズマ生成部30および第2の容量結合プラズマ生成部40を設ける。そして、上述したように、上側の第1の容量結合プラズマ生成部30により、成膜のためのプラズマを生成し、下側の第2の容量結合プラズマ生成部40により、主にイオンアシストによるエッチングが可能なプラズマを生成する。
これにより、PEALDに適した容量結合プラズマを生成する成膜装置を前提とし、装置構成を複雑にすることなく、PEALD成膜の際に、プラズマのイオン量を制御して、エッチング作用を及ぼすことができる。このため、半導体デバイスのさらなる微細化に対応した成膜を行うことができる。
特許文献1に記載されたような従来のPEALD成膜装置は、シャワーヘッドとサセプタを一対の平行平板電極として用い、シャワーヘッドに高周波電力を印加することにより、これらの間に容量結合プラズマを生成可能としていた。そして、このような構成の成膜装置により、本実施形態と同様の工程でPEALDによる成膜を行っていた。しかし、容量結合プラズマはALDの速いガス置換に対応することができ、プラズマ着火が速いため、PEALDに適しているものの、近時、半導体メモリ等のデバイスの微細化が益々進んでおり、従来のPEALDでは微細化への対応が必ずしも十分でない場合が存在していた。
すなわち、半導体デバイスの微細化が進むことにより、微細なホールやトレンチへの良好な埋め込み性、ストレスレス、ボイドレスの埋め込み、および選択成膜の技術が求められているが、従来のPEALD成膜装置ではこれらに対応することは困難であった。特に、微細な深穴への埋め込みの際には、PEALDによっても、間口に膜が過剰に付着して、底部への成膜が困難になる場合がある。
一方、特許文献2には、微細なトレンチに埋め込みを行うために、ICP型、ECR型等の高密度プラズマを発生させ、かつ基板側にバイアスをかけて、ラジカルによる成膜とイオンによるエッチングを同時進行させるHDP−CVD法が提案されている。すなわち、イオンによるエッチングにより、微細化に対応している。
しかし、特許文献2に記載されているCVDでは、ALDのような制御性の高い成膜は困難である。また、特許文献2のICP型、ECR型のプラズマソースでは、ガスの置換やプラズマ着火が遅いため、PEALDに対応することは困難である。従来のPEALDは、容量結合プラズマを用い、PEALDに適した構成を実現しているものの、プラズマ生成機構は、反応ガスの解離を目的としているのみであり、イオンによるエッチング作用を及ぼすことはできなかった。
これに対し、本実施形態では、上述したように、簡単な装置構成で、PEALDに適した容量結合プラズマを用い、成膜用のプラズマとエッチング用のプラズマを独立して制御することにより、半導体デバイスのさらなる微細化に対応した成膜を行うことができる。
以上、実施形態について説明したが、今回開示された実施形態は、全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の特許請求の範囲およびその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
1;チャンバー
2;ステージ
10;シャワーヘッド
11;上部電極
12;シャワープレート
13;絶縁部材
14;ガス拡散空間
20;ガス供給機構
26;第1高周波電源
30;第1の容量結合プラズマ生成部
31;電極
33;第2高周波電源
40;第2の容量結合プラズマ生成部
53;排気装置
60;制御部
100;成膜装置
P1;第1の容量結合プラズマ
P2;第2の容量結合プラズマ
W;基板

Claims (15)

  1. 基板上にプラズマALDにより所定の膜を成膜する成膜装置であって、
    基板を収容するチャンバーと、
    前記チャンバー内で基板を支持するステージと、
    前記ステージに対向して設けられ、導電性の上部電極および該上部電極と絶縁された導電性のシャワープレートを有し、成膜のための成膜原料ガスおよび反応ガスを含むガスが供給され、該ガスを前記チャンバー内に導入するシャワーヘッドと、
    前記ステージに含まれる電極と、
    前記上部電極に接続された第1高周波電源と、
    前記電極に接続された第2高周波電源と
    を備え、
    前記第1高周波電源から前記上部電極に高周波電力が供給されることにより、前記上部電極および前記シャワープレートの間に高周波電界が形成されて、第1の容量結合プラズマが生成され、
    前記第2高周波電源から前記電極に高周波電力が供給されることにより、前記シャワープレートと前記電極との間に高周波電界が形成されて、前記第1の容量結合プラズマとは独立した第2の容量結合プラズマが生成される、成膜装置。
  2. 前記第1高周波電源に替えて、または前記第1高周波電源に加えて、前記上部電極にDCパルスを印加するDCパルス印加ユニットを備える、請求項1に記載の成膜装置。
  3. 前記第1の容量結合プラズマにより前記反応ガスが解離され、主に反応ガスのラジカルが前記成膜原料ガスと反応して、前記基板上に所定の膜が成膜される、請求項1または請求項2に記載の成膜装置。
  4. 前記第1の容量結合プラズマは、前記シャワーヘッド内のガス拡散空間内にリモートプラズマとして形成され、前記シャワープレートを通過した主に反応ガスのラジカルが前記基板に供給される、請求項1または請求項2に記載の成膜装置。
  5. 前記第2の容量結合プラズマにより、前記基板に形成された膜が、主にイオンアシストによりエッチングされる、請求項1から請求項4のいずれか1項に記載の成膜装置。
  6. 前記シャワープレートの直下に設けられ、前記シャワープレートを通過する前記第2の容量結合プラズマ中のイオンを除去するイオントラップをさらに備える、請求項5に記載の成膜装置。
  7. 前記シャワープレートは接地されている、請求項1から請求項6のいずれか1項に記載の成膜装置。
  8. 前記シャワープレートには接地ラインが接続され、前記接地ラインに設けられたインピーダンス調整回路をさらに備える、請求項1から請求項6のいずれか1項に記載の成膜装置。
  9. 前記電極に接続された、前記基板に高周波バイアスを印加する機能を有する第3の高周波電源をさらに備える、請求項1から請求項8のいずれか1項に記載の成膜装置。
  10. 基板上にプラズマALDにより所定の膜を成膜する成膜方法であって、
    基板に対して成膜原料ガスを間欠的に供給する第1工程と、
    前記成膜原料ガスを供給していない期間に間欠的に第1の容量結合プラズマにより反応ガスを解離させて主に反応ガスのラジカルを前記基板に供給する第2工程と、
    任意のタイミングで、前記第1の容量結合プラズマとは独立して、基板にイオンアシストによるエッチング作用を及ぼす第2の容量結合プラズマを生成する第3工程と
    を有する、成膜方法。
  11. 前記第1工程では、前記基板に成膜原料ガスを吸着させ、前記第2工程では、前記第1容量結合プラズマにより生成された主に前記反応ガスのラジカルを前記基板に吸着された前記成膜原料ガスと反応させる、請求項10に記載の成膜方法。
  12. 前記第3工程を、前記第2工程と同様のタイミングで実施する、請求項10または請求項11に記載の成膜方法。
  13. 前記第3工程を、前記第2工程を行うタイミングの一部で、前記第2工程と同時に実施する、請求項10または請求項11に記載の成膜方法。
  14. 前記第2工程を行うタイミングの一部を、前記第3工程で置き換えて実施する、請求項10または請求項11に記載の成膜方法。
  15. 請求項1から請求項9のいずれかの成膜装置により行われる、請求項10から請求項14のいずれか1項に記載の成膜方法。
JP2018097404A 2018-05-21 2018-05-21 成膜装置および成膜方法 Active JP7126381B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法
PCT/JP2019/015389 WO2019225184A1 (ja) 2018-05-21 2019-04-09 成膜装置および成膜方法
CN201980031668.3A CN112135925B (zh) 2018-05-21 2019-04-09 成膜装置和成膜方法
KR1020207035556A KR102571839B1 (ko) 2018-05-21 2019-04-09 성막 장치 및 성막 방법
US17/056,342 US11578407B2 (en) 2018-05-21 2019-04-09 Film-forming apparatus and film-forming method
TW108115835A TW202006174A (zh) 2018-05-21 2019-05-08 成膜裝置及成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法

Publications (3)

Publication Number Publication Date
JP2019203155A true JP2019203155A (ja) 2019-11-28
JP2019203155A5 JP2019203155A5 (ja) 2021-04-08
JP7126381B2 JP7126381B2 (ja) 2022-08-26

Family

ID=68616320

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018097404A Active JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法

Country Status (6)

Country Link
US (1) US11578407B2 (ja)
JP (1) JP7126381B2 (ja)
KR (1) KR102571839B1 (ja)
CN (1) CN112135925B (ja)
TW (1) TW202006174A (ja)
WO (1) WO2019225184A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021187087A1 (ja) * 2020-03-16 2021-09-23 東京エレクトロン株式会社 成膜方法および成膜装置
CN113755822A (zh) * 2020-06-04 2021-12-07 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
WO2022009746A1 (ja) * 2020-07-10 2022-01-13 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2022185916A1 (ja) * 2021-03-02 2022-09-09 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
JP2023016733A (ja) * 2021-07-22 2023-02-02 セメス カンパニー,リミテッド 基板処理装置
KR20230078523A (ko) 2021-11-26 2023-06-02 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2014196561A (ja) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド ライナーアセンブリ及びこれを備える基板処理装置
JP2014531753A (ja) * 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2008010591A1 (fr) * 2006-07-21 2008-01-24 Nec Corporation Procédé de formation d'un film isolant poreux
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP2012134288A (ja) 2010-12-21 2012-07-12 Elpida Memory Inc 半導体装置の製造方法
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN105122431A (zh) * 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6516542B2 (ja) 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR20210072826A (ko) * 2018-11-05 2021-06-17 램 리써치 코포레이션 에칭 챔버의 방향성 증착

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2014531753A (ja) * 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ
JP2014196561A (ja) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド ライナーアセンブリ及びこれを備える基板処理装置
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021187087A1 (ja) * 2020-03-16 2021-09-23 東京エレクトロン株式会社 成膜方法および成膜装置
JP7413099B2 (ja) 2020-03-16 2024-01-15 東京エレクトロン株式会社 成膜方法および成膜装置
CN113755822A (zh) * 2020-06-04 2021-12-07 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
CN113755822B (zh) * 2020-06-04 2024-03-01 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
WO2022009746A1 (ja) * 2020-07-10 2022-01-13 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2022185916A1 (ja) * 2021-03-02 2022-09-09 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
JP2023016733A (ja) * 2021-07-22 2023-02-02 セメス カンパニー,リミテッド 基板処理装置
KR20230078523A (ko) 2021-11-26 2023-06-02 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법

Also Published As

Publication number Publication date
TW202006174A (zh) 2020-02-01
US20210222296A1 (en) 2021-07-22
JP7126381B2 (ja) 2022-08-26
KR20210006985A (ko) 2021-01-19
WO2019225184A1 (ja) 2019-11-28
CN112135925A (zh) 2020-12-25
KR102571839B1 (ko) 2023-08-28
US11578407B2 (en) 2023-02-14
CN112135925B (zh) 2023-05-23

Similar Documents

Publication Publication Date Title
WO2019225184A1 (ja) 成膜装置および成膜方法
KR100960162B1 (ko) 성막 처리 방법
JP5318562B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
JP6426893B2 (ja) コンタクト層の形成方法
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9502236B2 (en) Substrate processing apparatus, non-transitory computer-readable recording medium and method of manufacturing semiconductor device
US20210025060A1 (en) Apparatus for processing substrate
KR101759769B1 (ko) Ti막의 성막 방법
JP2014159625A (ja) TiN膜の成膜方法および記憶媒体
JP4810281B2 (ja) プラズマ処理装置
JPWO2007125836A1 (ja) Ti膜の成膜方法
JPWO2007123212A1 (ja) Ti膜の成膜方法
JPWO2007125837A1 (ja) Ti膜の成膜方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220413

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220719

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220816

R150 Certificate of patent or registration of utility model

Ref document number: 7126381

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150