TW201937703A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201937703A
TW201937703A TW107140101A TW107140101A TW201937703A TW 201937703 A TW201937703 A TW 201937703A TW 107140101 A TW107140101 A TW 107140101A TW 107140101 A TW107140101 A TW 107140101A TW 201937703 A TW201937703 A TW 201937703A
Authority
TW
Taiwan
Prior art keywords
gate
substrate
semiconductor device
conductive layer
stacked structures
Prior art date
Application number
TW107140101A
Other languages
English (en)
Other versions
TWI701810B (zh
Inventor
林翊娟
莊強名
吳尚彥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201937703A publication Critical patent/TW201937703A/zh
Application granted granted Critical
Publication of TWI701810B publication Critical patent/TWI701810B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76294Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using selective deposition of single crystal silicon, i.e. SEG techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

一種製造半導體裝置的方法,包括以下步驟。基底具有虛擬區域及記憶體單元區域。在記憶體單元區域的基底上方形成多個第一堆疊結構。在虛擬區域中的基底上方形成至少一個第二堆疊結構。在基底上方形成導電層,以覆蓋第一堆疊結構及至少一個第二堆疊結構。對導電層進行平坦化製程,以暴露第一堆疊結構及至少一個第二堆疊結構的頂表面。圖案化導電層,以在相鄰的兩個第一堆疊結構之間形成抹除閘極,以及在相鄰的兩個第一堆疊結構外部形成第一選擇閘極及第二選擇閘極。

Description

半導體裝置及其製造方法
由於半導體業界為追求更高裝置密度、更高性能以及更低成本已進展為奈米技術製程節點,因此在減少構形變化及降低微影操作的數量上面臨挑戰。
應理解,以下揭示內容提供用於實施本發明的不同特徵的許多不同實施例或實例。下文描述元件及佈置的具體實施例或實例以簡化本公開。當然,這些只是實例且並不意欲為限制性的。舉例來說,元件的尺寸並不限於所揭示的範圍或值,但可取決於處理條件及/或裝置的所需性質。此外,在以下描述中,第一特徵在第二特徵上方或上的形成可包括第一特徵與第二特徵直接接觸所形成的實施例,並且還可包括形成額外特徵以插入第一特徵與第二特徵之間,從而使得第一特徵與第二特徵可以不直接接觸的實施例。為簡單及清楚起見,各種特徵可按不同比例任意拉伸。
此外,為易於描述如圖式中所示的一個元件或特徵與另一元件或特徵的關係,可在本文中使用諸如「在…下面(beneath)」、「在…下方(below)」、「下部(lower)」、「在…上方(above)」、「上部(upper)」等空間上相對的術語。除了圖式中所描繪的取向之外,空間上相對的術語意圖涵蓋在使用或操作中的裝置的不同取向。裝置可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞同樣地可相應地進行解釋。此外,術語「由…製成」可意味著「包括」或「由…組成」中的任一個。
在本實施例中,半導體裝置包括非揮發性記憶體(non-volatile memory;NVM)單元及周邊電路(例如邏輯電路)。周邊電路還可包括動態隨機存取記憶體(dynamic random access memories;DRAM)、靜態隨機存取記憶體(static random access memories;SRAM),或其組合。NVM單元通常需要其中堆疊有多個層(例如多晶矽層)的堆疊結構,而周邊邏輯電路通常包括具有單個多晶矽層的場效應電晶體(field effect transistors;FET)。由於結構差異,當例如圖案化NVM單元及周邊邏輯電路上方的導電層以分別形成字元線及閘電極時,NVM單元區域與周邊邏輯電路區域之間的導電層存在高度差。此高度差可能影響導電層上的其它蝕刻製程的性能。
圖1A至圖1L是繪示出根據本公開的一個實施例的製造包括記憶體的半導體裝置的連續製程的橫截面視圖。應理解,額外操作可在圖1A至圖1L所示的製程之前、期間及之後提供,且對於方法的額外實施例來說,可替換或移除下文所述的一些操作。
參考圖1A,提供基底100。在一些實施例中,基底100為例如p型矽基底,其中摻雜劑濃度在約1 × 1015 cm-3 至約1 × 1018 cm-3 的範圍內。在其它實施例中,基底為n型矽基底,其中摻雜劑濃度在約1 × 1015 cm-3 至約1 × 1018 cm-3 的範圍內。或者,基底可包括另一元素半導體,例如鍺;化合物半導體,包括IV-IV族化合物半導體(例如,SiC及SiGe)、III-V族化合物半導體(例如,GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GalnAs、GalnP及/或GalnAsP);或其組合。在一實施例中,基底是絕緣體上矽(silicon-on-insulator;SOI)基底的矽層。
參考圖1A,多個隔離結構101形成於基底100中。舉例而言,隔離結構101為淺溝渠隔離(shallow trench isolations;SIT)。在一些實施例中,隔離結構101可由以下步驟形成。罩幕層(未繪示)形成於基底100上,且通過微影操作及蝕刻操作來圖案化罩幕層。隨後,通過使用圖案化罩幕層作為蝕刻罩幕,蝕刻基底100以形成溝渠。在一些實施例中,溝渠的深度在約250奈米(nm)至約350奈米的範圍內。溝渠由絕緣材料或介電材料(例如氧化矽)填充,且接著進行平坦化操作(例如CMP或回蝕刻製程),以移除絕緣材料層的上部部分,由此形成隔離結構101。
基底100未經蝕刻且在俯視圖中由隔離結構101包圍或分隔開的區域被稱為主動區。如圖1A中所示,在一些實施例中,基底100包括虛擬區域DR、邏輯區域LR以及記憶體單元區域MR。虛擬區域DR、邏輯區域LR以及記憶體單元區域MR可通過隔離結構101分隔開。在一些實施例中,記憶體單元區域MR包括非揮發性記憶體(NVM)單元,例如快閃記憶體單元,且邏輯區域LR可包括邏輯電路(例如電晶體)、揮發性記憶體單元(例如DRAM、SRAM等等)或其組合。基本上,虛擬區域DR被稱作記憶體單元區域MR及邏輯區域LR之外的其它區域。在一些替代實施例中,可基於產品的設計及需求來調整虛擬區域DR、邏輯區域LR以及記憶體單元區域MR的數量及配置。
在形成隔離結構101之後,在基底100上方依序形成第一介電層102、第一導電層104、第二介電層106、第二導電層108以及頂蓋層110。在一些實施例中,在記憶體單元區域MR中,第一介電層102待用作記憶體單元的穿隧介電層;第一導電層104待用作記憶體單元的浮置閘極;且第二導電層108待用作記憶體單元的控制閘極。
在一些實施例中,被用於記憶體單元的穿隧氧化層的第一介電層102由氧化矽製成。在一些實施例中,第一介電層102的厚度在約1奈米至約50奈米的範圍內。第一介電層102可由熱氧化或化學氣相沉積(chemical vapor deposition;CVD)形成。
在一些實施例中,第一導電層104為多晶矽。在其它實施例中,第一導電層是用以作為閘電極的任何合適金屬或金屬氮化物。第一導電層104可由CVD形成。在一些實施例中,沉積的第一導電層104的厚度在約20奈米至約200奈米的範圍內。在一些實施例中,第一導電層104的厚度通過平坦化操作減小,所述操作例如化學機械研磨(chemical-mechanical polishing;CMP)或回蝕刻方法。在一些實施例中,在平坦化操作之後,第一導電層104的厚度在約10奈米至約50奈米的範圍內。在一些實施例中,第一導電層104為多晶矽,且適當地摻雜有p型摻雜劑(例如硼)或n型摻雜劑(例如磷)。在一些其它實施例中,第一導電層104為非晶矽層。
在一些實施例中,第二介電層106包括氧化矽層、氮化矽層或包括氧化矽及氮化矽的多層結構。在一實施例中,氧化矽-氮化矽-氧化矽(oxide-silicon nitride-silicon oxide;ONO)層被用作第二介電層106。在一些實施例中,第二介電層的厚度在約1奈米至約100奈米的範圍內。第二介電層106可由CVD、物理氣相沉積(physical vapor deposition;PVD)或原子層沉積(atomic layer deposition;ALD)形成。
在一些實施例中,第二導電層108可為由CVD形成的多晶矽層,且第二導電層108的厚度在約10奈米至約100奈米的範圍內。在一些其它實施例中,第二導電層108為多晶矽,且適當地摻雜有p型摻雜劑(例如硼)或n型摻雜劑(例如磷)。
在一些實施例中,頂蓋層110由通過CVD形成的氧化矽或氮化矽製成,且其厚度在約100奈米至約250奈米的範圍內。在一些實施例中,頂蓋層110包括一層或多層。舉例而言,頂蓋層110為包括按順序由氧化矽、氮化矽以及氧化矽製成的ONO三層的複合結構。在一些實施例中,複合結構的每個層的厚度可彼此相同或不同。
接著,進行包括微影及蝕刻的圖案化操作,圖案化頂蓋層110、第二導電層108以及第二介電層106,如圖1B中所示。
在頂蓋層110、第二導電層108以及第二介電層106的圖案化操作之後,在頂蓋層110、第二導電層108以及第二介電層106的相對側上形成第一間隙壁112,如圖1C所示。在一些實施例中,第一間隙壁112由合適介電材料的一層或多層製成。在整個基底100上方例如通過CVD形成介電材料的一個或多個毯覆層,且接著進行非等向性蝕刻,由此形成第一間隙壁112。在一些實施例中,第一間隙壁112的厚度在約10奈米至約50奈米的範圍內。儘管圖1C中示出的第一間隙壁112為單層,但不應限制本公開的各種實施例。在一些替代實施例中,第一間隙壁112包括由兩個氧化矽層包夾的氮化矽層的ONO膜(未示出)。在一些其它實施例中,第一間隙壁112由氮化矽或氮氧化矽的單層製成。
在形成第一間隙壁112之後,使用第一間隙壁112及圖案化的頂蓋層110作為罩幕層進行乾式蝕刻以圖案化第一導電層104,如圖1D中所示。在此情況下,如圖1D中所示,圖案化的第一多晶矽層104的寬度大於圖案化的第二導電層108的寬度。
此外,形成第二間隙壁114,如圖1E中所示,且在記憶體單元區域MR中的基底100上形成抹除閘介電層118,如圖1F中所示。在一些實施例中,第二間隙壁114由合適介電材料的一層或多層製成。在一實施例中,第二間隙壁114由通過CVD形成的氧化矽製成。抹除閘介電層118由氧化矽製成。在一些實施例中,形成氧化矽層,且接著圖案化氧化矽層,以在抹除閘極區域移除氧化矽層,且接著進行濕式氧化,由此形成抹除閘介電層118。在一些實施例中,還形成用作選擇閘極(例如字元線)的閘介電層。在一些實施例中,第一介電層102保留為閘介電層。在某些實施例中,薄化待用作選擇閘極的閘介電層的第一介電層102的暴露部分。也就是說,第一介電層102包括第一導電層104下方的第一介電層102a以及外露於第一導電層104的第一介電層102b。第一介電層102a的第一厚度及第一介電層102b的第二厚度彼此不同。在一些實施例中,第一介電層102a的第一厚度大於或小於第一介電層102b的第二厚度。在一些替代實施例中,第一導電層104下方的第一介電層102a的第一厚度及外露於第一導電層104的第一介電層102b的第二厚度相同。
通過前述操作,形成堆疊結構S1、堆疊結構S2以及堆疊結構S3,如圖1F中所示。詳細地說,堆疊結構S1、堆疊結構S2以及堆疊結構S3中的每一個從下到上依序包括第一介電層102、第一導電層104、第二介電層106、第二導電層108以及頂蓋層110。堆疊結構S1、堆疊結構S2以及堆疊結構S3中的每一個更包括第二介電層106的側壁、第二導電層108的側壁以及頂蓋層110的側壁上方的第一間隙壁112,以及第一間隙壁112及第一導電層104上方的第二間隙壁114。在一些實施例中,相鄰的兩個堆疊結構S1及堆疊結構S2為記憶體單元區域MR中的基底100上方的一對記憶體單元,而堆疊結構S3為虛擬區域DR中的基底100上方的虛擬閘極結構。在一些實施例中,虛擬閘極結構(例如堆疊結構S3)及一對記憶體單元(例如堆疊結構S1及堆疊結構S2)同時形成。在一些實施例中,相鄰的兩個堆疊結構S1及堆疊結構S2之間的距離D1在約200奈米至約400奈米的範圍內。
在形成抹除閘介電層118之後,進行離子植入製程以在抹除閘介電層118下方的基底100中形成摻雜區域116。在一些實施例中,摻雜區域116為共同源極區域。摻雜區域116可摻雜有p型摻雜劑或n型摻雜劑。在一些實施例中,基底100或基底100中的阱(未繪示)為p型基底或p阱,n型摻雜劑(例如磷)可摻雜於基底100或阱中以形成摻雜區域116。在一些其它實施例中,基底或基底100中的阱為n型基底或n阱,p型摻雜劑(例如硼)可摻雜於基底100或阱中以形成摻雜區域116。
隨後,如圖1G中所示,在圖1F的結構上方形成第三導電層120。也就是說,形成第三導電層120以覆蓋堆疊結構S1、堆疊結構S2以及堆疊結構S3、第一介電層102以及抹除閘介電層118。在一些實施例中,第三導電層120包括多晶矽層,例如摻雜多晶矽層或未摻雜多晶矽層。第三導電層120可通過與第一導電層104或第二導電層106相同的製程形成。在一些實施例中,通過CVD共形地形成第三導電層120,如圖1G中所示。也就是說,第三導電層120具有凹槽121,其位於堆疊結構S1與堆疊結構S2之間且在抹除閘介電層118上方。在一些實施例中,凹槽121的深度D2在約50奈米至約100奈米的範圍內。另一方面,在一些實施例中,在邏輯區域LR中的第三導電層120的頂表面120L與堆疊結構S2上方的第三導電層120的最頂部表面120U之間的平坦表面處所測量的高度差H1在約200奈米至約300奈米的範圍內。如圖1G中所示,邏輯區域LR中的第三導電層120的頂表面120L低於抹除閘介電層118上方的第三導電層120的頂表面120E。
隨後,如圖1H中所示,進行平坦化製程以移除第三導電層120的上部部分,從而暴露堆疊結構S1、堆疊結構S2以及堆疊結構S3的頂表面110U。在一些實施例中,平坦化製程包括化學機械研磨(CMP)製程。在一些實施例中,還移除頂蓋層110的上部部分、第一間隙壁112的上部部分以及第二間隙壁114的上部部分,以確保完全移除堆疊結構S1、堆疊結構S2以及堆疊結構S3上方的第三導電層120。在此情況下,如圖1H中所示,堆疊結構S1的頂表面110U、堆疊結構S2的頂表面110U以及堆疊結構S3的頂表面110U和堆疊結構S1、堆疊結構S2以及堆疊結構S3周圍的第三導電層120的最頂部表面120U'為共面的。通過平坦化製程,抹除閘極124形成於堆疊結構S1與堆疊結構S2之間。如圖1H中所示,台階123存在於抹除閘極124的最高頂表面124U與最低頂表面124L之間。在一些實施例中,台階123的台階高度H2在1奈米至50奈米的範圍內。
應注意,虛擬區域DR中的基底100上方的堆疊結構S3(例如虛擬閘極)能夠減小CMP製程的負載效應(loading effect)。CMP製程的負載效應是由於記憶體單元區域MR與記憶體單元區域MR以外的其它區域之間的圖案密度的差異而產生。也就是說,舉例而言,如圖2中所示,堆疊結構S1、堆疊結構S2以及堆疊結構S3投影到基底100上的總面積與基底100的面積的比率可增加到10%到90%的範圍。因此,在本發明的實施例中,與僅在基底100上方形成堆疊結構S1及堆疊結構S2相比較,圖2的CMP製程的負載效應可相對降低。
堆疊結構S3配置在堆疊結構S1與堆疊結構S2周圍。在一些實施例中,堆疊結構S3配置在堆疊結構S1及堆疊結構S2的末端周圍以及堆疊結構S1及堆疊結構S2旁邊,但不限於此。在一些實施例中,堆疊結構S3均勻分布。在一些其它實施例中,堆疊結構S3不均勻地分布。
在一些實施例中,堆疊結構S3具有相同圖案。在一些其它實施例中,堆疊結構S3具有不同圖案。堆疊結構S3的一個或多個圖案可與堆疊結構S1或/和堆疊結構S2的圖案相同或不同。
在一些實施例中,如圖2中所示,堆疊結構S1及堆疊結構S2可為橫向延伸的條狀圖案。然而,本發明的實施例不限於此。在一些替代實施例中,堆疊結構S1及堆疊結構S2可為彼此分隔開的島狀圖案。類似地,儘管圖2中的堆疊結構S3示出為彼此分隔開的多個島狀圖案,但不應限制本公開的各種實施例。在一些替代實施例中,堆疊結構S3可為條狀圖案,網狀圖案或其組合。
參考圖1H及圖1I,光阻圖案122隨後形成於圖1H的結構上方。使用光阻圖案122作為蝕刻罩幕,將未被光阻圖案122覆蓋的第三導電層120圖案化(例如通過蝕刻),以移除部分第三導電層120,由此分別在堆疊結構S1、堆疊結構S2外部形成選擇閘極126(例如字元線),如圖1I所示。同時,在一些實施例中,如圖1I所示,還在圖案化第三導電層120的過程期間形成邏輯閘極128。在一些實施例中,在圖案化第三導電層120期間,移除虛擬區域DR中的基底100上方的第三導電層120,以暴露第一介電層102的頂表面。
參考圖1J,移除光阻圖案122。在一些實施例中,邏輯閘極128的高度低於堆疊結構S1、堆疊結構S2以及堆疊結構S3的高度。儘管圖1J中僅示出一個邏輯閘極128,但不應限制本公開的各種實施例。在一些替代實施例中,可基於產品的設計及需求來調整邏輯閘極128的數量及配置。
在形成選擇閘極126之後,如圖1I及圖1J中所示,一對記憶體單元MC形成於記憶體單元區域MR中的基底100上方。詳細地說,所述一對記憶體單元MC包括相鄰的兩個堆疊結構S1及堆疊結構S2,堆疊結構S1與堆疊結構S2之間的抹除閘極124,以及分別位於堆疊結構S1、堆疊結構S2的相對側壁上方的選擇閘極126。堆疊結構S1及堆疊結構S2中的每一個從下到上依序包括用作穿隧介電層的第一介電層102(下文被稱作穿隧介電層102)、用作浮置閘極的第一導電層104(下文被稱作浮置閘極104)、用作閘間介電層的第二介電層106(下文被稱作閘間介電層106)、用作控制閘極的第二導電層108(下文被稱作控制閘極108)以及頂蓋層110。所述一對記憶體單元MC更包括閘間介電層106、控制閘極108以及頂蓋層110的側壁上方的第一間隙壁112,以及第一間隙壁112及浮置閘極104上方的第二間隙壁114。第一間隙壁112及第二間隙壁114用來使浮置閘極104(或控制閘極108)與抹除閘極124電性隔離,以及使浮置閘極104(或控制閘極108)與選擇閘極126電性隔離。
參考圖1I及圖1J,在移除光阻圖案122之後,在堆疊結構S3的相對側壁、邏輯閘極128的相對側壁以及選擇閘極126的相對側壁上方形成第三間隙壁130。在一些實施例中,第三間隙壁130由合適介電材料的一層或多層製成,所述介電材料例如氧化矽、氮化矽、氮氧化矽或其組合。第三間隙壁130可由與第一間隙壁112、第二間隙壁114相同的製程形成,於此便不再贅述。在一些實施例中,如圖1J所示,第三間隙壁130的高度與第二間隙壁114的高度相同。也就是說,第三間隙壁130與第二間隙壁114處於相同水平。換句話說,第三間隙壁130的最高水平、第一間隙壁112的最高水平以及第二間隙壁114的最高水平是相同的。在一些其它實施例中,第三間隙壁130的最頂部與第二間隙壁114的最頂部之間的高度差小於約100奈米。第三間隙壁130的最頂部與第二間隙壁114的最頂部之間的高度差在約30奈米至約100奈米的範圍內。
在一些實施例中,如圖1J中所示,在形成第三間隙壁130期間,移除未被第三間隙壁130覆蓋的部分第三介電層102,以暴露基底100的頂表面。
在形成第三間隙壁130之後,如圖1K中所示,對記憶體單元區域MR中的暴露基底100進行離子植入製程,以在記憶體單元區域MR中的基底100中形成摻雜區域132。詳細地說,摻雜區域132形成於所述一對記憶體單元MC的相對側處的基底100中。在一些實施例中,摻雜區域132被稱作位元線。摻雜區域132可摻雜有p型摻雜劑或n型摻雜劑。在一些實施例中,基底100或基底100中的阱為p型基底或p阱,且n型摻雜劑(例如磷)可摻雜於基底100或阱中以形成摻雜區域132。在一些其它實施例中,基底100或基底100中的阱為n型基底或n阱,p型摻雜劑(例如硼)可摻雜於基底100或阱中以形成摻雜區域132。摻雜區域132及摻雜區域116具有相同的導電類型摻雜劑。
在一些實施例中,如圖1K中所示,摻雜區域134形成於邏輯區域LR中的基底100中。詳細地說,摻雜區域134形成於邏輯閘極結構LG(包括閘介電層102及閘介電層102上方的邏輯閘極128)的相對側處的基底100中。在一些實施例中,摻雜區域134被稱作源極及/或汲極區域(S/D區域)。摻雜區域134可摻雜有p型摻雜劑或n型摻雜劑。在一些實施例中,基底100或基底100中的阱為p型基底或p阱,n型摻雜劑(例如磷)可摻雜於基底100或阱中以形成摻雜區域134。在一些其它實施例中,基底100或基底100中的阱為n型基底或n阱,且p型摻雜劑(例如硼)可摻雜於基底100或阱中以形成摻雜區域134。
在一些實施例中,摻雜區域132及摻雜區域134同時形成。然而,本發明的實施例不限於此。在一些替代實施例中,摻雜區域134在形成摻雜區域132之前形成,或摻雜區域134在形成摻雜區域132之後形成。在一些實施例中,進行離子植入製程以形成摻雜區域132及摻雜區域134,而光阻圖案(未繪示)覆蓋虛擬區域DR中的基底100,以防止摻雜劑植入虛擬區域DR中的基底100中。換句話說,摻雜區域未形成於堆疊結構S3旁邊的虛擬區域DR中。
參考圖1L,在形成摻雜區域132及摻雜區域134之後,層間介電(interlayer dielectric;ILD)層136形成於基底100上方。在一些實施例中,形成ILD材料層以覆蓋堆疊結構S3、邏輯閘極結構LG、所述一對記憶體單元MC以及基底100,且接著進行例如CMP的平坦化操作,以形成ILD層136。在一些實施例中,ILD層136包括介電材料,所述介電材料例如氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼磷矽玻璃(borophosphosilicate glass;BPSG)、旋塗式玻璃(spin-on glass;SOG)、氟化二氧化矽玻璃(fluorinated silica glass;FSG)、碳摻雜氧化矽(例如SiCOH)、聚醯亞胺及/或其組合。在一些其它實施例中,ILD層136包括低k介電材料。應注意,低k介電材料通常為介電常數低於3.9的介電材料。低k介電材料的實例包括BLACK DIAMOND®(加利福尼亞州聖克拉拉的應用材料公司(Applied Materials of Santa Clara, Calif.))、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶形氟化碳、聚對二甲苯基(Parylene)、雙苯並環丁烯(bis-benzocyclobutenes;BCB)、Flare、SILK®(密西根州米德蘭陶氏化學公司(Dow Chemical, Midland, Mich.))、氫矽倍半氧烷(hydrogen silsesquioxane;HSQ)或氟化氧化矽(fluorinated silicon oxide;SiOF)及/或其組合。應理解,ILD層136可包括一或多種介電材料及/或一或多個介電層。在一些實施例中,ILD層136通過CVD、HDPCVD、SACVD、旋塗或其它合適方法沉積為合適厚度。
在形成ILD層136之後,在ILD層136中形成接觸結構138,以電性連接至邏輯閘極128,且在ILD層136中形成接觸結構140,以電性連接至摻雜區域132。在一些實施例中,接觸結構138及接觸結構140包括導電材料,例如金屬、多晶矽、矽化物或其組合。金屬可包括W、Cu、Al或其組合。在一些實施例中,接觸結構138及接觸結構140的形成包括以下製程。罩幕層(未繪示)形成於ILD層136上方,且通過微影操作及蝕刻操作來圖案化罩幕層。隨後,通過使用圖案化罩幕層作為蝕刻罩幕,蝕刻ILD層136以形成穿過ILD層136的接觸孔(未繪示)。使用例如W的導電材料填充接觸孔,且接著進行例如CMP或回蝕刻製程的平坦化操作,以移除ILD層136的頂表面上方的導電材料的上部部分,由此形成接觸結構138及接觸結構140。在一些實施例中,在形成導電材料之前,接觸結構138更包括阻擋層或膠合層,例如鈦、鉭、氮化鈦、氮化鉭或其組合。
如圖1L中所示,在一些實施例中,在形成ILD層136之前,多個矽化物層142形成於摻雜區域132及摻雜區域134、兩個選擇閘極126、抹除閘極124上方以及邏輯閘極128上方。在一些實施例中,矽化物層142包括矽化鎳(nickel silicide;NiSi)、矽化鈷(cobalt silicide;CoSi)、矽化鈦(titanium silicide;TiSi)、矽化鎢(tungsten silicide;WSi)、矽化鉬(molybdenum silicide;MoSi)、矽化鉑(platinum silicide;PtSi)、矽化鈀(palladium silicide;PdSi)或其組合。在一些實施例中,矽化物層142通過進行包括以下步驟的自對準矽化物(self-aligned silicide or salicide)製程形成。形成金屬層(未繪示)以至少覆蓋摻雜區域132及摻雜區域134以及邏輯閘極128。其後,進行退火製程,以使金屬層與和其接觸的摻雜區域132、摻雜區域134以及邏輯閘極128反應,以形成矽化物層142。隨後移除未反應的金屬層。
如圖1L中所示,在形成接觸結構138及接觸結構140之後,半導體裝置10便形成了。詳細地說,半導體裝置10包括基底100、虛擬區域DR中的虛擬閘極結構DG、邏輯區域LR中的邏輯閘極結構LG以及記憶體單元區域MR中的記憶體單元MC。每個記憶體單元包括相鄰的兩個堆疊結構S1及堆疊結構S2、兩個選擇閘極126以及抹除閘極124。兩個選擇閘極126分別位於兩個堆疊結構S1及堆疊結構S2外部。抹除閘極124位於相鄰的兩個堆疊結構S1及堆疊結構S2之間。在一些實施例中,如圖1L中所示,第二寬度W2大於第一寬度W1。在一些其它實施例中,第二寬度W2比第一寬度W1大兩倍。舉例而言,兩個選擇閘極126中的一個的第一寬度W1在約170奈米至約210奈米的範圍內,而抹除閘極124的第二寬度W2在約290奈米至約360奈米的範圍內。
如圖1L中所示,選擇閘極126的頂表面實質上為平坦的。在一些其它實施例中,選擇閘極126的頂表面在截面視圖中具有條形表面或平表面。在一些其它實施例中,選擇閘極126中的一個的最高頂表面與最低頂表面之間的差小於10奈米。換句話說,在一些實施例中,選擇閘極126中的一個的頂表面及抹除閘極124的最高頂表面124U為實質上共面的。台階高度H2存在於抹除閘極124的最高頂表面124U與最低頂表面124L之間,以使在截面視圖中抹除閘極124的頂表面為U形表面。在一些實施例中,台階高度H2的範圍為1奈米至50奈米。換句話說,在一些實施例中,抹除閘極124的最高頂表面124U與最低頂表面124L之間的台階高度H2大於選擇閘極126中的一個的最高頂表面與最低頂表面之間的高度差。
在一些實施例中,由於抹除閘極124的頂表面具有U形表面,因此形成於抹除閘極124的頂表面上方的矽化物層142也具有U形表面。類似地,由於選擇閘極126的頂表面具有條形表面或平表面,因此形成於選擇閘極126的頂表面上方的矽化物層142在截面視圖中也具有條形表面或平表面。
在一些實施例中,如圖1L中所示,選擇閘極126通過矽化物層142電性連接至ILD層136上方的內連件(未繪示)。然而,虛擬閘極結構DG是電性浮置。也就是說,虛擬閘極結構DG未電性連接至任何外部電路。
根據本公開的一些實施例提供一種製造半導體裝置的方法包括以下步驟。提供包括虛擬區域及記憶體單元區域的基底。在記憶體單元區域的基底上方形成多個第一堆疊結構。在虛擬區域中的基底上方形成至少一個第二堆疊結構。在基底上方形成導電層,以覆蓋第一堆疊結構及至少一個第二堆疊結構。對導電層進行平坦化製程,以暴露第一堆疊結構的頂表面及至少一個第二堆疊結構的頂表面。圖案化導電層,以在相鄰的兩個第一堆疊結構之間形成抹除閘極,並在相鄰的兩個第一堆疊結構外部形成第一選擇閘極及第二選擇閘極。
根據本公開的替代實施例,一種半導體裝置包括基底、多個記憶體單元以及至少一個虛擬閘極結構。基底具有虛擬區域及記憶體單元區域。記憶體單元位於記憶體單元區域中的基底上方。每個記憶體單元包括基底上的相鄰的兩個堆疊結構、兩個選擇閘極以及抹除閘極。兩個選擇閘極分別位於兩個堆疊結構外部。抹除閘極位於相鄰的兩個堆疊結構之間。台階高度存在於抹除閘極的最高頂表面與最低頂表面之間。至少一個虛擬閘極結構位於虛擬區域中的基底上方。
根據本公開的另外替代實施例,一種製造具有記憶體的半導體裝置的方法包括以下步驟。在基底上方形成多個堆疊結構。每個堆疊結構從下到上包括第一介電層、第一導電層、第二介電層、第二導電層以及頂蓋層。每個堆疊結構更包括間隙壁,所述間隙壁位於第一導電層的側壁、第二介電層的側壁、第二導電層的側壁以及頂蓋層的側壁上方且覆蓋第一介電層。在基底上方共形地形成第三導電層,以覆蓋堆疊結構。對第三導電層進行平坦化製程,以暴露堆疊結構的頂表面。在進行平坦化製程之後,圖案化第三導電層,以在相鄰的兩個堆疊結構外部以及第一介電層上形成兩個選擇閘極,並在相鄰的兩個堆疊結構之間形成抹除閘極。
前文概述若干實施例的特徵以使本領域的技術人員可更好地理解本公開的各方面。所屬領域的技術人員應瞭解,其可以易於使用本公開作為設計或修改用於進行本文中所介紹的實施例的相同目的和/或獲得相同優勢的其它方法和結構的基礎。所屬領域的技術人員還應認識到,此類等效構造並不脫離本公開的精神及範圍,且其可在不脫離本公開的精神及範圍的情況下在本文中進行各種改變、替代以及更改。
10‧‧‧半導體裝置
100‧‧‧基底
101‧‧‧隔離結構
102、102a、102b‧‧‧第一介電層
104‧‧‧第一導電層
106‧‧‧第二介電層
108‧‧‧第二導電層
110‧‧‧頂蓋層
110U‧‧‧頂表面
112‧‧‧第一間隙壁
114‧‧‧第二間隙壁
116、132、134‧‧‧摻雜區域
118‧‧‧抹除閘介電層
120‧‧‧第三導電層
120E、120L‧‧‧第三導電層的頂表面
120U、120U'‧‧‧第三導電層的最頂部表面
121‧‧‧凹槽
122‧‧‧光阻圖案
123‧‧‧台階
124‧‧‧抹除閘極
124L‧‧‧抹除閘極的最低頂表面
124U‧‧‧抹除閘極的最高頂表面
126‧‧‧選擇閘極
128‧‧‧邏輯閘極
130‧‧‧第三間隙壁
136‧‧‧層間介電層
138、140‧‧‧接觸結構
142‧‧‧矽化物層
DG‧‧‧虛擬閘極結構
DR‧‧‧虛擬區域
D1‧‧‧距離
D2‧‧‧深度
H1‧‧‧高度差
H2‧‧‧台階高度
LG‧‧‧邏輯閘極結構
LR‧‧‧邏輯區域
MC‧‧‧記憶體單元
MR‧‧‧記憶體單元區域
S1、S2、S3‧‧‧堆疊結構
W1‧‧‧第一寬度
W2‧‧‧第二寬度
根據結合附圖閱讀的以下詳細描述最好地理解本公開的各方面。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。實際上,為了論述清楚起見,可任意增大或減小各種特徵的尺寸。
圖1A至圖1L繪示出根據本公開的一個實施例的製造包括記憶體的半導體裝置的連續製程的橫截面視圖。
圖2是根據本公開的另一實施例的包括記憶體的半導體裝置的俯視圖。

Claims (20)

  1. 一種製造半導體裝置的方法,包括: 提供具有虛擬區域及記憶體單元區域的基底; 在所述記憶體單元區域中的所述基底上方形成多個第一堆疊結構; 在所述虛擬區域中的所述基底上方形成至少一個第二堆疊結構,其中所述至少一個第二堆疊結構包括虛擬閘極結構; 在所述基底上方形成導電層,以覆蓋所述第一堆疊結構及所述至少一個第二堆疊結構; 對所述導電層進行平坦化製程,以暴露所述第一堆疊結構的頂表面及所述至少一個第二堆疊結構的頂表面;以及 圖案化所述導電層,以在相鄰的兩個第一堆疊結構之間形成抹除閘極,並在所述相鄰的兩個第一堆疊結構外部形成第一選擇閘極及第二選擇閘極。
  2. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述平坦化製程包括化學機械研磨製程。
  3. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述相鄰的兩個第一堆疊結構形成有包括: 第一浮置閘極及第二浮置閘極,其中所述抹除閘極形成於所述第一浮置閘極與所述第二浮置閘極之間,且所述第一選擇閘極及所述第二選擇閘極分別形成於所述第一浮置閘極及所述第二浮置閘極外部;以及 第一控制閘極及第二控制閘極,分別形成於所述第一浮置閘極及所述第二浮置閘極上方。
  4. 如申請專利範圍第3項所述的製造半導體裝置的方法,其中所述抹除閘極具有台階,其位於所述抹除閘極的最高頂表面與最低頂表面之間。
  5. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述虛擬閘極結構的數目為多個,且多個虛擬閘極結構位於所述多個第一堆疊結構周圍。
  6. 如申請專利範圍第5項所述的製造半導體裝置的方法,其中所述多個虛擬閘極結構及所述多個第一堆疊結構同時形成。
  7. 如申請專利範圍第5項所述的製造半導體裝置的方法,其中所述多個第一堆疊結構及所述多個虛擬閘極結構投影到所述基底上的總面積與所述基底的面積的比率在10%與90%之間。
  8. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述圖案化所述導電層更包括在邏輯區域中的所述基底上形成至少一個邏輯閘極。
  9. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述圖案化所述導電層更包括移除所述虛擬區域中的所述基底上方的所述導電層。
  10. 一種半導體裝置,包括: 基底,具有記憶體單元區域及虛擬區域; 多個記憶體單元,位於所述記憶體單元區域中的所述基底上方,其中各所述記憶體單元包括: 相鄰的兩個堆疊結構,位於所述基底上; 兩個選擇閘極,分別位於所述兩個堆疊結構外部;以及 抹除閘極,位於相鄰的兩個堆疊結構之間,其中所述抹除閘極具有位於所述抹除閘極的最高頂表面與最低頂表面之間的台階;以及 至少一個虛擬閘極結構,位於所述虛擬區域中的所述基底上方。
  11. 如申請專利範圍第10項所述的半導體裝置,其中所述抹除閘極的頂表面包括U形表面。
  12. 如申請專利範圍第10項所述的半導體裝置,其中所述兩個選擇閘極的頂表面包括平坦表面。
  13. 如申請專利範圍第10項所述的半導體裝置,其中各所述堆疊結構從下到上包括穿隧介電層、浮置閘極、閘間介電層以及控制閘極。
  14. 如申請專利範圍第13項所述的半導體裝置,更包括: 第一間隙壁,位於所述控制閘極的側壁處及所述閘間介電層的側壁處;以及 第二間隙壁,位於所述第一間隙壁的側壁處及所述浮置閘極的側壁處。
  15. 如申請專利範圍第10項所述的半導體裝置,更包括至少一個邏輯閘極結構,所述邏輯閘極結構位於邏輯區域中的所述基底上方。
  16. 如申請專利範圍第15項所述的半導體裝置,其中所述至少一個邏輯閘極結構的高度低於所述至少一個虛擬閘極的高度、低於所述選擇閘極的高度,以及低於所述抹除閘極的高度。
  17. 如申請專利範圍第10項所述的半導體裝置,其中所述至少一個虛擬閘極結構及所述堆疊結構投影到所述基底上的總面積與所述基底的面積的比率在10%到90%的範圍內。
  18. 一種製造半導體裝置的方法,包括: 在基底上方形成多個堆疊結構,各所述堆疊結構從下到上包括第一介電層、第一導電層、第二介電層、第二導電層以及頂蓋層,各所述堆疊結構更包括間隙壁,其位於所述第一導電層的側壁、所述第二介電層的側壁、所述第二導電層的側壁以及所述頂蓋層的側壁上方,且覆蓋所述第一介電層; 在所述基底上方共形地形成第三導電層,以覆蓋所述多個堆疊結構及所述第一介電層; 對所述第三導電層進行平坦化製程,以暴露所述多個堆疊結構的頂表面;以及 在進行所述平坦化製程之後,圖案化所述第三導電層,以在所述第一介電層上以及相鄰的兩個堆疊結構外部形成兩個選擇閘極,並在所述相鄰的兩個堆疊結構之間形成抹除閘極。
  19. 如申請專利範圍第18項所述的製造半導體裝置的方法,更包括: 在共形地形成所述第三導電層之前,在所述相鄰的兩個堆疊結構之間的所述基底上形成抹除閘介電層,並在所述相鄰的兩個堆疊結構之間的所述基底中形成第一摻雜區域; 在所述兩個選擇閘極的側壁處及所述第一介電層上形成另外兩個間隙壁;以及 在所述另外兩個間隙壁旁邊的在所述基底中分別形成兩個第二摻雜區域。
  20. 如申請專利範圍第18項所述的製造半導體裝置的方法,更包括在所述基底上方及所述多個堆疊結構周圍形成多個虛擬閘極結構,其中所述多個虛擬閘極結構及所述多個堆疊結構同時形成。
TW107140101A 2017-11-13 2018-11-12 半導體裝置及其製造方法 TWI701810B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762584918P 2017-11-13 2017-11-13
US62/584,918 2017-11-13
US16/180,026 US10825914B2 (en) 2017-11-13 2018-11-05 Manufacturing method of semiconductor device
US16/180,026 2018-11-05

Publications (2)

Publication Number Publication Date
TW201937703A true TW201937703A (zh) 2019-09-16
TWI701810B TWI701810B (zh) 2020-08-11

Family

ID=66433621

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140101A TWI701810B (zh) 2017-11-13 2018-11-12 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US10825914B2 (zh)
CN (1) CN109786386B (zh)
TW (1) TWI701810B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744006B (zh) * 2020-01-16 2021-10-21 台灣積體電路製造股份有限公司 記憶體元件及其製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535670B2 (en) * 2016-02-25 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory having an erase gate formed between two floating gates with two word lines formed on other sides and a method for forming the same
US10825914B2 (en) * 2017-11-13 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor device
TWI702715B (zh) * 2019-07-24 2020-08-21 漢薩科技股份有限公司 半導體元件及其製造方法
CN110729296B (zh) * 2019-10-21 2022-03-22 长江存储科技有限责任公司 三维存储器及其形成方法
CN111079201B (zh) * 2019-12-09 2021-12-03 华中科技大学 一种安全nvm系统及其崩溃后的数据恢复方法
US11362218B2 (en) * 2020-06-23 2022-06-14 Silicon Storage Technology, Inc. Method of forming split gate memory cells with thinned side edge tunnel oxide
CN114823918A (zh) 2021-01-22 2022-07-29 联华电子股份有限公司 闪存存储器及其制作方法
TW202308125A (zh) 2021-08-02 2023-02-16 聯華電子股份有限公司 半導體記憶元件及其製作方法
CN114743976A (zh) * 2022-05-10 2022-07-12 北京知存科技有限公司 半导体器件及其制造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885856A (en) * 1996-08-21 1999-03-23 Motorola, Inc. Integrated circuit having a dummy structure and method of making
TWI289344B (en) * 2006-01-02 2007-11-01 Powerchip Semiconductor Corp Method of fabricating flash memory
CN100517723C (zh) * 2006-01-23 2009-07-22 株式会社东芝 非易失性半导体存储器件
TW200826242A (en) * 2006-12-12 2008-06-16 Powerchip Semiconductor Corp Manufacturing method of non-volatile memory
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
US20150364549A1 (en) * 2014-06-11 2015-12-17 Mediatek Inc. Semiconductor device with silicon carbide embedded dummy pattern
TWI612563B (zh) * 2014-07-07 2018-01-21 聯華電子股份有限公司 金屬閘極結構與其製作方法
US9559146B2 (en) * 2014-12-23 2017-01-31 Intel Corporation Phase-change memory cell implant for dummy array leakage reduction
US20160190146A1 (en) * 2014-12-29 2016-06-30 GLOBAL FOUNDRIES Singapore Pte. Ltd. Integrated circuits and methods for fabricating memory cells and integrated circuits
US9634019B1 (en) * 2015-10-01 2017-04-25 Silicon Storage Technology, Inc. Non-volatile split gate memory cells with integrated high K metal gate, and method of making same
KR102514041B1 (ko) * 2015-12-09 2023-03-24 삼성전자주식회사 반도체 소자 제조 방법
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US10535670B2 (en) * 2016-02-25 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory having an erase gate formed between two floating gates with two word lines formed on other sides and a method for forming the same
US10026741B2 (en) * 2016-11-18 2018-07-17 Taiwan Semiconductor Manufacturing Company Ltd. Logic-compatible memory cell manufacturing method and structure thereof
US10134748B2 (en) * 2016-11-29 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary structure for embedded memory
US10510544B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory semiconductor device and manufacturing method thereof
US20180175209A1 (en) * 2016-12-20 2018-06-21 Globalfoundries Inc. Semiconductor structure including one or more nonvolatile memory cells and method for the formation thereof
US10720516B2 (en) * 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack structure and method for forming the same
US10515977B2 (en) * 2017-07-26 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Boundary design to reduce memory array edge CMP dishing effect
US10672783B2 (en) * 2017-08-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for manufacturing the same
US10535574B2 (en) * 2017-09-20 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cell-like floating-gate test structure
US10825914B2 (en) * 2017-11-13 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor device
US10381360B1 (en) * 2018-03-22 2019-08-13 Globalfoundries Singapore Pte. Ltd. Control gate dummy for word line uniformity and method for producing the same
US10784270B2 (en) * 2018-06-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method to improve fill-in window for embedded memory
US10644013B2 (en) * 2018-08-15 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary structure for embedded memory
US11189727B2 (en) * 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11380769B2 (en) * 2019-10-01 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Select gate spacer formation to facilitate embedding of split gate flash memory
US11211469B2 (en) * 2020-05-28 2021-12-28 Taiwan Semiconductor Manufacturing Company Limited Third generation flash memory structure with self-aligned contact and methods for forming the same
US11527630B2 (en) * 2020-06-24 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744006B (zh) * 2020-01-16 2021-10-21 台灣積體電路製造股份有限公司 記憶體元件及其製造方法
US11183571B2 (en) 2020-01-16 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof

Also Published As

Publication number Publication date
US20220320315A1 (en) 2022-10-06
CN109786386B (zh) 2022-11-11
US10825914B2 (en) 2020-11-03
CN109786386A (zh) 2019-05-21
US20190148513A1 (en) 2019-05-16
TWI701810B (zh) 2020-08-11
US11764285B2 (en) 2023-09-19
US20210043752A1 (en) 2021-02-11
US11411097B2 (en) 2022-08-09

Similar Documents

Publication Publication Date Title
TWI701810B (zh) 半導體裝置及其製造方法
US11778811B2 (en) Semiconductor memory device and method of fabricating the same
CN108962994B (zh) 用于形成不同晶体管的源极/漏极区的注入
US11417670B2 (en) Structure and method for single gate non-volatile memory device
JP6249888B2 (ja) 半導体装置
JP3648376B2 (ja) 半導体装置の製造方法
JP4086926B2 (ja) 半導体装置及びその製造方法
US7799643B2 (en) Method of fabricating semiconductor device having self-aligned contact plug
US8314025B2 (en) Method of forming semiconductor device having contact plug
US7906389B2 (en) Butted source contact and well strap
KR101718794B1 (ko) 반도체 소자의 제조 방법
TWI700782B (zh) 半導體元件及其製造方法
TW201913938A (zh) 積體電路及其製造方法
US20070099125A1 (en) Fabrication Method for a Damascene Bit Line Contact Plug
TWI708369B (zh) 在導電插塞上具有導電頂蓋層之半導體元件及其製備方法
JP4256742B2 (ja) 一体化した金属絶縁体金属コンデンサおよび金属ゲート・トランジスタの形成方法
US8026604B2 (en) Semiconductor devices having contact holes including protrusions exposing contact pads
KR19990006511A (ko) 수직형 트랜지스터
US6380596B1 (en) Method of forming a local interconnect, method of fabricating integrated circuitry comprising an sram cell having a local interconnect and having circuitry peripheral to the sram cell, and method of forming contact plugs
US6967161B2 (en) Method and resulting structure for fabricating DRAM cell structure using oxide line spacer
JP2005203615A (ja) 半導体記憶装置、半導体装置およびそれらの製造方法
US20240090204A1 (en) Semiconductor device with conductive cap layer over conductive plug and method for forming the same
JP2002190592A (ja) 半導体装置及びその製造方法
CN117956790A (zh) 半导体存储器件
CN115472571A (zh) 半导体结构及其制造方法