TW201926688A - 積體電路結構與積體電路設計系統 - Google Patents

積體電路結構與積體電路設計系統 Download PDF

Info

Publication number
TW201926688A
TW201926688A TW107142026A TW107142026A TW201926688A TW 201926688 A TW201926688 A TW 201926688A TW 107142026 A TW107142026 A TW 107142026A TW 107142026 A TW107142026 A TW 107142026A TW 201926688 A TW201926688 A TW 201926688A
Authority
TW
Taiwan
Prior art keywords
cell
cells
integrated circuit
active regions
column
Prior art date
Application number
TW107142026A
Other languages
English (en)
Other versions
TWI691073B (zh
Inventor
蕭錦濤
超源 楊
曾健庭
黃星凱
逸群 劉
蔡逸群
方上維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926688A publication Critical patent/TW201926688A/zh
Application granted granted Critical
Publication of TWI691073B publication Critical patent/TWI691073B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11851Technology used, i.e. design rules
    • H01L2027/11855Twin-tub technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11862Horizontal or vertical grid line density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路結構包括:在第一方向上延伸的第一多個胞元列,所述多個第一胞元列中的每一者具有第一列高度且包括設置於其中的多個第一胞元;以及在第一方向上延伸的第二多個胞元列,所述多個第二胞元列中的每一者具有與第一列高度不同的第二列高度且包括設置於其中的多個第二胞元。所述多個第一胞元包括第一多個主動區,所述第一多個主動區中的每一者在第一方向上跨越所述多個第一胞元連續地延伸,且其中所述多個第二胞元包括第二多個主動區,所述第二多個主動區中的每一者在第一方向上跨越所述多個第二胞元連續地延伸。

Description

積體電路結構與積體電路設計系統
通常,電子設計自動化(electronic design automation,EDA)工具輔助半導體設計者獲取所期望電路的純粹行為描述,且用於形成電路的精巧佈局以供進行製造。此過程通常對電路進行行為描述且將行為描述轉變為功能描述,所述功能描述然後被分解成數千個布林函數(Boolean function)並使用標準胞元庫被映射至相應的胞元列中。在映射之後,執行合成以將結構設計轉變成實體佈局,建立時脈樹以將結構元件同步,且在佈局之後對設計進行最佳化。
為避免來自各自不同的胞元庫的胞元間出現不對齊,通常使用來自一個標準胞元庫的胞元,所述胞元的胞元高度等於胞元列的高度(下文中稱為「列高度」)。如此,通常在相對早期的設計階段便決定將哪種「單一」胞元高度用於設計,藉此也將對應的列高度固定下來。然而,由於僅使用單一胞元高度,因此必須在電路效能、電路功率及製造製程之間做出一些妥協。舉例而言,可藉由沿胞元列並排地設置每一者皆具有較高數目個主動區(例如,鰭)的多個胞元來形成以效能為導向的電路;且可藉由沿胞元列並排地設置每一者皆具有較低數目個主動區的多個胞元來形成以功率/面積為導向的電路。
因此,為設計出需要在不犧牲其效能的情況下消耗低的電力且佔據小的面積的電路(例如,以平衡為導向的電路),通常會做出各種設計妥協。舉例而言,具有較低數目個鰭的第一多個胞元交替地設置於具有較高數目個主動區的第二多個胞元之間。此種佈局會導致所述第二多個胞元中的主動區中的一者或多者的延伸不連續(通常被稱為「中斷鰭」(broken fins),或更通常地被稱為「中斷主動區」(broken active regions)),不利之處是此可能會降低電路的效能(由於中斷鰭導致鬆弛的應變/應力)。因此,使用胞元來設計電路的傳統技術無法令人完全滿意。
以下揭露內容闡述各種示例性實施例以實施標的的不同特徵。下文闡述組件及排列的具體實例以使本發明的實施例簡潔。當然,該些僅是實例並不旨在進行限制。舉例而言,在以下說明中,第一特徵形成於第二特徵之上或形成於第二特徵上可包括第一特徵與第二特徵形成為直接接觸的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間以使第一特徵與第二特徵不可直接接觸的實施例。另外,本發明的實施例可在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,本質上並不表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明起見,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」等空間相對用語來闡述圖中所說明的一個元件或特徵與另外的元件或特徵的關係。除了圖中所繪示的定向之外,所述空間相對用語亦旨在涵蓋裝置在使用或操作中的不同定向。可對設備進行其他定向(旋轉90度或處於其他定向),且同樣地對本文中所使用的空間相對描述符相應地加以解釋。
本發明的實施例提供系統及方法的各種實施例來設計使用多個胞元列或列的電路,所述多個胞元列或列中的每一者包括一個或多個胞元,所述一個或多個胞元沿第一方向並排地設置且各自具有沿第一方向延伸的相同數目個主動區(例如,鰭)。更具體而言,設置於每一列內的相應主動區中的每一者跨越一個或多個胞元連續地延伸。此外,根據一些實施例,此多個列可沿與第一方向實質上正交的第二方向設置,且可具有至少兩個各自不同的列高度。換言之,設置於具有不同的列高度的相應列內的胞元具有不同數目個主動區。如此,可使用所揭露的系統及方法來設計每一者可用於各自不同的應用(例如,以效能為導向的應用、以功率/面積為導向的應用、以平衡為導向的應用等)中的各種電路,所述各種電路不存在上文所闡述的現有技術通常會遇到的中斷鰭的問題。
圖1A、圖1B、圖1C分別說明根據一些實施例由本發明的實施例的系統及方法設計的示例性積體電路100、示例性積體電路140及示例性積體電路180的示意圖。然而,並非所有的所說明組件皆被需要,且本發明的一些實施例可包括圖1A至圖C中未示出的額外組件。可在不背離本文中所述的本發明的實施例範疇的情況下對組件的排列及類型做出變化。可包括額外組件、不同的組件或更少的組件。
首先參考圖1A,積體電路100包括相對於網格101排列(例如,佈局)於相應的「胞元列」或「列」中的胞元群組100-1、100-2、100-3、100-4及100-5。此外,在一些實施例中,每一胞元群組包括沿各自的列並排地設置的一個或多個(標準)胞元。舉例而言,胞元群組100-1包括排列於網格101的列1中的胞元100-1a、胞元100-1b、胞元100-1c及胞元100-1d;胞元群組100-2包括排列於網格101的列2中的胞元100-2a、100-2b、100-2c及100-2d;胞元群組100-3包括排列於網格101的列3中的胞元100-3a、胞元100-3b、胞元100-3c及胞元100-3d;胞元群組100-4包括排列於網格101的列4中的胞元100-4a、胞元100-4b、胞元100-4c及胞元100-4d;且胞元群組100-5包括排列於網格101的列5中的胞元100-5a、胞元100-5b、胞元100-5c及胞元100-5d。
在一些實施例中,積體電路100的列可呈現出至少兩個各自不同的「列高度」。如所示,列1、列2、列4及列5可共有實質上類似的列高度,在下文中被稱為「列高度A」;且列3可具有在下文中被稱為「列高度B」的列高度,其中列高度A大於列高度B。在一些實施例中,此列高度對應於放置於列中的胞元的胞元高度,將如下對此加以論述。
在一些實施例中,自具有不同的胞元高度的相應標準胞元庫擷取胞元群組100-1、胞元群組100-2、胞元群組100-3、胞元群組100-4及胞元群組100-5的胞元。更具體而言,可自第一標準胞元庫(下文中「胞元庫A」)擷取胞元群組100-1、胞元群組100-2、胞元群組100-4及胞元群組100-5的胞元,所述第一標準胞元庫包括各自共有第一胞元高度(下文中「胞元高度A」)的多個胞元;且可自第二標準胞元庫(下文中「胞元庫B」)擷取胞元群組100-3的胞元,所述第二標準胞元庫包括各自共有第二胞元高度(下文中「胞元高度B」)的多個胞元。換言之,胞元100-1a至胞元100-1d、胞元100-2a至胞元100-2d、胞元100-4a至胞元100-4d以及胞元100-5a至胞元100-5d是胞元庫A的子集,且胞元100-3a至胞元100-3d是胞元庫B的子集。胞元庫A中的胞元100-1a至胞元100-1d、胞元100-2a至胞元100-2d、胞元100-4a至胞元100-4d及胞元100-5a至胞元100-5d以及胞元庫B中的胞元100-3a至胞元100-3d中的每一者與特定邏輯功能及/或特性(例如,時序特性)相關聯。因此注意,儘管胞元100-1a至100-1d、胞元100-2a至胞元100-2d、胞元100-4a至胞元100-4d及胞元100-5a至胞元100-5d是自胞元庫A擷取,但不是全部皆共有相同的胞元寬度,胞元庫B的胞元100-3a至胞元100-3d亦是如此。在一些實施例中,藉由如所示地排列胞元群組100-1至胞元群組100-5,積體電路100可呈現出特定特性,例如以速度為導向的電路、以平衡為導向的電路或以功率/面積為導向的電路,下文將對此加以更詳細地論述。
如上文所述,每一列的列高度對應於放置於其中的胞元的胞元高度。在一些實施例中,每一列的列高度實質上接近放置於其中的胞元的胞元高度。此外,胞元群組100-1至胞元群組100-5的胞元中的每一者的胞元高度可對應於主動區的數目,所述主動區在第一方向(例如,X方向)上連續地伸展且沿第二方向(例如,Y方向)設置。在一些實施例中,此主動區可以是一個或多個三維場效電晶體(例如,鰭形場效電晶體(Fin field-effect-transistor,FinFET)、環繞式閘極(gate-all-around,GAA)電晶體)的鰭形區、或者一個或多個平面金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect-transistor,MOSFET)的氧化物界定(oxide-definition,OD)區,其中主動區可用作各自的電晶體的源極特徵或汲極特徵。舉例而言,胞元100-1a至胞元100-d、胞元100-2a至胞元100-2d、胞元100-4a至胞元100-4d及胞元100-5a至胞元100-5d的胞元高度A可對應於沿Y方向設置的較高數目個主動區;且胞元100-3a至胞元103-d的胞元高度B可對應於沿Y方向的較低個數目主動區,下文將關於圖2A對此加以更詳細地示出及論述。
參考圖1B,積體電路140包括相對於網格141排列(例如,佈局)於相應「列」中的胞元群組140-1、胞元群組140-2、胞元群組140-3、胞元群組140-4、胞元群組140-5、胞元群組140-6、胞元群組140-7及胞元群組140-8。舉例而言,胞元群組140-1包括排列於網格141的列1中的胞元140-1a、胞元140-1b、胞元140-1c及胞元140-1d;胞元群組140-2包括排列於網格141的列2中的胞元140-1a、胞元140-2b、胞元140-2c及胞元140-2d;胞元群組140-3包括排列於網格141的列3中的胞元140-3a及胞元140-3b;胞元群組140-4包括排列於網格141的列4中的胞元140-4a、胞元140-4b及胞元140-4c;胞元群組140-5包括排列於網格141的列5中的胞元140-5a、胞元140-5b、胞元140-5c及胞元140-5d;胞元群組140-6包括排列於網格141的列6中的胞元140-6a、胞元140-6b及胞元140-6c;胞元群組140-7包括排列於網格141的列7中的胞元140-7a及胞元140-7b;且胞元140-8包括排列於網格141的列8中的胞元140-8a、胞元140-8b、胞元140-8c、胞元140-8d及胞元140-8e。在一些實施例中,胞元群組140-1、胞元群組140-2、胞元群組140-4、胞元群組140-5、胞元群組140-7及胞元群組140-8的胞元可自上述標準胞元庫B擷取(例如,與積體電路100的胞元100-3a至胞元100-3d相同);且胞元群組140-3及胞元群組140-6的胞元可自上述標準胞元庫A擷取(例如,與積體電路100的胞元100-1a至胞元100-1d相同)。在一些實施例中,積體電路140的列1、列2、列4、列5、列7及列8可各自具有與列高度B實質上類似的列高度;且積體電路140的列3及列6可各自具有與列高度A實質上類似的列高度。如此,胞元140-1a至胞元140-1d、胞元140-2a至胞元140-2d、胞元140-4a至胞元140-c、胞元140-5a至胞元140-5d、胞元140-7a至胞元140-7b以及胞元140-8a至胞元140-8e可各自具有與胞元高度B實質上相同的胞元高度;且胞元140-3a至胞元140-3b及胞元140-6a至胞元140-6c可各自具有與胞元高度A實質上相同的胞元高度。
然後參考圖1C,積體電路180包括相對於網格181排列(例如,佈局)於相應「列」中的胞元群組180-1、胞元群組180-2、胞元群組180-3、胞元群組180-4、胞元群組180-5及胞元群組180-6。舉例而言,胞元群組180-1包括排列於網格181的列1中的胞元180-1a及胞元180-1b;胞元群組180-2包括排列於網格181的列2中的胞元180-2a、胞元180-2b、胞元180-2c及胞元180-2d;胞元群組180-3包括排列於網格181的列3中的胞元180-3a、胞元180-3b及胞元180-3c;胞元群組180-4包括排列於網格181的列4中的胞元180-4a、胞元180-4b及胞元180-4c;胞元群組180-5包括排列於網格181的列5中的胞元180-5a、胞元180-5b、胞元180-5c及胞元180-5d;且胞元群組180-6包括排列於網格181的列6中的胞元180-6a、胞元180-6b、胞元180-6c、胞元180-6d及胞元180-6e。在一些實施例中,胞元群組180-1、180-3及180-5的胞元可自上述標準胞元庫A擷取;且胞元群組180-2、胞元群組180-4及胞元群組180-6的胞元可自上述標準胞元庫B擷取。在一些實施例中,積體電路180的列1、列3及列5可各自具有與列高度A實質上類似的列高度;且積體電路180的列2、列4及列6可各自具有與列高度B實質上類似的列高度。如此,胞元180-1a至胞元180-1b、胞元180-3a至胞元180-3c及胞元180-5a至胞元180-5d可各自具有與胞元高度A實質上相同的胞元高度;且胞元180-2a至胞元180-2d、胞元180-4a至胞元180-4c及胞元180-6a至胞元180-6e可各自具有與胞元高度B實質上相同的胞元高度。
如上文所論述,胞元高度A對應於沿Y方向設置的較高數目個主動區,且胞元高度B對應於沿Y方向設置的較低數目個主動區。此外,在一些實施例中,具有較高數目個主動區的胞元可呈現出較具有較低數目個主動區的胞元更高的效能(例如,更快的速度),而具有較低數目個主動區的胞元可佔據較具有較高數目個主動區的胞元更小的面積,具有較低數目個主動區的胞元通常也呈現出更低的功耗。
如此,在積體電路100至積體電路180當中,所包括的胞元高度為A的胞元多於胞元高度為B的胞元的積體電路100可呈現出相對較高的效能(亦即,以速度為導向的電路);在積體電路100至積體電路180當中,所包括的胞元高度為B的胞元多於胞元高度為A的胞元的積體電路140可呈現出相對較低的功耗(亦即以功率/面積為導向的電路);且所包括的胞元高度為A的胞元與胞元高度為B的胞元數目相等的積體電路180可呈現出較積體電路180相對高的效能且較積體電路100相對低的功耗(亦即,以平衡為導向的電路)。相較於用以形成以平衡為導向的電路(其一個或多個相應主動區通常存在延伸不連續性)的現有技術,例如由所揭露的系統及方法形成的積體電路180可在相應主動區不形成延伸不連續性的情況下呈現出平衡的特性。
更具體而言,根據本發明的一些實施例,,可根據設計中積體電路的特性而相應地確定列比率,所述列比率定義具有胞元高度A的胞元的數目對具有胞元高度B的胞元的數目的比率。以上文所提供的積體電路為例,在使用所揭露的系統及方法來設計旨在具有高效能的積體電路100時,可確定較高的列比率4(此乃因胞元高度為A的胞元的數目及胞元高度為B的胞元的數目分別是4及1);在使用所揭露的系統及方法來設計旨在具有較低功耗的積體電路140時,可確定較低的列比率1/3(此乃因胞元高度為A的胞元的數目及胞元高度為B的胞元的數目分別是2及6);且在使用所揭露的系統及方法來設計旨在具有平衡特性的積體電路180時,可確定中間列比率1(此乃因胞元高度為A的胞元的數目及胞元高度為B的胞元的數目分別是3及3)。提供積體電路100至積體電路180作為說明性實例。因此,應理解,所揭露的系統及方法可用於設計具有其他列比率(諸如1/2、2/3、3/2、1/4、3、4等)中的任一者的積體電路(在將分數減小至各自的最低項之後),而此仍在本發明的實施例的範疇內。
根據本發明的一些實施例,由所揭露的系統及方法設計的積體電路包括多個列,且所述多個列中的每一者包括共有相同的胞元高度的一個或多個並排設置的胞元。此外,跨越該些並排設置的胞元,此相同的高度對應於相同數目個主動區,所述主動區在與排列所述多個列的方向實質上正交的另一方向上伸展。如此,並排設置的胞元的主動區可沿列跨越各自的胞元連續地延伸。藉由使主動區跨越積體電路的多個並排的胞元連續地延伸,可增大主動區內的壓縮應變,此可有利於提高積體電路的效能(例如,較高的驅動電流),同時藉由排列具有各自不同的列高度(胞元高度)的兩個或更多個列會使得積體電路被設計成具有任何所期望的特性,如上文所論述。以積體電路180為代表性實例,下文將更詳細地說明及論述該些連續地延伸的主動區。
另外,遵循所揭露的系統及方法的混合的列設計的積體電路可在保持鰭速度的同時在非時序臨界路徑(non-timing critical path)上實現較小的面積、較低的功耗。在一個實例中,相較於傳統的高速設計,所揭露的積體電路具有相同的最大速度,但將功率減小至70%,將面積減小至87%,且在不使鰭斷裂的情況下強韌地抵抗鰭應力。在另一實例中,相較於傳統的低功率設計,所揭露的積體電路具有相同的功率、類似的面積,但將最大速度自70%增大至100%(增大達約43%),且在不使鰭斷裂的情況下強韌地抵抗鰭應力。在又一實例中,相較於傳統的高速且部分低功率的設計,所揭露的積體電路具有相同的功率,但將最大速度自90%增大至100%(增大達約11%),將面積減小至87%,且在不使鰭斷裂的情況下相對強韌地抵抗鰭應力。
根據一些實施例,圖2A說明積體電路180的一個或多個第一佈局層級處的對應佈局設計的俯視圖,且圖2B說明積體電路180的在所述一個或多個第一佈局層級上方的第二佈局層級的對應佈局設計的俯視圖。在一些其他實施例中,第二佈局層級可位於所述一個或多個第一佈局層級下方,或與所述一個或多個第一佈局層級位於同一層級。為清晰起見,圖2C及圖2D說明積體電路180的胞元180-1a及胞元180-1b的對應剖視圖的一部分,所述剖視圖分別沿線A-A及線B-B截取。
如圖2A中所示,沿網格181的列1,胞元180-1a及胞元180-1b分別包括沿X方向跨越胞元180-1a至胞元180-1b連續地延伸的四個主動區212-1、212-2、212-3及212-4;沿網格181的列2,胞元180-2a、胞元180-2b、胞元180-2c及胞元180-2d分別包括沿X方向跨越胞元180-2a至胞元180-2d連續地延伸的兩個主動區222-1及222-2;沿網格181的列3,胞元180-3a、胞元180-3b及胞元180-3c分別包括沿X方向跨越胞元180-3a至胞元180-3c連續地延伸的四個主動區232-1、232-2、232-3及232-4;沿網格181的列4,胞元180-4a、胞元180-4b及胞元180-4c分別包括沿X方向跨越胞元180-4a至胞元180-4c連續地延伸的兩個主動區242-1及242-2;沿網格180的列5,胞元180-5a、180-5b、180-5c及180-5d分別包括沿X方向跨越胞元180-5a至胞元180-5d連續地延伸的四個主動區252-1、252-2、252-3及252-4;且沿網格181的列6,胞元180-6a、胞元180-6b、胞元180-6c、胞元180-6d及胞元180-6e分別包括沿X方向跨越胞元180-6a至胞元180-6e連續地延伸的兩個主動區262-1及262-2。
如上文所述,積體電路180的胞元的主動區用作各自的電晶體的源極特徵或汲極特徵,且積體電路180的每一胞元被配置成執行特定邏輯功能。因此,應理解,積體電路180的每一胞元可包括植入有n型摻雜劑的第一區、植入有p型摻雜劑的第二區、及相應的多個閘極圖案。在一些實施例中,相應主動區的一個子集形成於第一區之上以形成p型MOSFET,且相應主動區的另一子集形成於第二區之上以形成n型MOSFET。此外,根據一些實施例,所述相應多個閘極圖案可在與主動區延伸的方向實質上正交的方向上伸展,以形成p型MOSFET及n型MOSFET各自的閘極。如此,積體電路180的胞元可呈現出不同的閘極圖案佈局以執行相應的特定邏輯功能。
舉例而言,仍參考圖2A,胞元180-1a包括:植入有n型摻雜劑的第一區214、植入有p型摻雜劑的第二區216,第一區214與第二區216由線215劃分;以及閘極圖案218-1、閘極圖案218-2及閘極圖案218-3,彼此間隔開達相應的距離且各自在Y方向上伸展。在一些實施例中,主動區212-1至主動區212-4可各自設置於第一區214或第二區216之上,且閘極圖案218-1至閘極圖案218-3可各自設置於相應主動區212-1至212-4之上,此將參考圖2C及圖2D示出。類似地,鄰近胞元180-1b亦包括:第一區214及第二區216,主動區212-1至主動區212-4設置於第一區214及第二區216之上;以及閘極圖案218-4、閘極圖案218-5、閘極圖案218-6及閘極圖案218-7,彼此間隔開達相應的距離且各自在Y方向上伸展。
在一些實施例中,積體電路180的沿其他列設置的胞元的主動區亦設置於相應的第一經植入區及第二經植入區之上,且一個或多個相應閘極圖案亦在Y方向上伸展,因此如下簡要地闡述積體電路180的沿其他列設置的胞元的相應配置。
沿列2,胞元180-2a至180-2d包括植入有n型摻雜劑的第一區224及植入有p型摻雜劑的第二區226,第一區224與第二區226由線225劃分,其中主動區222-1設置於第一區224之上且主動區222-2設置於第二區226之上。胞元180-2a更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案228-1、閘極圖案228-2及閘極圖案228-3;胞元180-2b更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案228-4及閘極圖案228-5;胞元180-2c更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案228-6、閘極圖案228-7、閘極圖案228-8及閘極圖案228-9;且胞元180-2d更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案228-10、閘極圖案228-11及閘極圖案228-12。
沿列3,胞元180-3a至180-3c包括植入有n型摻雜劑的第一區234及植入有p型摻雜劑的第二區236,第一區234與第二區236由線235劃分,其中主動區232-1及主動區232-2設置於第一區234之上,且主動區232-3及主動區232-4設置於第二區236之上。胞元180-3a更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案238-1、閘極圖案238-2及閘極圖案238-3;胞元180-3b更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案238-4、閘極圖案238-5及閘極圖案238-6;且胞元180-3c更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案238-7、閘極圖案238-8及閘極圖案238-9。
沿列4,胞元180-4a至胞元180-4c包括植入有n型摻雜劑的第一區244及植入有p型摻雜劑的第二區246,第一區244與第二區246由線245劃分,其中主動區242-1設置於第一區244之上且主動區242-2設置於第二區246之上。胞元180-4a更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案248-1、閘極圖案248-2及閘極圖案248-3;胞元180-4b更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案248-4、閘極圖案248-5及閘極圖案248-6;且胞元180-4c更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案248-7、閘極圖案248-8、閘極圖案248-9及閘極圖案248-10。
沿列5,胞元180-5a至180-5d包括植入有n型摻雜劑的第一區254及植入有p型摻雜劑的第二區256,第一區254與第二區256由線255劃分,其中主動區252-1及主動區252-2設置於第一區254之上且主動區252-3及主動區252-4設置於第二區256之上。胞元180-5a更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案258-1、閘極圖案258-2及閘極圖案258-3;胞元180-5b更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案258-4及閘極圖案258-5;胞元180-5c更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案258-6、閘極圖案258-7及閘極圖案258-8;且胞元180-5d更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案258-9、閘極圖案258-10及閘極圖案258-11。
沿列6,胞元180-6a至胞元180-6e包括植入有n型摻雜劑的第一區264及植入有p型摻雜劑的第二區266,第一區264與第二區266由線265劃分,其中主動區262-1設置於第一區264之上且主動區262-2設置於第二區266之上。胞元180-6a更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案268-1及閘極圖案268-2;胞元180-6b更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案268-3及閘極圖案268-4;胞元180-6c更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案268-5及閘極圖案268-6;胞元180-6d更包括彼此間隔開達相應的距離且各自在Y方向上伸展的閘極圖案268-7及閘極圖案268-8;且胞元180-6e更包括彼此間隔開且各自在Y方向上伸展的閘極圖案268-9及閘極圖案268-10。
在一些實施例中,積體電路180的每一胞元的第一經植入區及第二經植入區、主動區及閘極圖案可形成於位於一個或多個第一佈局層級上的基底之上,此將在圖2C及圖2D的剖視圖中示出。如上文所述,圖2B說明積體電路180的在一個或多個第一佈局層級上方的第二佈局層級處的佈局設計的俯視圖。
根據本發明的一些實施例,在第二佈局層級處,每一胞元包括在Y方向上(亦即,與主動區平行)伸展且位於相應的閘極圖案之上的多個導體圖案。在一些實施例中,包括所述多個導體圖案的此第二佈局層級直接設置於包括閘極圖案的所述一個或多個第一佈局層級上方(亦即,第二佈局層級與所述一個或多個第一佈局層級之間不設置中間佈局層級),此在本文中被稱為「M1層級(第一金屬層級/層)」。此外,M1層級中的所述多個導體圖案各自通過至少一通孔結構(未示出)電性耦合至上述p型MOSFET及n型MOSFET中的一者或多者,藉此使得p型MOSFET及n型MOSFET中的每一者被供電或者電性連接至其他MOSFET或裝置。
如圖2B中所示,M1層級包括在Y方向上伸展的多個導體圖案,諸如電源軌270-1、電源軌270-2、電源軌270-3、電源軌270-4、電源軌270-5、電源軌270-6及電源軌270-7,以及M1內連線272-1、M1內連線272-2、M1內連線272-3、M1內連線272-4、M1內連線272-5、M1內連線272-6、M1內連線272-7、M1內連線272-8、M1內連線272-9、M1內連線272-10、M1內連線272-11、M1內連線272-12、M1內連線272-13、M1內連線272-14、M1內連線272-15、M1內連線272-16及M1內連線272-17。在一些實施例中,當俯視M1層級時,沿每一列的胞元設置於兩個鄰近的電源軌之間,所述電源軌中的每一者被配置成載送電源訊號(例如,VDD、地電位等)。此外,在此兩個鄰近電源軌之間,彼此平行的M1內連線各自的子集在胞元之上伸展。
舉例而言,列1處的胞元群組180-1的胞元設置於電源軌270-1與電源軌270-2之間,其中M1內連線272-1至M1內連線272-4在胞元群組180-1的胞元上伸展;列2處的胞元群組180-2的胞元設置於電源軌270-2與270-3之間,其中M1內連線272-5至M1內連線272-6在胞元群組180-2的胞元上伸展;列3處的胞元群組180-3的胞元設置於電源軌270-3與電源軌270-4之間,其中M1內連線272-7至M1內連線272-10在胞元群組180-3的胞元上伸展;列4處的胞元群組180-4的胞元設置於電源軌270-4與電源軌270-5之間,其中M1內連線272-11至M1內連線272-12在胞元群組180-4的胞元上伸展;列5處的胞元群組180-5的胞元設置於電源軌270-5與電源軌270-6之間,其中M1內連線272-13至M1內連線272-16在胞元群組180-5的胞元上伸展;且列6處的胞元群組180-6的胞元設置於電源軌270-6與電源軌270-7之間,其中M1內連線272-17至M1內連線272-18在胞元群組180-6的胞元上伸展。
在一些實施例中,在胞元之上伸展的M1內連線的數目可對應於胞元中所包括的主動區的數目。更具體而言,對於具有較大列高度的列(亦即,列中的胞元各自具有較高數目個主動區)而言,可包括較多的M1內連線,而對於具有較低列高度的列(亦即,列中的胞元各自具有較低數目個主動區)而言,可包括較少的M1內連線。舉例而言,在設置於列1處具有4個主動區(例如,主動區212-1至主動區212-4)的胞元之上伸展的M1內連線的數目可多於在設置於列2處具有2個主動區(例如,主動區222-1及主動區222-2)的胞元之上伸展的M1內連線的數目。在圖2B所說明的實施例中,設置於列1處的M1內連線的數目是4(等於在列1處的胞元的主動區的數目),且設置於列2處的M1內連線的數目是2(等於在列2處的胞元的主動區的數目)。然而,應理解,M1內連線的數目並不僅限於等於上方伸展有M1內連線的主動區的數目。
此外,在一些實施例中,M1內連線272-1至M1內連線272-17中的每一者可沿X方向共有實質上共形的寬度273,且可與鄰近的M1內連線或電源軌分離開達實質上類似的距離275。對形成M1內連線的此設計限制可有利於降低設計的複雜度。舉例而言,M1內連線272-2沿X方向具有共形的寬度273,且與M1內連線272-1及M1內連線272-3分別分離開達距離275。
參考圖2C及圖2D,根據一些實施例,示出胞元180-1a及胞元180-1b的在一個或多個第一佈局層級處且分別沿線A-A及線B-B截取的剖視圖。如圖2C及圖2D中分別示出,植入有n型摻雜劑的第一區214(在本文中被稱為「n井214」)設置於基底280之上;且植入有p型摻雜劑的第二區216(在本文中被稱為「p井216」)設置於基底280之上。在一些實施例中,基底280包括Si、Ge、SiGe、InAs、InGaAs、InAlAs、InP等;n井214包括Si、Ge、SiGe、InAs、InGaAs、InAlAs、InP等;且p井216包括Si、Ge、SiGe、InAs、InGaAs、InAlAs、InP等。在一些實施例中,當基底280包括Si時,n井214亦可包括Si但摻雜有例如P、As等n型摻雜劑,且p井246亦可包括Si但摻雜有例如B、Ga等p型摻雜劑。
在一些實施例中,n井214可更包括以高摻雜濃度植入有p型摻雜劑的區280-1、區280-2、區280-3、區280-4及區280-5的第一集合;且p井216可更包括以高摻雜濃度植入有n型摻雜劑的區282-1、區282-2、區282-3、區282-4及區282-5的第二集合。此第一集合的經植入區280-1至280-5及此第二集合的經植入區282-1至282-5中的每一者在X方向上設置於兩個鄰近閘極圖案之間,可用作相應MOSFET的源極或汲極。舉例而言,在圖2C中,設置於閘極圖案218-1與閘極圖案218-2之間的區280-1可用作p型MOSFET的源極或汲極,所述p型MOSFET將閘極圖案218-1或218-2作為其閘極;且在圖2D中,設置於閘極圖案218-2與閘極圖案218-3之間的區282-2可用作n型MOSFET的源極或汲極,所述n型MOSFET將閘極圖案218-2或閘極圖案218-3作為其閘極。
在圖2C及圖2D所說明的實施例中,儘管鄰近的胞元180-1a與胞元180-1b各自的「側」閘極圖案218-3及218-4合併在一起以形成單一閘極圖案,但此側閘極圖案彼此可被源極/汲極分離,而此仍在本發明的實施例的範疇內。在圖2E及圖2F中所示的其他實施例中,鄰近的胞元180-1a與胞元180-1b並不共享側閘極圖案,但在淺溝渠隔離部(shallow trench isolation,STI)290、淺溝渠隔離部292的中間部分中具有胞元邊界,所述淺溝渠隔離部290及淺溝渠隔離部292位於側閘極圖案218-3與側閘極圖案218-4之間。
根據一些實施例,包括第一集合的經植入區280-1至280-5的n井214可形成連續延伸主動區212-1的一部分,如圖2C中所示;且包括第二集合的經植入區282-1至282-5的p井216可形成連續延伸主動區212-3的一部分,如圖2D中所示。
圖2G說明根據本發明的一些實施例的示例性積體電路180的一個或多個第一佈局層級處的另一對應佈局設計的俯視圖。在此實施例中,如圖2G中所示,閘極圖案218-1至閘極圖案218-7中的每一者穿過積體電路180的不同列形成直線。亦即,在閘極圖案218-1至閘極圖案218-7中的每一者中,不同列中的多個閘極在Y方向上的沿同一條線彼此對齊。但不同列中的多個閘極可在兩個鄰近列之間的邊界處被分離或隔離。亦即,閘極圖案218-1至閘極圖案218-7的每一條線可在兩個鄰近的列之間的邊界處出現中斷。
現在參考圖3,提供根據本發明的一些實施例的資訊處置系統(IHS)300的方塊圖。IHS 300可以是電腦平台,其用於實施本文中所述的過程中的任一者或全部以設計具有混合的列高度的積體電路(例如,積體電路100、積體電路140及積體電路180)。IHS 300可包括處理單元310,諸如桌上型電腦、工作站、筆記型電腦、或為特定應用定製的專用單元。HIS 300可配備有顯示器314以及一個或多個輸入/輸出(input/output,I/O)組件312,所述輸入/輸出組件312包括諸如滑鼠、鍵盤或印表機。處理單元310可包括中央處理單元(central processing unit,CPU)320、記憶體322、大容量儲存裝置324、視訊配接器326及連接至匯流排330的I/O介面328。
匯流排330可以是任何類型的數個匯流排架構中的一者或多者,所述匯流排架構包括記憶體匯流排或記憶體控制器、周邊匯流排或視訊匯流排。CPU 320可包括任何類型的電子資料處理器,且記憶體322可包括任何類型的系統記憶體,諸如靜態隨機存取記憶體(static random access memory,SRAM)、動態隨機存取記憶體(dynamic random access memory,DRAM)或唯讀記憶體(read-only memory,ROM)。
大容量儲存裝置324可包括任何類型的儲存裝置,該些儲存裝置被配置成儲存資料、程式及其他資訊且使得所述資料、程式及其他資訊可經由匯流排330被存取。大容量儲存裝置324可包括例如硬碟驅動器、磁碟驅動器、光碟驅動器等中的一者或多者。
視訊配接器326及I/O介面328提供用以將外部輸入與輸出裝置耦合至處理單元310的介面。如圖3中所說明,輸入與輸出裝置的實例包括耦合至視訊配接器326的顯示器314、以及耦合至I/O介面328的I/O組件312,諸如滑鼠、鍵盤、印表機等。其他裝置可耦合至處理單元310,且可利用額外的介面卡或更少的介面卡。舉例而言,可使用串列介面卡(未示出)來提供印表機的串列介面。處理單元310亦可包括網路介面340,網路介面340可以是通往區域網路(local area network,LAN)或廣域網路(wide area network,WAN)316的有線鏈路,及/或可以是無線鏈路。
應注意,IHS 300可包括其他組件/裝置。舉例而言,IHS 300可包括電源供應器、纜線、母板、可移除儲存媒體、殼體等。儘管未示出,但該些其他組件/裝置被視為IHS 300的一部分。
在本發明的一些實施例中,電子設計自動化(Electronic Design Automation,EDA)是由CPU 320執行以分析使用者檔案來獲得積體電路(例如,上文所述的積體電路100、積體電路140及積體電路180)的佈局的程式碼。此外,在EDA的執行期間,EDA可如此項技術中已知地分析佈局的功能組件。CPU 320可經由匯流排330自記憶體322、大容量儲存裝置324等存取程式碼,或可通過網路介面340自遠端存取程式碼。
圖4說明根據本發明的一些實施例由IHS 300執行以產生包括混合的列高度的積體電路的實體佈局的示例性方法400的流程圖。在一些實施例中,此方法400可被統稱為EDA。在各種實施例中,由圖3中所說明的相應組件執行方法400的操作。為論述起見,將結合圖3闡述方法400的以下實施例。方法400的所說明實施例僅是實例。因此,應理解可省略、重新排序及/或添加各種操作中的任一者,而此仍在本發明的實施例的範疇內。
方法400開始於準備操作:「行為/功能設計402」、「設計限制404」及「混合的列高度406」。在一些實施例中,行為/功能設計402基於施加至積體電路的總體設計的輸入的各種訊號或刺激來規定積體電路(例如,上文所述的積體電路100、積體電路140及/或積體電路180)的所期望行為或功能,且可使用諸如硬體描述語言(hardware description language,HDL)等適合的語言來撰寫所述行為/功能設計402。可在EDA運行的同時,諸如由形成檔案的使用者將行為/功能設計402通過I/O介面328(圖3)上傳至處理單元310中。或者,可將行為/功能設計402上傳及/或保存於記憶體322或大容量儲存裝置324上,或者可通過網路介面340自遠端使用者(圖3)上傳行為/功能設計402。在該些實例中,CPU 320將在EDA的執行期間存取行為/功能設計402。
此外,使用者亦提供設計限制404以對行為/功能設計402的實體佈局的總體設計進行限制。在一些實施例中,可例如通過I/O介面328輸入、通過網路介面340下載設計限制404等。設計限制404可規定行為/功能設計402在實體地形成於積體電路中之後所必須遵從的時序、過程參數及其他適合的限制。
再者,使用者亦可提供混合的列高度406以使得行為/功能設計402的實體佈局被排列於多個列中,所述多個列具有至少兩個各自不同的列高度。在一些實施例中,可例如通過I/O介面328來輸入、通過網路介面340來下載混合的列高度406等。混合的列高度404可規定列比率(亦即,如上文所述具有各自不同的列高度的列的數目比)、具有各自不同的列高度的列相對於實體佈局的網格而言各自的位置、及/或行為/功能設計402在實體地形成至積體電路中之後所必須遵從的其他適合的限制。
在提供了行為/功能設計402、設計限制404及混合的列高度406之後,方法400繼續至操作「合成408」以形成功能等效的邏輯閘級電路描述,諸如網路連線表。在滿足設計限制404及混合的列高度406所規定的限制的同時,合成408藉由對自行為/功能設計402得來的行為及/或功能與一組胞元庫409中的(標準)胞元匹配來形成功能等效的邏輯閘級電路描述。
在一些實施例中,所述一組胞元庫409可包括具有各自不同的胞元高度的多個胞元庫,諸如胞元庫411、胞元庫413等。在一些實施例中,胞元庫411及胞元庫413可分別對應於上述胞元庫A及胞元庫B。所述多個胞元庫中的每一者含有一系列預設計胞元,所述預設計胞元中的每一者具有相同的胞元高度且可在小尺度上執行特定邏輯功能。舉例而言,胞元庫A 411的胞元可共有胞元高度A,且胞元庫A 411的胞元可共有胞元高度B。胞元作為包含以下內容的資訊而儲存於胞元庫(例如,胞元庫A 411、胞元庫B 413等)中:內部電路元件、與該些電路元件的各種連接、包括胞元高度的預設計實體佈局圖案、摻雜劑類型、摻雜濃度、井等。另外,所儲存的胞元亦可包括胞元的形狀、外部連接的末端位置、延遲特性、功耗等。
在合成408依據行為/功能設計402、設計限制404及混合的列高度408使用所述一組胞元庫409(例如胞元庫A 411、胞元庫B 413等)的胞元而產生功能等效的邏輯閘級電路描述之後,方法400繼續至第一確定操作414以檢查是否與設計要求相匹配。在一些實施例中,在第一確定操作414中,可藉由使用電路模擬器(例如,以積體電路為重點的模擬程式(Simulation Program with Integrated Circuit Emphasis,SPICE))執行一個或多種模擬來對各種要求進行檢查,所述要求包括諸如胞元庫A 411中的可用胞元對胞元庫B 413中的可用胞元的比率、功能等效的邏輯閘級電路描述的時序品質、功能等效的邏輯閘級電路描述的功率品質等。若在第一確定操作414中所有的設計要求皆得到滿足,則方法400繼續至操作「放置及佈線418」,下文將對操作「放置及佈線418」加以更詳細地論述。另一方面,若在第一確定操作414中並不是所有的設計要求皆得到滿足,則方法400繼續至操作「找到根源416」,下文亦將對操作「找到根源416」加以更詳細地論述。
執行放置及佈線418以產生總體結構的實際實體設計。放置及佈線418藉由自所述一組胞元庫409獲取所選擇的胞元且將其放置至相應的列中來形成實體設計。在一些實施例中,該些列的第一集合所具有的第一列高度與設置於所述列的第一集合中的大多數胞元的高度實質上類似,以使電源軌、植入物及井可在胞元之間對齊;且該些列的第二集合所具有的第二列高度不同於第一列高度、實質上類似於設置於所述列的第二集合內的大多數胞元的高度,以使電源軌、植入物及井可在胞元之間對齊。可藉由成本函數來對將每一胞元放置於胞元列內以及相對於其他胞元列放置每一胞元列進行引導,以將所得積體電路的配線長度及面積要求最小化。可藉由放置及佈線418自動地完成或者可部分地通過手動過程來執行此放置,藉此使用者可將一個或多個胞元手動插入至列中。
在放置及佈線418完成了總體結構的實際實體設計的產生之後,方法400繼續至第二確定操作420以檢查是否與設計要求相匹配。在一些實施例中,在第二確定操作420中,可藉由使用電路模擬器(例如,以積體電路為重點的模擬程式(SPICE))執行一個或多種模擬來對各種要求進行檢查,諸如在放置及佈線418之後胞元庫A 411中的可用胞元與胞元庫B 413中的可用胞元的比率、總體結構的實際實體設計的時序品質、總體結構的實際實體設計的功率品質、是否存在局部擁塞問題等。若在第二確定操作420中所有的設計要求皆得到滿足,則方法400繼續至操作「製造工具422」,下文將對「製造工具422」加以更詳細地論述。另一方面,若在第二確定操作420中並不是所有的設計要求皆得到滿足,則方法400繼續至找到根源416,將如下對找到根源416加以論述。
根據一些實施例,執行找到根源416以找到在第一確定操作414或第二確定操作420中導致未能滿足設計要求的原因。各種原因皆可能會導致設計要求無法得到滿足。基於一或多種原因,方法400可繼續進行至相應的操作以重新執行所述操作。舉例而言,當原因是用於產生功能等效的邏輯閘級電路描述的胞元的功率、效能、面積(PPA)特性不佳及/或在胞元庫409的集合中缺少可用胞元時,方法400可繼續進行至操作重新評估胞元庫409的集合的胞元的品質/數量;當原因是未正確地排列列時,方法400可返回至混合的列高度406以重新評估其中所規定的限制;當原因是合成功能等效的邏輯閘級電路描述不可行時,方法400可返回至設計限制404以重新評估其中所規定的限制;且當原因是產生實際實體設計不可行時,方法400可返回至放置及佈線418以重新放置及/或重新佈線。
再次參考第二確定操作420,於在滿足所有的設計要求的同時放置及佈線418已成功地產生了實體設計之後,方法400繼續至操作「製造工具422」以產生例如微影罩幕,所述微影罩幕可用於實體地製造實體設計。可將實體設計通過所述LAN/WAN 316發送至製造工具422。
圖5說明根據本發明的一些實施例的各種混合的列設計方案的不同應用。圖5示出五種示例性積體電路(integrated circuit,IC)應用,其中的每一者對應於不同類型的混合的列設計。混合的列設計512、522、532、542、552具有不同的列A與列B之混合列比率,其中列A表示包括來自胞元庫A之胞元的列的數量,且列B表示包括來自胞元庫B之胞元的列的數量。在此種情形中,來自胞元庫A的每一胞元的胞元高度大於來自胞元庫B的每一胞元的胞元高度。
舉例而言,可由混合列比率為3:1的混合的列設計512來實現期望達到最高速度的「速度最佳」電路510,混合列比率為3:1意味著在混合的列設計512中,列A(包括來自胞元庫A之胞元的列的數量)與列B(包括來自胞元庫B之胞元的列的數量)之間的比率是3:1。此乃是由於具有較大胞元高度的胞元可有助於提高速度。
在另一實例中,可由混合列比率為1:3的混合的列設計552來實現期望達到最小面積及最低功耗的「功率/面積最佳」電路550,混合列比率為1:3意味著在混合的列設計552中,列A(包括來自胞元庫A之胞元的列的數量)與列B(包括來自胞元庫B之胞元的列的數量)之間的比率是1:3。此乃是由於具有較小胞元高度的更多胞元可有助於節約電路面積且減少功耗。
在另一實例中,可由混合列比率為1:1的混合的列設計532來實現「平衡」電路530,混合列比率為1:1意味著在混合的列設計532中,列A(包括來自胞元庫A之胞元的列的數量)與列B(包括來自胞元庫B之胞元的列的數量)之間的比率是1:1。「平衡」電路530將保持速度效能與面積/功率效能之間的平衡,其中速度效能不會太高或太低,面積不會太大或太小,功耗不會太高或太低。
在又一實例中,可由混合列比率為2:1的混合的列設計522來實現期望達到高速度但非最高速度的「以速度為導向的」電路520,混合列比率為2:1意味著在混合的列設計522中,列A(包括來自胞元庫A之胞元的列的數量)與列B(包括來自胞元庫B之胞元的列的數量)之間的比率是2:1。
在又一實例中,可由混合列比率為1:2的混合的列設計542來實現期望達到高功率/面積效能但非最高功率/面積效能的「以功率/面積為導向的」電路540,混合列比率為1:2意味著在混合的列設計542中,列A(包括來自胞元庫A之胞元的列的數量)與列B(包括來自胞元庫B之胞元的列的數量)之間的比率是1:2。
圖6說明根據本發明的一些實施例設計包括混合的列高度的積體電路的示例性過程。在操作610處,設計至少兩個集合的庫:庫A及庫B。不同庫中的胞元具有不同的胞元高度及/或不同的金屬線數目。舉例而言,庫A胞元具有較高的胞元高度及五條金屬線,而庫B胞元具有較低的胞元高度及三條金屬線。在操作620處,選擇平衡設計方案以保持兩個庫的胞元之間的混合列比率為1:1。在操作630處,基於平衡的混合列比率1:1利用針對庫A及庫B所規定的放置限制來預置混合列平面佈置圖(floorplan)。
在實施例中,一種積體電路結構包括:在第一方向上延伸的第一多個胞元列,所述多個第一胞元列中的每一者具有第一列高度且包括設置於其中的多個第一胞元;以及在所述第一方向上延伸的第二多個胞元列,所述多個第二胞元列中的每一者具有與所述第一列高度不同的第二列高度且包括設置於其中的多個第二胞元。所述多個第一胞元包括第一多個主動區,所述第一多個主動區中的每一者在所述第一方向上跨越所述多個第一胞元連續地延伸,且其中所述多個第二胞元包括第二多個主動區,所述第二多個主動區中的每一者在所述第一方向上跨越所述多個第二胞元連續地延伸。
在另一實施例中,一種積體電路結構包括:多個第一胞元,各自具有第一胞元高度,其中所述多個第一胞元的第一子集沿第一方向設置於第一胞元列中;以及多個第二胞元,各自具有與所述第一胞元高度不同的第二胞元高度,其中所述多個第二胞元的第二子集沿所述第一方向設置於與所述第一胞元列不同的第二胞元列中。所述多個第一胞元各自包括第一多個主動區,所述第一多個主動區中的每一者跨越所述多個第一胞元的所述第一子集連續地延伸,且其中所述多個第二胞元各自包括第二多個主動區,所述第二多個主動區中的每一者跨越所述多個第二胞元的所述第二子集連續地延伸。
在又一實施例中,一種積體電路設計系統包括:非暫態儲存媒體,被編碼有一組指令;以及硬體處理器,與所述非暫態儲存媒體進行通訊耦合且被配置成執行所述一組指令,所述一組指令被配置成使所述處理器:提供第一庫,所述第一庫包括多個第一胞元,所述多個第一胞元各自包括沿第一方向連續地延伸的第一多個主動區;提供第二庫,所述第二庫包括多個第二胞元,所述多個第二胞元各自包括沿所述第一方向連續地延伸的第二多個主動區,其中所述第一多個主動區的數目與所述第二多個主動區的數目彼此不同;自所述第一庫擷取所述多個第一胞元的第一子集及/或自所述第二庫擷取所述多個第二胞元的第二子集;以及將沿所述第一方向延伸的所述多個第一胞元的所述第一子集放置於第一多個胞元列中及/或將沿所述第一方向延伸的所述多個第二胞元的所述第二子集放置於第二多個胞元列中。
以上內容概述數個實施例的特徵以使得熟習此項技術者可更好地理解本發明的實施例的態樣。熟習此項技術者應瞭解,其可容易地使用本發明的實施例作為設計或修改其他製程及結構以達到與本文中所引入的實施例相同的目的及/或達成相同優勢的基礎。熟習此項技術者亦應意識到該些等效構造並不背離本發明的實施例的精神及範疇,且其可在不背離本發明的實施例的精神及範疇的情況下在本文中做出各種改變、替代及修改。
100、140、180‧‧‧積體電路
101、141、181‧‧‧網格
140-1、140-2、140-3、140-4、140-5、140-6、140-7、140-8、180-1、180-2、180-3、180-4、180-5、180-6‧‧‧胞元群組
140-1a、140-1b、140-1c、140-1d、140-2a、140-2b、140-2c、140-2d、140-3a、140-3b、140-4a、140-4b、140-4c、140-5a、140-5b、140-5c、140-5d、140-6a、140-6b、140-6c、140-7a、140-7b、140-8a、140-8b、140-8c、140-8d、140-8e、180-1a、180-1b、180-2a、180-2b、180-2c、180-2d、180-3a、180-3b、180-3c、180-4a、180-4b、180-4c、180-5a、180-5b、180-5c、180-5d、180-6a、180-6b、180-6c、180-6d、180-6e‧‧‧胞元
212-1、212-2、212-3、212-4、222-1、232-1、232-2、232-3、232-4、242-1、242-2、252-1、252-2、252-3、252-4、262-1、262-2‧‧‧主動區
214‧‧‧植入有n型摻雜劑的第一區/第一區/n井
215、225、235、245、255、265、A-A、B-B‧‧‧線
216、246‧‧‧植入有p型摻雜劑的第二區/第二區/p井
218-1、218-2、218-3、218-4、218-5、218-6、218-7、228-1、228-2、228-3、228-4、228-5、228-6、228-7、228-8、228-9、228-10、228-11、228-12、238-1、238-2、238-3、238-4、238-5、238-6、238-7、238-8、238-9、248-1、248-2、248-3、248-4、248-5、248-6、248-7、248-8、248-9、248-10、258-1、258-2、258-3、258-4、258-5、258-6、258-7、258-8、258-9、258-10、258-11、268-1、268-2、268-3、268-4、268-5、268-6、268-7、268-8、268-9、268-10‧‧‧閘極圖案
224、234、244、254、264‧‧‧植入有n型摻雜劑的第一區/第一區
226、236、256、266‧‧‧植入有p型摻雜劑的第二區/第二區
270-1、270-2、270-3、270-4、270-5、270-6、270-7‧‧‧電源軌
272-1、272-2、272-3、272-4、272-5、272-6、272-7、272-8、272-9、272-10、272-11、272-12、272-13、272-14、272-15、272-16、272-17、272-18‧‧‧M1內連線
273‧‧‧寬度
275‧‧‧距離
280‧‧‧基底
280-1、280-2、280-3、280-4、280-5、282-1、282-2、282-3、282-4、282-5‧‧‧區/經植入區
290、292‧‧‧淺溝渠隔離部
300‧‧‧資訊處置系統
310‧‧‧處理單元
312‧‧‧輸入/輸出組件
314‧‧‧顯示器
316‧‧‧區域網或廣域網
320‧‧‧中央處理單元
322‧‧‧記憶體
324‧‧‧大容量儲存裝置
326‧‧‧視訊配接器
328‧‧‧輸入/輸出介面
330‧‧‧匯流排
340‧‧‧網路介面
402‧‧‧行為/功能設計
404‧‧‧設計限制
406‧‧‧混合的列高度
408‧‧‧合成
409、411、413‧‧‧胞元庫
414‧‧‧第一確定操作
416‧‧‧找到根源
418‧‧‧放置及佈線
420‧‧‧第二確定操作
422‧‧‧製造工具
510‧‧‧速度最佳電路
512、522、532、542、552‧‧‧混合的列設計
520‧‧‧以速度為導向的電路
530‧‧‧平衡電路
540‧‧‧以功率/面積為導向的電路
550‧‧‧功率/面積最佳電路
610、620、630‧‧‧操作
X、Y‧‧‧方向
結合附圖進行閱讀,自以下詳細說明最佳地理解本發明的實施例的態樣。注意,各種特徵未必按比例繪製。事實上,為論述的清晰起見,可任意地增大或減小各種特徵的尺寸。
圖1A、圖1B及圖1C分別說明根據本發明的一些實施例的藉由本發明的系統及方法設計的示例性積體電路的示意圖。
圖2A說明根據本發明的一些實施例的示例性積體電路的一個或多個第一佈局層級處的對應佈局設計的俯視圖。
圖2B說明根據本發明的一些實施例的積體電路的位於一個或多個第一佈局層級上方的第二佈局層級處的對應佈局設計的俯視圖。
圖2C、圖2D、圖2E及圖2F中的每一者說明根據本發明的一些實施例的積體電路的一些胞元的對應剖視圖的一部分。
圖2G說明根據本發明的一些實施例的示例性積體電路的一個或多個第一佈局層級處的另一對應佈局設計的俯視圖。
圖3說明根據本發明的一些實施例的示例性資訊處置系統(information handling system,IHS)的方塊圖。
圖4說明根據本發明的一些實施例由IHS執行以產生包括混合的列高度的積體電路的實體佈局的示例性方法的流程圖。
圖5說明根據本發明的一些實施例的各種混合的列設計方案的不同應用。
圖6說明根據本發明的一些實施例的設計包括混合的列高度的積體電路的示例性過程。

Claims (20)

  1. 一種積體電路結構,包括: 在第一方向上延伸的第一多個胞元列,所述多個第一胞元列中的每一者具有第一列高度且包括設置於其中的多個第一胞元;以及 在所述第一方向上延伸的第二多個胞元列,所述多個第二胞元列中的每一者具有與所述第一列高度不同的第二列高度且包括設置於其中的多個第二胞元, 其中所述多個第一胞元包括第一多個主動區,所述第一多個主動區中的每一者在所述第一方向上跨越所述多個第一胞元連續地延伸,且其中所述多個第二胞元包括第二多個主動區,所述第二多個主動區中的每一者在所述第一方向上跨越所述多個第二胞元連續地延伸。
  2. 如申請專利範圍第1項所述的積體電路結構,其中所述第一多個主動區的第一數量與所述第一列高度相關聯,且所述第二多個主動區的第二數量與所述第二列高度相關聯。
  3. 如申請專利範圍第2項所述的積體電路結構,其中所述第一數量不同於所述第二數量。
  4. 如申請專利範圍第1項所述的積體電路結構,其中所述第一多個主動區及所述第二多個主動區中的每一主動區包括具有鰭形結構的區。
  5. 如申請專利範圍第1項所述的積體電路結構,其中所述第一多個胞元列與所述第二多個胞元列沿與所述第一方向垂直的第二方向以交替配置形式排列。
  6. 如申請專利範圍第1項所述的積體電路結構,其中所述第一多個胞元列與所述第二多個胞元列根據所述第一多個胞元列的數目與所述第二多個胞元列的數目之間的比率而沿與所述第一方向垂直的第二方向混合。
  7. 如申請專利範圍第6項所述的積體電路結構,其中所述比率是以下中的一者:1/2、1/3、1/4、2/3、3/2,2/1、3/1、4/1及1/1。
  8. 一種積體電路結構,包括: 多個第一胞元,各自具有第一胞元高度,其中所述多個第一胞元的第一子集沿第一方向設置於第一胞元列中;以及 多個第二胞元,各自具有與所述第一胞元高度不同的第二胞元高度,其中所述多個第二胞元的第二子集沿所述第一方向設置於與所述第一胞元列不同的第二胞元列中, 其中所述多個第一胞元各自包括第一多個主動區,所述第一多個主動區中的每一者跨越所述多個第一胞元的所述第一子集連續地延伸,且其中所述多個第二胞元各自包括第二多個主動區,所述第二多個主動區中的每一者跨越所述多個第二胞元的所述第二子集連續地延伸。
  9. 如申請專利範圍第8項所述的積體電路結構,其中所述第一多個主動區的第一數量與所述第一胞元高度相關聯,且所述第二多個主動區的第二數量與所述第二胞元高度相關聯。
  10. 如申請專利範圍第8項所述的積體電路結構,其中所述第一多個主動區的第一數量不同於所述第二多個主動區的第二數量。
  11. 如申請專利範圍第8項所述的積體電路結構,其中所述第一多個主動區及所述第二多個主動區各自包括具有鰭形結構的區。
  12. 如申請專利範圍第8項所述的積體電路結構,其中所述多個第一胞元的第三子集沿所述第一方向設置於與所述第一胞元列及所述第二胞元列不同的第三胞元列中,且所述多個第二胞元的第四子集沿所述第一方向設置於與所述第一胞元列及所述第二胞元列不同的第四胞元列中。
  13. 如申請專利範圍第12項所述的積體電路結構,其中所述第一胞元列、所述第二胞元列、所述第三胞元列及所述第四胞元列沿與所述第一方向垂直的第二方向以交替配置形式排列。
  14. 一種積體電路設計系統,包括: 非暫態儲存媒體,被編碼有一組指令;以及 硬體處理器,與所述非暫態儲存媒體進行通訊耦合且被配置成執行所述一組指令,所述一組指令被配置成使所述處理器: 提供第一庫,所述第一庫包括多個第一胞元,所述多個第一胞元各自包括沿第一方向連續地延伸的第一多個主動區; 提供第二庫,所述第二庫包括多個第二胞元,所述多個第二胞元各自包括沿所述第一方向連續地延伸的第二多個主動區,其中所述第一多個主動區的數目與所述第二多個主動區的數目彼此不同; 自所述第一庫擷取所述多個第一胞元的第一子集及/或自所述第二庫擷取所述多個第二胞元的第二子集;以及 將沿所述第一方向延伸的所述多個第一胞元的所述第一子集放置於第一多個胞元列中及/或將沿所述第一方向延伸的所述多個第二胞元的所述第二子集放置於第二多個胞元列中。
  15. 如申請專利範圍第14項所述的積體電路設計系統,其中所述第一多個主動區的第一數量與所述多個第一胞元的第一胞元高度相關聯,且所述第二多個主動區的第二數量與所述多個第二胞元的第二胞元高度相關聯。
  16. 如申請專利範圍第14項所述的積體電路設計系統,其中所述第一多個主動區及所述多個第二主動區各自包括具有鰭形結構的區。
  17. 如申請專利範圍第14項所述的積體電路設計系統,其中: 在所述第一多個胞元列中的每一者中,所述多個第一胞元的所述第一子集各自的所述第一多個主動區跨越所述多個第一胞元的所述第一子集連續地延伸,且 在所述第二多個胞元列中的每一者中,所述多個第二胞元的所述第二子集各自的所述第二多個主動區跨越所述多個第二胞元的所述第二子集連續地延伸。
  18. 如申請專利範圍第14項所述的積體電路設計系統,其中所述一組指令被配置成更使所述處理器: 將所述第一多個胞元列及所述第二多個胞元列沿與所述第一方向垂直的第二方向放置以形成積體電路的佈局。
  19. 如申請專利範圍第18項所述的積體電路設計系統,其中所述一組指令被配置成更使所述處理器: 基於所述積體電路的效能要求,確定所述第一多個胞元列及所述第二多個胞元列沿所述第二方向的排列。
  20. 如申請專利範圍第19項所述的積體電路設計系統,其中所述一組指令被配置成更使所述處理器: 基於所述積體電路的所述效能要求,確定沿所述第二方向排列的所述第一多個胞元列的數目對所述第二多個胞元列的數目的比率。
TW107142026A 2017-11-28 2018-11-26 積體電路結構與積體電路設計系統 TWI691073B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591358P 2017-11-28 2017-11-28
US62/591,358 2017-11-28
US16/196,434 US11152348B2 (en) 2017-11-28 2018-11-20 Integrated circuit with mixed row heights
US16/196,434 2018-11-20

Publications (2)

Publication Number Publication Date
TW201926688A true TW201926688A (zh) 2019-07-01
TWI691073B TWI691073B (zh) 2020-04-11

Family

ID=66442702

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142026A TWI691073B (zh) 2017-11-28 2018-11-26 積體電路結構與積體電路設計系統

Country Status (5)

Country Link
US (1) US11152348B2 (zh)
KR (1) KR102168353B1 (zh)
CN (1) CN110046369B (zh)
DE (1) DE102018129324A1 (zh)
TW (1) TWI691073B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102495912B1 (ko) * 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US11080453B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit fin layout method, system, and structure
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11682665B2 (en) * 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
DE102020121306A1 (de) 2019-12-27 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Rundum-gate-feldeffekttransistoren in integrierten schaltungen
US11444089B2 (en) * 2019-12-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around field effect transistors in integrated circuits
US11803682B2 (en) * 2020-01-22 2023-10-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including standard cell having split portions
US11709985B2 (en) * 2020-01-22 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including standard cells with combined active region
US11256844B2 (en) * 2020-01-31 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell row arrangement in regions of integrated circuit layout
US20210240900A1 (en) * 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including combination rows and method and system for generating layout diagram of same
CN113284888A (zh) * 2020-02-20 2021-08-20 三星电子株式会社 半导体单元块和计算机实现方法
US11552067B2 (en) * 2020-02-20 2023-01-10 Samsung Electronics Co., Ltd. Semiconductor cell blocks having non-integer multiple of cell heights
US11151297B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple fin count layout, method, system, and device
DE102020127090A1 (de) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfinnenlayout, verfahren, system und bauelement
US11527527B2 (en) * 2020-05-21 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tap cell, integrated circuit structure and forming method thereof
US11355395B2 (en) * 2020-05-22 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit in hybrid row height structure
TWI790619B (zh) * 2020-05-26 2023-01-21 台灣積體電路製造股份有限公司 積體電路結構
US11515308B2 (en) 2020-06-12 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with hybrid cell design
KR20220003360A (ko) 2020-07-01 2022-01-10 삼성전자주식회사 상이한 높이들의 셀들을 포함하는 집적 회로 및 이를 설계하는 방법
KR20220003363A (ko) 2020-07-01 2022-01-10 삼성전자주식회사 다중 높이 셀을 포함하는 집적 회로 및 이를 설계하는 방법
KR20220023897A (ko) 2020-08-21 2022-03-03 삼성전자주식회사 반도체 장치
US20220100938A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Company Limited Flexible Cell Height Layout Architecture
US20220115405A1 (en) * 2020-10-08 2022-04-14 Qualcomm Incorporated Heterogeneous height logic cell architecture
KR20220048666A (ko) 2020-10-13 2022-04-20 삼성전자주식회사 비대칭적인 파워 라인을 포함하는 집적 회로 및 이를 설계하는 방법
KR20220058783A (ko) 2020-10-30 2022-05-10 삼성전자주식회사 반도체 장치

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8648403B2 (en) * 2006-04-21 2014-02-11 International Business Machines Corporation Dynamic memory cell structures
US7989849B2 (en) * 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
KR101108711B1 (ko) 2007-08-23 2012-01-30 삼성전자주식회사 액티브 패턴 구조물 및 그 형성 방법, 비휘발성 메모리소자 및 그 제조 방법.
US8631383B2 (en) * 2008-06-30 2014-01-14 Qimonda Ag Integrated circuits, standard cells, and methods for generating a layout of an integrated circuit
JP5552775B2 (ja) * 2009-08-28 2014-07-16 ソニー株式会社 半導体集積回路
US8261229B2 (en) * 2010-01-29 2012-09-04 Xilinx, Inc. Method and apparatus for interconnect layout in an integrated circuit
US8451026B2 (en) * 2011-05-13 2013-05-28 Arm Limited Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US8607172B2 (en) * 2011-10-06 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods of designing the same
US8788998B2 (en) 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US9501600B2 (en) 2013-05-02 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cells for predetermined function having different types of layout
JP6136593B2 (ja) 2013-06-03 2017-05-31 株式会社リコー スタンダードセルのレイアウト方法、スタンダードセルのレイアウトプログラム、および半導体集積回路
US9547034B2 (en) * 2013-07-03 2017-01-17 Xilinx, Inc. Monolithic integrated circuit die having modular die regions stitched together
KR102083492B1 (ko) * 2013-09-26 2020-03-02 삼성전자 주식회사 FinFET 소자를 위한 더미 셀 어레이 및 이를 포함한 반도체 집적 회로
KR102152772B1 (ko) 2013-11-18 2020-09-08 삼성전자 주식회사 레이아웃 디자인 시스템, 레이아웃 디자인 방법, 및 이를 이용하여 제조된 반도체 장치
CN103956332B (zh) * 2014-05-05 2017-06-20 格科微电子(上海)有限公司 用于提升走线资源的集成电路结构及方法
TWI656622B (zh) * 2014-09-23 2019-04-11 聯華電子股份有限公司 積體電路佈局結構
KR102217246B1 (ko) * 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102352153B1 (ko) * 2015-03-25 2022-01-17 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US10157254B2 (en) * 2015-12-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques based on electromigration characteristics of cell interconnect
US10163880B2 (en) * 2016-05-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of fabricating the same

Also Published As

Publication number Publication date
CN110046369A (zh) 2019-07-23
DE102018129324A1 (de) 2019-05-29
US20190164949A1 (en) 2019-05-30
CN110046369B (zh) 2022-10-18
US11152348B2 (en) 2021-10-19
TWI691073B (zh) 2020-04-11
KR102168353B1 (ko) 2020-10-23
KR20190062312A (ko) 2019-06-05

Similar Documents

Publication Publication Date Title
TWI691073B (zh) 積體電路結構與積體電路設計系統
US11282829B2 (en) Integrated circuit with mixed row heights
US10990722B2 (en) FinFET cell architecture with insulator structure
US9076673B2 (en) FinFET cell architecture with power traces
Bobba et al. Performance analysis of 3-D monolithic integrated circuits
Panth et al. High-density integration of functional modules using monolithic 3D-IC technology
Yan et al. Mono3D: Open source cell library for monolithic 3-D integrated circuits
US9378320B2 (en) Array with intercell conductors including nanowires or 2D material strips
US20160335387A1 (en) Design Tools For Converting a FinFet Circuit into a Circuit Including Nanowires and 2D Material Strips
CN110226227B (zh) 集成电路的电网布局设计
US20160284704A1 (en) Nanowire or 2d material strips interconnects in an integrated circuit cell
Wu et al. Scan chain design for three-dimensional integrated circuits (3D ICs)
Kim et al. Design quality trade-off studies for 3-D ICs built with sub-micron TSVs and future devices
US20230092184A1 (en) Standard cell design architecture for reduced voltage droop utilizing reduced contacted gate poly pitch and dual height cells
Kim et al. NS3K: A 3-nm nanosheet FET standard cell library development and its impact
TWI790619B (zh) 積體電路結構
Pentapati et al. Logic monolithic 3D ICs: PPA benefits and EDA tools necessary
Jung et al. Fine-grained 3-D IC partitioning study with a multicore processor