TW201841261A - 釕配線的製造方法 - Google Patents

釕配線的製造方法 Download PDF

Info

Publication number
TW201841261A
TW201841261A TW107106470A TW107106470A TW201841261A TW 201841261 A TW201841261 A TW 201841261A TW 107106470 A TW107106470 A TW 107106470A TW 107106470 A TW107106470 A TW 107106470A TW 201841261 A TW201841261 A TW 201841261A
Authority
TW
Taiwan
Prior art keywords
film
ruthenium
gas
forming
manufacturing
Prior art date
Application number
TW107106470A
Other languages
English (en)
Other versions
TWI751291B (zh
Inventor
石坂忠大
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201841261A publication Critical patent/TW201841261A/zh
Application granted granted Critical
Publication of TWI751291B publication Critical patent/TWI751291B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一種可縮短用以製造Ru配線之時間的Ru配線的製造方法。 在對表面具形成有凹部之既定的膜之基板,填埋凹部以製造釕配線時,藉由使用釕原料氣體之CVD來成膜出第1釕膜以填埋凹部,而在填埋於凹部內之第1釕膜上,藉由使用釕原料氣體的CVD,以較填埋時要大的成膜速度來成膜出第2釕膜,以形成層積層,接著,藉由CMP來去除基板表面之該第2釕膜及該第1釕膜而平坦化。

Description

釕配線的製造方法
本發明係關於一種釕配線的製造方法。
近年來,伴隨著半導體元件的微細化,配線的微細化亦有所進展。其結果,便使得起因於配線阻抗的加大以及配線間的耦合電容的加大所致之RC延遲會阻礙元件的高速動作之問題明顯化。因此,近年來,配線材料便使用塊體的阻抗會較以往所使用的鋁(Al)或鎢(W)要低的銅(Cu),而層間絕緣膜則會使用低介電率膜(Low-k膜)。
另外,因為微細化有進一步地進展,故在Cu配線上便產生出新的問題點。亦即,根據ITRS的研發藍圖,14nm世代的元件所使用的配線寬度為32nm,其會較Cu材料中之電子平均自由路徑的約39nm要窄,而會產生散亂所致之阻抗值上升。具體而言,配線之阻抗值係以塊體的阻抗值、表面散亂所致之阻抗因子以及粒場散亂所致之阻抗因子的和值來加以表示,但由於表面散亂所致之阻抗因子以及粒場散亂所致之阻抗因子的任一者都會與平均自由路徑成比例,故在電子的平均自由路徑較配線寬度要大時,便會是對電子的配線側面及粒場的衝突所支配,而產生散亂所致之阻抗值的上升。此般情事會因配線變得愈來愈微細而變得明顯。
於是,便探討雖塊體阻抗值沒有Cu那麼低,但在材料中的電子平均自由路徑卻會較Cu要短的釕(Ru)來做為配線材料。具體而言,Ru的塊體阻抗值為7.1μΩ-cm,而較Cu的1.7μΩ-cm要高,但電子平均自由路徑卻為10.8nm,而較Cu的38.7nm要短。
又,由於Ru的熔點是較Cu的熔點之1085℃要高而為2334℃,故從電子遷移耐性的點看來亦會較Cu有利。
於是,便提議有一種在溝槽內,藉由ALD(Atomic Layer Deposition)來填埋Ru膜,以形成Ru配線的技術(非專利文獻1)。又,亦可進行藉由化學蒸鍍法CVD(Chemical Vapor Deposition)來成膜出Ru膜(專利文獻1)。
另一方面,作為形成Cu配線的技術,已知一種在半導體晶圓表面形成有溝槽之層間絕緣膜形成阻隔膜後,將Cu填埋至溝槽,之後,藉由CMP(Chemical Mechanical Polishing)法來平坦化的技術(例如專利文獻2)。從而,在形成Ru配線時,亦會考量到在藉由ALD或CVD來成膜出Ru膜後,藉由CMP處理來平坦化之情事。 [先前技術文獻]
[專利文獻] 專利文獻1:日本特開2010-212601號公報 專利文獻2:日本特開2006-148075號公報
[非專利文獻] 非專利文獻1:L.G.Wen et al.,Proceeding of IEEE IITC/AMC 2016, pp34-36
另外,在藉由CMP來平坦化的情況,係在將Ru膜填埋至溝槽後,需要形成填埋所需厚度以上的層積層,因此便會使得用以製造Ru配線的程序時間變長。
從而,本發明之課題在於提供一種可縮短用以製造Ru配線的時間之Ru配線的製造方法。
為了解決上述課題,本發明第1觀點便提供一種釕配線的製造方法,係對表面具形成有凹部之既定的膜之基板填埋該凹部以製造釕配線之釕配線的製造方法,具有:藉由使用釕原料氣體之CVD來成膜出第1釕膜以填埋該凹部之工序;在填埋於該凹部內的該第1釕膜上,藉由使用釕原料氣體之CVD,以較填埋時要大的成膜速度來成膜出第2釕膜,以形成層積層的工序;以及藉由CMP來去除基板表面之該第2釕膜及該第1釕膜,而平坦化之工序。
上述第1觀點中,在形成該層積層時之該第2釕膜的成膜係可藉由調整成膜出該第1釕膜時的程序參數數值來使成膜速度成為較該第1釕膜的成膜速度要大。較佳地係藉由提高成膜溫度、加大原料氣體供給量、降低處理壓力以及加寬基板與氣體噴出面之間的間距的至少1種來作為程序參數數值之調整以加大成膜速度。
較佳地係在該平坦化的工序之前,進一步地具有以含氫氛圍來進行退火處理的工序。
在形成該層積層時之該第2釕膜的成膜亦可藉由添加含氫還原氣體來使成膜速度成為較該第1釕膜之成膜速度要大。此時,該含氫還原氣體可使用H2 氣體、NH3 氣體以及SiH4 氣體的至少1種。
本發明第2觀點提供一種釕配線的製造方法,係對表面具形成有凹部之既定的膜之基板填埋該凹部以製造釕配線之釕配線的製造方法,具有:藉由使用釕原料氣體的CVD來成膜出第1釕膜,以填埋該凹部之工序;在填埋於該凹部內的該第1釕膜上,藉由在釕原料氣體添加含氫還原氣體的CVD來成膜出第2釕膜,以形成層積層的工序;以及藉由CMP來去除基板表面的該第2釕膜及該第1釕膜而平坦化之工序。
上述第2觀點中,該含氫還原氣體可使用H2 氣體、NH3 氣體以及SiH4 氣體的至少1種。在形成該層積層時之該第2釕膜的成膜亦可藉由調整成膜出該第1釕膜時的程序參數數值來使成膜速度成為較該第1釕膜的成膜速度要大。此時,較佳地係藉由提高成膜溫度、加大原料氣體供給量、降低處理壓力以及加寬基板與氣體噴出面之間的間距的至少1種來作為程序參數數值之調整以加大成膜速度。
上述第1及第2觀點中,較佳地係在該第1釕膜之成膜前,進一步地具有於該凹部內形成基底膜的工序。該基底膜可使用TiN膜、Ta膜、TaN膜、TaAlN膜以及TiON膜的任一者。
該第1釕膜及該第2釕膜較佳地係使用羰基釕來作為釕原料氣體而加以成膜。在此情況,形成該第1釕膜及該第2釕膜時之處理溫度係可在120~300℃的範圍,壓力可在0.013~133.33Pa的範圍。
根據本發明,便可提供一種能縮短用以製造Ru配線的時間之Ru配線的製造方法。
以下,便參照添附圖式就本發明實施形態來具體說明。
<Ru配線的製造方法> 首先,便就本發明一實施形態相關之Ru配線的製造方法來加以說明。圖1 係概略性地顯示本發明一實施形態相關之Ru配線的製造方法之流程圖。圖2係其工序剖面圖。
首先,準備好在由半導體(例如Si)所構成,且形成有下部構造的基體201上形成有由SiO2 膜、低介電率(Low-k)膜(SiCO、SiCOH等)等所構成的層間絕緣膜202,並在層間絕緣膜202以既定圖案來形成有溝槽203,在溝槽203底部與基體201上的下部構造(未圖示)之間以既定間隔來形成有貫孔204的半導體晶圓(以下,僅記為晶圓)W(步驟1、圖2(a))。
接著,對此晶圓W,依需要進行前處理之除氣(Degas)程序或前洗淨(預清潔,Pre-Clean)程序後,在包含溝槽203及貫孔204的表面之整面成膜出基底膜205(步驟2,圖2(b))。
雖Ru膜會較Cu膜要難擴散,而需要阻隔膜的必要性較低,但從使相對於絕緣膜的密合性成為良好的觀點看來,便會成膜出基底膜205。雖只要為能讓Ru膜的密合性成為良好者即可,但較佳地係兼具有阻隔性者,而可適當地使用會在以往Cu配線形成時作為Cu膜的阻隔膜來被加以使用的TiN膜、Ta膜、TaN膜、TaAlN膜以及TiON膜等。基底膜205的厚度較佳地為0.1~10nm,更佳地為0.5~5nm。基底膜可以ALD、CVD、離子化PVD(Ionized physical vapor deposition,iPVD)等來加以成膜。TiN膜、TaN膜、TiON膜較佳地係藉由ALD來加以成膜,Ta膜較佳地係以iPVD來加以成膜。另外,在可於層間絕緣膜直接成膜出Ru膜的情況,便無需基底膜205。
之後,便藉由例如CVD來成膜出Ru膜206,以將Ru膜206填埋至溝槽203及貫孔204內(步驟3,圖2(c))。
之後,在預備之後所進行之CMP的平坦化處理時,藉由CVD,以較填埋時要大的成膜速度來成膜出例如Ru膜,以形成層積層207(步驟4,圖2(d))。
在層積層207形成後,便進行退火處理(步驟5,圖2(e))。此退火處理係為了加大Ru膜206之Ru結晶的粒徑以降低阻抗的作用,以及去除Ru膜206及層積層207中的碳(C)或氧(O)等的雜質而加以進行。此處理係使用包含H2 氣體的氣體,例如成形氣體(H2 +Ar或H2 +N2 )來加以進行。此處理雖非必須,但由於具有粒徑加大效果及雜質去除效果,故進行為佳。
之後,便藉由例如以往在製造Cu配線時所使用的CMP來研磨晶圓W表面的整面,以去除層積層207、Ru膜206及基底膜205的較層間絕緣膜202表面要靠上的部份,而平坦化(步驟6,圖2(f))。藉此,便會在溝槽203及貫孔204內形成有由基底膜205及Ru膜206所構成的Ru配線208。
<Ru填埋工序及層積層形成工序> 接著,便就Ru膜206填埋工序及層積層207形成工序來詳細說明。 用以填埋的Ru膜206較佳地係使用羰基釕(Ru3 (CO)12 )來作為成膜原料,並藉由將其會在晶圓W上熱裂解的熱CVD來成膜。藉此,便可以高純度、高階段覆蓋來成膜出薄Ru膜,而可填埋10nm左右的狹窄溝槽。此時之成膜反應係如下(1)式所示。 Ru3 (CO)12 (s)+Heat→3Ru(s)+12CO↑…(1) 此時的成膜條件係例如處理容器內之壓力為0.013~133.3Pa(0.1mTorr~1Torr),較佳地係在1.3~66.5Pa(10~500mTorr)範圍,成膜溫度(晶圓溫度)為120~300℃,較佳地係在130~250℃範圍。
Ru膜206亦可使用羰基釕以外其他的成膜原料,例如(環戊二烯)(2,4-二甲基戊二烯)釕,(2,4-甲基戊二烯)二茂釕,(2,4-二甲基戊二烯)(乙基戊二烯)釕,以及雙(2,4-甲基戊二烯)(乙基環戊二烯)釕般之釕的茂化合物來加以成膜。在使用該等的情況,除了成膜原料氣體以外,還可依需要使用還原氣體,藉由CVD來加以成膜。另外,本實施形態中,Ru膜成膜的CVD亦包含ALD。
填埋時之Ru膜206厚度只要為能幾乎完全填埋溝槽203的厚度的話即可,亦可較其要厚。例如,在溝槽寬度為10nm的情況,Ru膜206的厚度便會成為5nm或較其要稍微要厚的厚度。
較佳地,層積層207之形成係與Ru膜206填埋時相同,使用羰基釕(Ru3 (CO)12 )來作為成膜原料,而藉由將其會在晶圓W上熱裂解之熱CVD以成膜出Ru膜來加以形成。又,亦可使用上述釕的茂化合物等的其他成膜原料,藉由CVD來加以成膜。
層積層207係在利用CMP的平坦化處理時,會以某種程度的厚度來在晶圓整面形成有Ru膜者。例如若是溝槽寬度為10nm的話,相對於填埋所需要的Ru膜厚度為5nm或較其稍微要大的程度,則層積層的厚度便需要大於15nm。
在將Ru膜206填埋至微細溝槽203或貫孔204的情況,由於會控制在溝槽203或貫孔204內的核成長,且被要求正型成膜,故成膜速度的控制是相當重要的。其結果,便會使Ru膜206的填埋程序時之成膜速度變慢(例如,成膜速度<2nm/min)。因此,在以填埋Ru膜時之條件,來成膜出利用CMP之平坦化所需要的高度時,便會使得用以製造Ru配線的總程序時間變長。
然而,在填埋微細溝槽203及貫孔204後,由於會對平坦部進行成膜,故無需如填埋時降低成膜速度。
於是,便會區分成對溝槽203及貫孔204填埋Ru膜,以及對平坦部的成膜,而在成膜出用以填埋的Ru膜206後,於對平坦部進行Ru成膜以形成層積層207時,便以使成膜速度會較填埋時要大的方式來設定成膜條件。藉此,便可縮短整體程序時間。
對成膜速度造成影響的程序參數係有成膜溫度(晶圓溫度)、原料氣體供給量、處理壓力以及晶圓與噴淋頭的氣體噴出面之間的間距,成溫溫度愈高、原料氣體供給量愈大、處理壓力愈低、且間距愈寬,則成膜速度愈大。從而,在成膜出層積層207時,可藉由調整該等參數中的至少1種,來使成膜速度會較填埋時之Ru膜206要大。該等中,成膜溫度會對成膜速度造成最大影響。例如,在以150℃來進行Ru膜206填埋時的成膜溫度後,藉由將層積層207形成時的Ru膜成膜溫度成為200℃,便可使成膜速度提升200%。
又,較佳地,可取代上述般調整程序參數來成膜出層積層207的步驟4,而在成為層積層207的Ru膜成膜時,進行添加含氫(H)還原氣體的步驟4’。含H還原氣體可適當地使用H2 氣體。又,除了含H還原氣體,還可使用NH3 氣體或SiH4 氣體。含H還原氣體的流量較佳地係在10~500sccm範圍。在成膜出填埋Ru膜206時,並不會添加H2 氣體般之含H還原氣體,而是藉由在成為層積層207之Ru膜成膜時添加含H還原氣體,便可促進晶圓上之成膜反應而加大成膜速度,而可與上述調整程序參數的情況同樣地縮短整體程序時間。
參照圖4來說明此情況。圖4係比較使用Ru3 (CO)12 來做成膜原料,而有添加及無添加H2 氣體的情況之成膜速度的圖式。此時的條件係表示Ru3 (CO)12 :0.6sccm(載體氣體流量:300sccm),晶圓溫度:200℃,壓力:0.5Torr,H2 氣體流量:100sccm的情況。如圖4所示,得知藉由添加H2 氣體,便可提升Ru膜的成膜速度。
又,在成為層積層207之Ru膜成膜時添加H2 氣體等的含H還原氣體時,氫會與C或O等雜質反應而發揮去除該等的作用,而可去除填埋於層積層207及溝槽203等的Ru膜206中的雜質。
圖5係就在使用Ru3 (CO)12 ,而無添加H2 氣體來成膜出2.5nm的Ru膜後,不進行成形氣體退火的情況(樣品1)、在使用Ru3 (CO)12 ,而無添加H2 氣體來成膜出2.5nm的Ru膜後,進行成形氣體退火的情況(樣品2)、在使用Ru3 (CO)12 ,而無添加H2 氣體來成膜出2.0nm的Ru膜後,進行成形氣體退火的情況(樣品3)、在使用Ru3 (CO)12 ,且進一步地添加H2 氣體來成膜出2.0nm的Ru膜後,進行成形氣體退火的情況(樣品4),來顯示雜質之C及O的相對濃度之圖式。在比較圖5之樣品1與樣品2時,得知在進行成形氣體退火後的樣品2中,雜質的C及O會減少。又,在比較Ru膜厚為2.5nm及2.0nm中進行成形氣體退火後的樣品2與樣品3時,雜質量為相同程度。進一步地,在比較成膜時未添加H2 氣體的樣品3與有添加之樣品4時,得知藉由添加H2 氣體,會進一步地減少雜質之C及O。因此,便確認到藉由在層積層207的Ru膜成膜時添加H2 氣體等的含H還原氣體,會得到與成形退火相同的雜質去除效果。
又,在形成層積層207時,藉由供給含H還原氣體,亦可期待Ru膜206的結晶成長。
亦即,如圖3流程圖所示,藉由取代步驟4,而進行在成為層積層207的Ru膜成膜時添加H2 氣體等的含H還原氣體之步驟4’,即便省略步驟5之退火,仍可得到與有退火之情況相同的效果。
在進行成為層積層207之Ru膜成膜時添加H2 氣體等的含H還原氣體之步驟4’時,可一併調整上述程序參數的至少1種來使成膜速度進一步地變大。
另外,在以較上述填埋時要大的成膜速度來成膜出Ru膜,以形成層積層207的步驟4中,除了調整上述程序參數的至少1種之外,還可添加含H還原氣體,亦可僅藉由添加含H還原氣體來提高成膜速度。藉此,便會得到雜質去除效果及結晶粒徑增大效果,而藉由之後的步驟5之退火處理便可更加提高該等的效果。
[成膜系統] 接著,便就為了實施上述Ru配線之製造方法而使用的成膜系統一範例來 加以說明。
圖6係概略性地顯示此般成膜系統一範例的水平剖面圖。 成膜系統1係具有:基底膜成膜裝置11;冷卻裝置12;以及填埋用Ru膜成膜裝置13a、層積用Ru膜成膜裝置13b。該等會分別透過閘閥G來連接在平面形狀為七角形的真空搬送室10的4個壁部。真空搬送室10內會藉由真空泵來被排氣而被保持在既定真空度。
冷卻裝置12係因為在基底膜成膜裝置11與填埋用Ru膜成膜裝置13a之處理溫度有所差異,而在用以在將於基底膜成膜裝置11所處理後之晶圓W搬送至填埋用Ru膜成膜裝置13a前暫時冷卻至室溫者,且具有在保持為真空之腔室內,設置有載置晶圓W之冷卻板的構造。另外,基底膜成膜裝置11可藉由ALD、CVD、離子化PVD來成膜出上述般TiN膜、Ta膜、TaN膜、TaAlN膜以及TiON膜等的任一者來作為基底膜。又,填埋用Ru膜成膜裝置13a及層積用Ru膜成膜裝置13b會如之後詳細說明般藉由CVD來進行成膜。
真空搬送室10之其他3個壁部係透過閘閥G1來連接有3個裝載互鎖室14。夾置裝載互鎖室14而在真空搬送室10相反側係設置有大氣搬送室15。3個裝載互鎖室14會透過閘閥G2來連接於大氣搬送室15。裝載互鎖室14係在大氣搬送室15與真空搬送室10之間於搬送晶圓W時,在大氣壓與真空之間進行壓力控制者。
大氣搬送室15的裝載互鎖室14的安裝壁部的相反側壁部係具有安裝收納晶圓W之載具(FOUP等)C的3個載具安裝埠16。又,大氣搬送室15側壁係設置有進行矽晶圓W的對位的對位腔室17。大氣搬送室15內會形成有清淨空氣的下降氣流。
真空搬送室10內係設置有搬送機構18。搬送機構18會相對於基底膜成膜裝置11、冷卻裝置12、填埋用Ru膜成膜裝置13a、層積用Ru膜成膜裝置13b、裝載互鎖室14來搬送晶圓W。搬送機構18係具有可獨立移動的2個搬送臂19a、19b。
大氣搬送室15內係設置有搬送機構20。搬送機構20會相對於載具C、裝載互鎖室14、對位腔室17來搬送晶圓W。
成膜系統1係具有整體控制部21。整體控制部21係具有:主控制部;輸入裝置(鍵盤、滑鼠等);輸出裝置(印表機等);顯示裝置(顯示螢幕等);以及記憶裝置(記憶媒體),該主控制部係具有會控制基底膜成膜裝置11、冷卻裝置12、填埋用Ru膜成膜裝置13a及層積用Ru膜成膜裝置13b的各構成部、真空搬送室10之排氣機構及搬送機構18、裝載互鎖室14之排氣機構及搬送機構、大氣搬送室15之搬送機構20以及閘閥G、G1、G2的驅動系統等的CPU(電腦)。整體控制部21之主控制部會基於例如記憶裝置所內建的記憶媒體,或是裝設於記憶裝置之記憶媒體所記憶的處理配方,來讓成膜系統1實行既定動作。
接著,便就如上述構成的成膜系統1之動作來加以說明。以下處理動作係基於整體控制部21之記憶媒體所記憶的處理配方來加以實行。
首先,藉由搬送機構20從大氣搬送室15所連接的載具C來將晶圓W取出,並開啟任一裝載互鎖室14的閘閥G2來將該晶圓W搬入至該裝載互鎖室14內。在關閉閘閥G後,將裝載互鎖室14內真空排氣。
該裝載互鎖室14會在到達既定真空度的時間點開啟閘閥G1,並藉由真空搬送室10內之搬送機構18的任一搬送臂19a、19b來從裝載互鎖室14將晶圓W取出。
然後,開啟基底膜成膜裝置11之閘閥G,並將搬送機構18之任一搬送臂所保持的晶圓W搬入至基底膜成膜裝置11,關閉閘閥G,而藉由基底膜成膜裝置11來進行基底膜之成膜。
在基底膜之成膜處理結束後,便開啟閘閥G,而藉由搬送機構18之任一搬送臂,來將晶圓W搬出,並開啟冷卻裝置12之閘閥G來將晶圓W搬入至其中。在冷卻裝置12冷卻晶圓W後,藉由搬送機構18之任一搬送臂來將晶圓W搬出,並開啟填埋用Ru膜成膜裝置13a的閘閥G來將晶圓W搬入至其中。然後,藉由填埋用Ru膜成膜裝置13a來進行填埋用的Ru膜成膜。
在填埋用的Ru膜成膜後,藉由搬送裝置18之任一搬送臂來將晶圓W搬出,並開啟層積用Ru膜成膜裝置13b的閘閥G,來將晶圓W搬入至其中。然後,藉由層積用Ru膜成膜裝置13b來成膜出層積用的Ru膜,以形成層積層。
在完成層積層成膜後,便開啟層積用Ru膜成膜裝置13b之閘閥G,藉由搬送機構18的任一搬送臂19a、19b來將其中之晶圓W搬出,並接著開啟任一裝載互鎖室14之閘閥G1,來將搬送臂上之晶圓W搬入至該裝載互鎖室14內。然後,使該裝載互鎖室14內回復到大氣,並開啟閘閥G2,而以搬送機構20來將裝載互鎖室14內之晶圓W移回載具C。
就複數矽晶圓W來同時並行地進行上述般之處理,而完成既定片數之晶圓W的基底膜的成膜處理以及填埋及層積的Ru膜成膜處理。
如上述般結束層積層的Ru膜成膜後,便將載具C搬送至退火裝置(未圖示)來對晶圓W進行退火處理。另外,退火處理可在成膜系統1內進一步地設置退火裝置來加以進行,亦可在成膜系統1之裝載互鎖室14等的任一模組設置退火機能來加以進行。在進行退火處理後,便將載具C搬送至CMP裝置(未圖示),以進行晶圓W之CMP處理。
(填埋用Ru膜成膜裝置) 接著,便就上述成膜系統1之填埋用Ru膜成膜裝置13a來加以說明。 圖7係概略性地顯示填埋用Ru膜成膜裝置13a一範例的剖面圖。
該Ru膜成膜裝置13a係具有構成為氣密的略圓筒狀之腔室101,其中係藉由腔室101之底壁中央所設置之圓筒狀的支撐構件103來支撐配置有用以水平地支撐被處理基板之晶圓W的晶座102。晶座102係填埋有加熱器105,此加熱器105會藉由從加熱器電源106供電來將被處理基板之晶圓W加熱至既定溫度。另外,晶座102係相對於晶座102表面而可出沒地設置有用以支撐升降晶圓W的複數晶圓升降銷(未圖示)。
腔室101頂壁係以與晶座102對向的方式來設置有將用以CVD成膜出Ru膜之處理氣體噴淋狀地導入至腔室101內的噴淋頭110。噴淋頭110係用以將下述氣體供給機構130所供給之氣體噴出至腔室101內者,其上部係形成有用以導入氣體的氣體導入口111。又,噴淋頭110內部係形成有氣體擴散空間112,噴淋頭110底面係形成有連通於氣體擴散空間112的多數氣體噴出孔113。
腔室101底壁係設置有向下突出之排氣室121。排氣室121側面係連接有排氣配管122,該排氣配管122係連接有具真空泵及壓力控制閥等的排氣裝置123。然後,可藉由作動此排氣裝置123來使腔室101內成為既定減壓(真空)狀態。
腔室101側壁係設置有用以在與真空搬送室10之間搬出入晶圓W的搬出入口127,搬出入口127係藉由閘閥G來加以開閉。
氣體供給機構130係具有收納作為固體狀之成膜原料S的羰基釕(Ru3 (CO)12 )的成膜原料容器131。成膜原料容器131周圍設有加熱器132。成膜原料容器131係從上方插入有供給載體氣體的載體氣體供給配管133。載體氣體供給配管133係連接有供給載體氣體的載體氣體供給源134。載體氣體可使用Ar氣體或N2 氣體等的非活性氣體,或是CO氣體。又,成膜原料容器131係插入有成膜原料氣體供給配管135。此成膜原料氣體供給配管135會連接於噴淋頭110之氣體導入口111。從而,便會從載體氣體供給源134透過載體氣體供給配管133來將載體氣體送進成膜原料氣體容器131內,而將在成膜原料容器131內昇華後的羰基釕(Ru3 (CO)12 )氣體藉由載體氣體來搬送,並透過原料氣體供給配管135及噴淋頭110來供給至腔室101內。載體氣體供給配管133係設置有流量控制用質流控制器136與其前後的閥137a、137b。又,氣體供給配管135係設置有用以掌握羰基釕(Ru3 (CO)12 )的氣體量之流量計138及其前後的閥139a、139b。
氣體供給機構130係進一步具有非活性氣體供給源144以及連接於非活性氣體供給源144的非活性氣體供給配管145。非活性氣體供給配管145另端會連接於成膜原料氣體供給配管135。非活性氣體可使用例如Ar氣體、N2 氣體等。非活性氣體會作為沖淨成膜原料氣體供給配管135及腔室101內的殘留氣體之沖淨氣體來被加以使用。又,非活性氣體可作為稀釋成膜原料氣體的稀釋氣體來加以使用。非活性氣體供給配管145係設置有流量控制用之質流控制器146及其前後的閥147a、147b。
填埋用Ru膜成膜裝置13a係具有用以控制其各構成部,例如加熱器電源106、排氣裝置123、氣體供給機構130之閥、質流控制器等的各構成部的控制部150。控制部150會藉由整體控制部21之指令來控制各構成部。
如此般構成的填埋用Ru膜成膜裝置13a中,會開啟閘閥G來從搬出入口127將晶圓W搬入至腔室101內,而載置於晶座102上。晶座102會藉由加熱器105來被加熱至120~300℃,較佳地係130~250℃範圍內的溫度,例如150℃,藉由將非活性氣體導入至腔室101內來加熱晶圓W,藉由排氣裝置123之真空泵來將腔室101內排氣,以在腔室101內進行壓力調整。此時腔室內之壓力會被保持在0.013~133.3Pa(0.1mTorr~1Torr),較佳地係在1.3~66.5Pa(10~500mTorr)的範圍,例如1.3Pa(10mTorr)。
接著,便開啟閥137a、137b,透過載體氣體供給配管133來將載體氣體送進成膜原料容器131,將在成膜原料容器131內藉由加熱器132的加熱所昇華生成後的Ru3 (CO)12 氣體藉由載體氣體來搬送,並透過成膜原料氣體供給配管135及噴淋頭110來導入至腔室101內。此時的Ru3 (CO)12 氣體係在0.1~3sccm,較佳地係在0.2~1sccm的範圍。此時,Ru3 (CO)12 氣體流量係藉由載體氣體流量來決定,例如藉由使載體氣體流量成為10~300sccm,便可以0.1~3sccm流量來供給Ru3 (CO)12 氣體。載體氣體係如上述,可使用Ar氣體或N2 氣體等的非活性氣體或CO氣體,而藉由使用CO氣體,便可抑制配管內等的上述(1)式所示的Ru3 (CO)12 氣體的裂解反應,而可將盡可能地持續維持住Ru3 (CO)12 化學結構的成膜氣體供給至晶圓W。
在成膜處理結束後,便將腔室101內沖淨,而開啟閘閥G,藉由搬送機構18透過搬出入口127來將晶圓W搬出。
在填埋用Ru膜之成膜時,由於如上述般,會控制在溝槽及貫孔內的核成長,且要求要有正型成膜,故成膜速度之控制是很重要的,成膜速度會以成為成膜速度<2nm/min的遲緩成膜速度的方式來調整成膜溫度、Ru3 (CO)12 氣體流量、腔室內壓力、晶座102(晶圓W)與噴淋頭110之間的間距等的程序參數。
(層積用Ru膜成膜裝置) 接著,便就上述成膜系統1的層積用Ru膜成膜裝置13b來加以說明。
如上述,層積用Ru膜成膜裝置13b係用以成膜出作為層積層的Ru膜者,並以成膜速度會較填埋時要大的方式來設定成膜條件。例如,調整成膜溫度、Ru3 (CO)12 氣體流量、腔室內壓力、晶座102(晶圓W)與噴淋頭110之間的間距等的程序參數。在僅調整此般程序參數的情況,層積用Ru膜成膜裝置13b係使用與圖7所示之填埋用Ru膜成膜裝置13a相同之構成者,而僅只要改變程序參數的話即可。如此般,藉由以成膜速度會較填埋時之成膜速度要增加的方式來調整程序參數,便可縮短整體的程序時間。
在使用添加含H還原氣體,例如H2 氣體,而除了增加成膜速度之外還得到雜質去除效果者來作為層積用Ru膜成膜裝置13b的情況,則層積用Ru膜成膜裝置13b便會為例如圖8所示者。圖8中,對於與圖7相同者便附加相同符號並省略說明。
如圖8所示,在此情況的層積用Ru膜成膜裝置13b係取代圖7之氣體供給機構130,而具有具備供給H2 氣體的機構之氣體供給機構130’。亦即,氣體供給機構130’係除了具有與氣體供給機構130同樣地,藉由載體氣體來將成膜原料容器131內之成膜原料S供給至腔室101,並可從非活性氣體供給源144透過非活性氣體配管145及氣體供給配管135來將非活性氣體導入至腔室101內的構成以外,還具有供給作為含H還原氣體的H2 氣體的H2 氣體供給源154以及連接於H2 氣體供給源154的H2 氣體供給配管155。H2 氣體供給配管155另端會連接於成膜原料氣體供給配管135。H2 氣體供給配管155係設置有流量控制用質流控制器156與其前後的閥157a、157b。含H還原氣體可如上述般,為NH3 氣體或SiH4 氣體。
在層積用Ru膜成膜裝置13b為圖8所示般之構成的情況,在成膜時,會將在成膜原料容器131內藉由加熱器132之加熱所昇華生成後的Ru3 (CO)12 氣體藉由載體氣體來搬送,而透過成膜原料氣體供給配管135及噴淋頭110來導入至腔室101內,並從H2 氣體供給源154透過H2 氣體供給配管155及成膜原料氣體供給配管135來將H2 氣體供給至腔室101內。藉此,便可藉由H2 氣體的作用,來使成膜速度增加,而縮短整體程序時間。又,可藉由H2 的作用來得到去除C及O等的雜質之效果以及促進結晶成長的效果。從而,即便不設置退火裝置仍可得到與進行退火處理相同之效果。
圖8之裝置中,除了供給H2 氣體,還可藉由調整會對上述成膜速度造成影響的程序參數中的至少1種,來進一步地提升成膜速度,而可更縮短整體的程序時間。
<實驗例> 接著,便就實驗例來加以說明。 在此,便就以維持填埋時之條件(條件1)來將填埋部分為5nm與層積部分為15nm的總計20nm之Ru膜連續填埋於寬度為10nm的溝槽之情況(程序A)以及在以填埋條件(條件1)來填埋5nm後,將成膜條件改變為成膜速度會較大的層積條件(條件2)而填埋層積部分15nm的情況(程序B)來比較程序時間。
此時,填埋條件之條件1及層積條件2係如下所示。 ・條件1 成膜溫度(晶圓溫度):150℃ 腔室內壓力:10mTorr 載體氣體(CO氣體)流量:100sccm(相對於Ru3 (CO)12 流量為1sccm) ・條件2 成膜溫度(晶圓溫度):195℃ 腔室內壓力:100mTorr 載體氣體(CO氣體)流量:200sccm(相對於Ru3 (CO)12 流量為2sccm) H2 氣體流量:100sccm
其結果,如圖9所示,相對於在以維持填埋條件1來連續成膜出從Ru膜的填埋到層積的總計20nm的程序A之情況,程序時間為1067sec,在以填埋條件之條件1來填埋5nm的Ru膜後,再以層積條件之條件2來成膜出15nm的Ru膜層積層之程序B的情況,為512sec或為其以下,而確認到可將程序時間縮短為一半以下。
<其他適用> 以上,雖已就本發明實施形態來加以說明,但本發明並不限於上述實施形 態,而可在本發明技術思想範圍內進行各種改變。例如,上述實施形態所說明之成膜系統、填埋用Ru膜成膜裝置、層積用Ru成膜裝置不過是例示,並非限制於本實施形態。又,可以1個Ru膜成膜條件來改變條件而進行Ru膜之填埋與層積層的形成。但是,在改變條件設定會花費時間的情況,較佳地是如上述實施形態般,區分為填埋用Ru膜成膜裝置與層積用Ru膜成膜裝置。
又,上述實施形態中,雖已就對形成有溝槽及貫孔的層間絕緣膜成膜出基底膜,之後藉由填埋Ru膜,來製造處Ru配線的情況來加以說明,但基底膜並非必要,又,不限於溝槽及貫孔,只要在對具有凹部之基板,藉由填埋Ru膜來製造Ru配線的情況的話,便可適用。
又,作為被處理基板雖已例示了半導體晶圓,在本發明原理上並不限於此,無需贅言,亦可為例如以液晶顯示裝置用基板為代表的FPD用基板等的其他基板。
1‧‧‧成膜系統
10‧‧‧真空搬送室
11‧‧‧基底膜成膜裝置
12‧‧‧冷卻裝置
13a‧‧‧填埋用Ru膜成膜裝置
13b‧‧‧層積用Ru膜成膜裝置
14‧‧‧裝載互鎖室
101‧‧‧腔室
102‧‧‧晶座
105‧‧‧加熱器
110‧‧‧噴淋頭
130‧‧‧氣體供給機構
131‧‧‧成膜原料容器
134‧‧‧載體氣體供給源
154‧‧‧H2氣體供給源
201‧‧‧基體
202‧‧‧層間絕緣膜
203‧‧‧溝槽
204‧‧‧貫孔
205‧‧‧基底膜
206‧‧‧Ru膜(填埋Ru膜)
207‧‧‧層積層(層積Ru膜)
208‧‧‧Ru配線
W‧‧‧半導體晶圓
[圖1]係概略性地顯示本發明一實施形態相關之Ru配線的製造方法之流程 圖。 [圖2]係概略性地顯示本發明一實施形態相關之Ru配線的製造方法之工序 剖面圖。 [圖3]係概略性地顯示本發明另一實施形態相關之Ru配線的製造方法之流 程圖。 [圖4]係比較使用Ru3 (CO)12 來做成膜原料,而有添加及無添加H2 氣體的情況 之成膜速度的圖式。 [圖5]係顯示使用Ru3 (CO)12 來做成膜原料,而有無進行成形氣體退火及有無 H2 氣體添加所致的雜質去除效果之差異的圖式。 [圖6]係概略性地顯示為了實施本發明之Ru配線的製造方法而使用的成膜 系統一範例的水平剖面圖。 [圖7]係概略性地顯示圖6之成膜系統所搭載的填埋用Ru膜成膜裝置一範例 的剖面圖。 [圖8]概略性地顯示圖6之成膜系統所搭載的層積用Ru膜成膜裝置一範例的 剖面圖。 [圖9]係顯示本發明效果的圖式。

Claims (15)

  1. 一種釕配線的製造方法,係對表面具形成有凹部之既定的膜之基板填埋該凹部以製造釕配線之釕配線的製造方法,具有: 藉由使用釕原料氣體之CVD來成膜出第1釕膜以填埋該凹部之工序; 在填埋於該凹部內的該第1釕膜上,藉由使用釕原料氣體之CVD,以較填埋時要大的成膜速度來成膜出第2釕膜,以形成層積層的工序;以及 藉由CMP來去除基板表面之該第2釕膜及該第1釕膜,而平坦化之工序。
  2. 如申請專利範圍第1項之釕配線的製造方法,其中在形成該層積層時之該第2釕膜的成膜係藉由調整成膜出該第1釕膜時的程序參數數值來使成膜速度成為較該第1釕膜的成膜速度要大。
  3. 如申請專利範圍第2項之釕配線的製造方法,其中在形成該層積層時之該第2釕膜的成膜係藉由提高成膜溫度、加大原料氣體供給量、降低處理壓力以及加寬基板與氣體噴出面之間的間距的至少1種來作為程序參數數值之調整以加大成膜速度。
  4. 如申請專利範圍第1至3項中任一項之釕配線的製造方法,其係在該平坦化的工序之前,進一步地具有以含氫氛圍來進行退火處理的工序。
  5. 如申請專利範圍第1至3項中任一項之釕配線的製造方法,其中在形成該層積層時之該第2釕膜的成膜係藉由添加含氫還原氣體來使成膜速度成為較該第1釕膜之成膜速度要大。
  6. 如申請專利範圍第5項之釕配線的製造方法,其中該含氫還原氣體係H2 氣體、NH3 氣體以及SiH4 氣體的至少1種。
  7. 一種釕配線的製造方法,係對表面具形成有凹部之既定的膜之基板填埋該凹部以製造釕配線之釕配線的製造方法,具有: 藉由使用釕原料氣體的CVD來成膜出第1釕膜,以填埋該凹部之工序; 在填埋於該凹部內的該第1釕膜上,藉由在釕原料氣體添加含氫還原氣體的CVD來成膜出第2釕膜,以形成層積層的工序;以及 藉由CMP來去除基板表面的該第2釕膜及該第1釕膜而平坦化之工序。
  8. 如申請專利範圍第7項之釕配線的製造方法,其中該含氫還原氣體係H2 氣體、NH3 氣體以及SiH4 氣體的至少1種。
  9. 如申請專利範圍第7或8項之釕配線的製造方法,其中在形成該層積層時之該第2釕膜的成膜係藉由調整成膜出該第1釕膜時的程序參數數值來使成膜速度成為較該第1釕膜的成膜速度要大。
  10. 如申請專利範圍第9項之釕配線的製造方法,其中在形成該層積層時之該第2釕膜的成膜係藉由提高成膜溫度、加大原料氣體供給量、降低處理壓力以及加寬基板與氣體噴出面之間的間距的至少1種來作為程序參數數值之調整以加大成膜速度。
  11. 如申請專利範圍第1至3、7、8項中任一項之釕配線的製造方法,其係在該第1釕膜之成膜前,進一步地具有於該凹部內形成基底膜的工序。
  12. 如申請專利範圍第11項中任一項之釕配線的製造方法,其中該基底膜係TiN膜、Ta膜、TaN膜、TaAlN膜以及TiON膜的任一者。
  13. 如申請專利範圍第1至3、7、8項中任一項之釕配線的製造方法,其中該第1釕膜及該第2釕膜係使用羰基釕來作為釕原料氣體而加以成膜。
  14. 如申請專利範圍第13項之釕配線的製造方法,其中形成該第1釕膜及該第2釕膜時之處理溫度係在120~300℃的範圍。
  15. 如申請專利範圍第13項之釕配線的製造方法,其中形成該第1釕膜及該第2釕膜時之壓力係在0.013~133.33Pa的範圍。
TW107106470A 2017-03-02 2018-02-27 釕配線的製造方法 TWI751291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017039146A JP6807251B2 (ja) 2017-03-02 2017-03-02 ルテニウム配線の製造方法
JP2017-039146 2017-03-02

Publications (2)

Publication Number Publication Date
TW201841261A true TW201841261A (zh) 2018-11-16
TWI751291B TWI751291B (zh) 2022-01-01

Family

ID=63355851

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107106470A TWI751291B (zh) 2017-03-02 2018-02-27 釕配線的製造方法

Country Status (4)

Country Link
US (1) US10629433B2 (zh)
JP (1) JP6807251B2 (zh)
KR (1) KR102053517B1 (zh)
TW (1) TWI751291B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
JP7278164B2 (ja) * 2019-07-11 2023-05-19 東京エレクトロン株式会社 ルテニウム膜の形成方法及び基板処理システム
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
US11270944B2 (en) 2019-12-16 2022-03-08 Samsung Electronics Co., Ltd. Semiconductor device having interconnection lines with different linewidths and metal patterns
CN112151625B (zh) * 2020-09-04 2022-10-14 泰州隆基乐叶光伏科技有限公司 太阳电池及生产方法、电池组件
JP7505376B2 (ja) * 2020-11-09 2024-06-25 東京エレクトロン株式会社 ルテニウム膜を成膜する方法及び装置
JP2022147122A (ja) * 2021-03-23 2022-10-06 東京エレクトロン株式会社 埋め込み方法および処理システム
JP2022152438A (ja) * 2021-03-29 2022-10-12 東京エレクトロン株式会社 ルテニウム膜の成膜方法及び処理装置
JP2023018486A (ja) 2021-07-27 2023-02-08 東京エレクトロン株式会社 基板表面に形成された凹部に対してルテニウムを埋め込む方法及び装置
JP2023045016A (ja) 2021-09-21 2023-04-03 東京エレクトロン株式会社 成膜方法及び成膜システム
JP2023045017A (ja) 2021-09-21 2023-04-03 東京エレクトロン株式会社 成膜装置、成膜方法及び成膜システム

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3183243B2 (ja) * 1998-02-25 2001-07-09 日本電気株式会社 薄膜キャパシタ及びその製造方法
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US7285308B2 (en) * 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
JP2006148075A (ja) 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US8183153B2 (en) * 2007-09-03 2012-05-22 Ulvac, Inc. Method for manufacturing semiconductor device
US9299643B2 (en) * 2008-09-29 2016-03-29 Cypress Semiconductor Corporation Ruthenium interconnect with high aspect ratio and method of fabrication thereof
JP5193913B2 (ja) 2009-03-12 2013-05-08 東京エレクトロン株式会社 CVD−Ru膜の形成方法および半導体装置の製造方法
JP5487748B2 (ja) * 2009-06-16 2014-05-07 東京エレクトロン株式会社 バリヤ層、成膜方法及び処理システム
JP2014017345A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd Cu配線の形成方法
JP5826783B2 (ja) * 2013-03-25 2015-12-02 株式会社東芝 半導体装置
JP6467239B2 (ja) * 2015-02-16 2019-02-06 東京エレクトロン株式会社 ルテニウム膜の成膜方法、成膜装置及び半導体装置の製造方法
US20170271512A1 (en) * 2016-03-21 2017-09-21 International Business Machines Corporation Liner-less contact metallization

Also Published As

Publication number Publication date
TWI751291B (zh) 2022-01-01
KR20180101226A (ko) 2018-09-12
KR102053517B1 (ko) 2019-12-06
JP2018147949A (ja) 2018-09-20
US20180254181A1 (en) 2018-09-06
US10629433B2 (en) 2020-04-21
JP6807251B2 (ja) 2021-01-06

Similar Documents

Publication Publication Date Title
TWI751291B (zh) 釕配線的製造方法
TWI669410B (zh) 成膜方法及成膜裝置
KR102096143B1 (ko) 루테늄 배선 및 그 제조 방법
TWI436428B (zh) 釕金屬覆蓋層之形成方法
KR101882991B1 (ko) 루테늄막의 성막 방법, 성막 장치 및 반도체 장치의 제조 방법
TWI663277B (zh) 釕膜之成膜方法及成膜裝置,以及半導體裝置之製造方法
KR102017944B1 (ko) 니켈 배선의 제조 방법
US10522467B2 (en) Ruthenium wiring and manufacturing method thereof
JP6584326B2 (ja) Cu配線の製造方法
JP2017050304A (ja) 半導体装置の製造方法
JP2017135237A (ja) Cu配線の製造方法およびCu配線製造システム
JP2012174843A (ja) 金属薄膜の成膜方法、半導体装置及びその製造方法
WO2022209982A1 (ja) ルテニウム膜の成膜方法及び処理装置
JP2018117065A (ja) 金属膜の埋め込み方法
KR20110120947A (ko) Cu막의 성막 방법 및 기억 매체