TW201830666A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201830666A
TW201830666A TW106128488A TW106128488A TW201830666A TW 201830666 A TW201830666 A TW 201830666A TW 106128488 A TW106128488 A TW 106128488A TW 106128488 A TW106128488 A TW 106128488A TW 201830666 A TW201830666 A TW 201830666A
Authority
TW
Taiwan
Prior art keywords
layer
polycrystalline silicon
dielectric
dielectric layer
gate
Prior art date
Application number
TW106128488A
Other languages
English (en)
Other versions
TWI663712B (zh
Inventor
吳偉成
鄧立峯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830666A publication Critical patent/TW201830666A/zh
Application granted granted Critical
Publication of TWI663712B publication Critical patent/TWI663712B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/44Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a control gate layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/46Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

一種半導體裝置包括非揮發性記憶體。所述非揮發性記憶體包括:第一介電層,設置於基底上;浮置閘極,設置於所述介電層上;控制閘極。第二介電層設置於所述浮置閘極與所述控制閘極之間,且具有氮化矽層、氧化矽層及其多層中的一者。第三介電層設置於所述第二介電層與所述控制閘極之間,且包含介電常數比氮化矽高的介電材料。

Description

半導體裝置及其製造方法
本發明實施例是有關於包括非揮發性記憶體胞元及周邊電路的半導體裝置及其製造過程。
由於半導體工業已邁向更高元件密度、更高效能以及更低成本的奈米技術製程節點發展,因此在降低接觸電阻(contact resistance)方面及減少微影操作(lithography operation)數量方面面臨挑戰。
應理解,以下揭露內容提供用於實施所提供的目標的不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本揭露為目的。當然,這些僅僅為實例而非用以限制。舉例而言,元件的尺寸並非僅限於所揭露範圍或值,而是可相依於製程條件及/或裝置的所期望性質。此外,在第二特徵上方或在第二特徵上形成第一特徵可包括第一特徵與第二特徵形成為直接接觸的實施例,且也可包括第一特徵與第二特徵之間可形成有額外特徵,使得第一特徵與第二特徵可不直接接觸的實施例。為簡潔及清晰起見,可按不同比例任意繪製各種特徵。
另外,為了易於描述附圖中所示出的一個構件或特徵與另一元件或特徵的關係,本文中可使用例如「在...下」、「在...下方」、「下部」、「在…上」、「在…上方」、「上部」及類似術語的空間相對術語。除了附圖中所示出的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。另外,用語「由…製成(made of)」可意為「包括(comprising)」或「由…組成(consisting of)」。
在本發明實施例中,一種半導體裝置包括非揮發性記憶體(non-volatile memory,NVM)胞元及周邊電路(例如邏輯電路)。周邊電路亦可包括靜態隨機存取記憶體(static random access memory,SRAM)。非揮發性記憶體胞元一般而言需要有多個層(例如,多晶矽層)堆疊的堆疊結構;而周邊邏輯電路一般而言包括具有單一多晶矽層的場效電晶體(field effect transistor,FET)。由於結構上的差異,因此當例如在非揮發性記憶體胞元及周邊邏輯電路之上形成層間介電(interlayer dielectric,ILD)層時,所述層間介電層在非揮發性記憶體胞元區域與周邊邏輯電路區域之間存在高度差。此種高度差可能影響對層間介電層進行的化學機械研磨(chemical mechanical polishing,CMP)的效能。
在本發明實施例中,在製作非揮發性記憶體胞元及周邊邏輯電路之前,蝕刻非揮發性記憶體胞元區域中的基底,以在所述非揮發性記憶體胞元區域與所述周邊邏輯電路區域之間形成「階梯(step)」。所述階梯高度對應於假使未形成所述階梯而形成層間介電層時的高度差。亦應注意,應避免在所述階梯附近放置裝置。
圖1A至圖8C大體示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。應理解,可在由圖1A至圖8C所示製程之前、期間以及之後提供其他操作,且對於所述方法的其他實施例,可替換或刪去以下所述操作中的某些操作。
如圖1A中所示,在基底10上形成墊氧化物層12,並在墊氧化物層12上進一步形成氮化物層13。藉由微影操作而在氮化物層13之上形成光阻圖案(未繪示),以覆蓋周邊邏輯電路區域LG。以光阻圖案做為蝕刻罩幕,使得非揮發性記憶體胞元區域MC暴露出來,而氮化物層13及墊氧化物層12覆蓋周邊邏輯電路區域LG。如圖1A中所示,在非揮發性記憶體胞元區域MC與周邊邏輯電路區域LG之間存在過渡區域TR。
基底10可例如是p型矽基底,其雜質濃度介於約1 ´ 1015 cm-3 至約1 ´ 1018 cm-3 範圍內。在其他實施例中,所述基底為n型矽基底,其雜質濃度介於約1 ´ 1015 cm-3 至約1 ´ 1018 cm-3 範圍內。做為另一選擇,所述基底可包括:另一元素半導體,例如鍺;化合物半導體,包括例如SiC及SiGe等IV-IV族化合物半導體、例如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP等III-V族化合物半導體;或其組合。在一實施例中,所述基底為絕緣層上矽(silicon-on-insulator,SOI)基底的矽層。在一些實施例中,墊氧化物層12為熱生長氧化矽(thermally grown silicon oxide);而氮化物層13為氮化矽。所述氧化矽及氮化矽可使用爐管(furnace)或化學氣相沈積(chemical vapor deposition,CVD)來形成。所述罩幕層的材料並非僅限於氧化矽及氮化矽,而是可使用任何其他適用於罩幕層的材料。在一些實施例中,墊氧化物層12的厚度介於約5奈米(nm)至約20奈米範圍內;而氮化物層13的厚度介於約50奈米至約100奈米範圍內。
在將氮化物層13及墊氧化物層12圖案化之後,利用濕式氧化(wet oxidation)將非揮發性記憶體胞元區域MC氧化,藉以形成氧化物層,並接著利用濕式蝕刻(wet etching)來移除所述氧化物層,藉此在非揮發性記憶體胞元區域MC與周邊邏輯電路區域LG之間形成階梯。接著,如圖1B中所示,移除氮化物層13及墊氧化物層12。
在一些實施例中,以墊氧化物層12及氮化物層13做為蝕刻罩幕,蝕刻非揮發性記憶體胞元區域MC中的基底10,以形成所述階梯。
如圖1C中所示,在形成階梯之後,形成隔離絕緣層20(亦被稱為淺溝渠隔離(shallow trench isolation,STI))。為了形成隔離絕緣層20,會在基底10上形成包括氧化矽層14及氮化矽層15的罩幕層,並藉由微影操作及蝕刻操作將所述罩幕層圖案化。接著,使用圖案化的罩幕層做為蝕刻罩幕,對基底10進行溝渠蝕刻,以形成溝渠。在一些實施例中,所述溝渠的深度介於約100奈米至約1微米(μm)範圍內。
在所述溝渠中填入例如氧化矽等絕緣(介電)材料,並接著執行例如化學機械研磨或回蝕刻製程(etch-back process)等平坦化操作,以移除所述絕緣材料層的上部部分,藉此形成隔離絕緣層20。在平面圖中,未被蝕刻且被STI環繞或分隔的基底為主動區,在所述主動區之上會形成電晶體或其他半導體裝置。如圖1C中所示,非揮發性記憶體胞元區域MC及周邊邏輯電路區域LG可藉由在過渡區域TR中相對大的隔離絕緣層20來分隔。當然,在形成隔離絕緣層20之後,胞元區域與周邊邏輯電路區域之間的階梯仍然存在著。
此外,如圖1D中所示,在以氮化矽製成的保護層16覆蓋邏輯電路區域LG時,移除非揮發性記憶體胞元區域MC中包括氧化矽層14及氮化矽層15的罩幕層。
隨後,如圖2A中所示,在非揮發性記憶體胞元區域MC中的基底10之上形成第一介電層21及第一多晶矽層30。第一介電層21用以當做非揮發性記憶體胞元的穿隧氧化物層,且其以氧化矽製成。在一些實施例中,第一介電層21的厚度介於約1奈米至約50奈米範圍內。第一介電層21可藉由熱氧化(thermal oxidation)或化學氣相沈積來形成。
第一多晶矽層30可藉由化學氣相沈積來形成。在一些實施例中,所沈積的第一多晶矽層30的厚度介於約10奈米至約300奈米範圍內。在一些實施例中,所沈積的第一多晶矽層30的厚度會因為平坦化操作(例如化學機械研磨方法及/或回蝕刻方法)而減小。在一些實施例中,首先執行化學機械研磨以使多晶矽層的上表面實質上等同於保護層(例如是SiN)16的上表面,且接著執行回蝕刻操作,以獲得所期望的多晶矽層30的厚度。在一些實施例中,在所述平坦化操作之後,第一多晶矽層30的厚度介於約10奈米至約200奈米範圍內。第一多晶矽層30可適當地摻入雜質且被用以當做非揮發性記憶體胞元的浮置閘極。多晶矽層30可以非晶矽層來替換。
如圖2B中所示,在非揮發性記憶體胞元區域MC中形成第一多晶矽層30之後,藉由例如濕式蝕刻來移除邏輯電路區域LG中的保護層16及氮化矽層15。
然後,如圖2C中所示,形成第二介電層35。在一些實施例中,第二介電層35包括氧化矽及氮化矽的一個層或多個層,且具有為約1奈米至100奈米的厚度。第二介電層35可藉由化學氣相沈積及包括微影及乾式蝕刻(dry etching)的圖案化操作來形成。隨後,如圖2D中所示,執行圖案化操作,以移除邏輯電路區域LG中的第二介電層35與氧化矽層14。
在圖2D之後,如圖3A中所示,在非揮發性記憶體胞元區域MC及邏輯電路區域LG之上形成第三介電層23。在一些實施例中,在形成第三介電層23之前形成介面氧化矽層22。在此情形中,層22與層23的組合可被稱為第三介電層。在一些實施例中,介面氧化矽層22的厚度介於約1奈米至約10奈米範圍內。
第三介電層23包括具有高介電常數介電材料的一個層或多個層,所述高介電常數介電材料的介電常數高於氮化矽。在一些實施例中,第三介電層23包括Hf、Y、Ta、Ti、Al以及Zr的一種或多種氧化物,或是任何其他適合的介電材料。在一些實施例中,第三介電層23可例如是HfO2
第三介電層23可藉由化學氣相沈積來形成。在一些實施例中,第三介電層23的厚度介於約1奈米至約50奈米範圍內。第三介電層23用以當做邏輯電路區域LG中的邏輯電路的場效電晶體(FET)的閘介電層。
仍參考圖3A,在形成第三介電層23之後,在非揮發性記憶體胞元區域MC及邏輯電路區域LG之上形成第二多晶矽層40。在一些實施例中,第二多晶矽層40可藉由化學氣相沉積來形成,且第二多晶矽層40的厚度介於約10奈米至約100奈米範圍內。
此外,如圖3A中所示,在第二多晶矽層40上形成硬罩幕層42。在一些實施例中,硬罩幕層42是由藉由化學氣相沈積而形成的氧化矽製成,且硬罩幕層42的厚度介於約10奈米至約200奈米範圍內。
如圖3B中所示,以包括微影及蝕刻的圖案化操作,圖案化硬罩幕層42,並以圖案化的硬罩幕層做為蝕刻罩幕,將第二多晶矽層40圖案化。
在非揮發性記憶體胞元區域MC中,對第二多晶矽層40進行的蝕刻實質上停止在介面氧化矽層22處;而在邏輯電路區域LG中,對第二多晶矽層40進行的蝕刻亦會蝕刻掉第三介電層23(高介電常數介電層)並停止在介面氧化矽層22處。藉由此蝕刻操作,會在非揮發性記憶體胞元區域MC中形成由第二多晶矽層40所形成的虛擬控制閘極DCG,並且會在邏輯電路區域LG中形成均是由第二多晶矽層40所形成的第一虛擬閘極DG1及第二虛擬閘極DG2。在此揭露內容中,「虛擬(dummy)」一般意指隨後被移除或是被另一材料替換的層或結構、或意指不具有做為主動電路的一部分功能的層或結構。然而,即便未被稱為虛擬,某些層/材料仍可隨後被另一層/材料替換。
如圖3C中所示,在對第二多晶矽層40進行圖案化操作之後,在非揮發性記憶體胞元區域MC與邏輯電路區域LG二者中的圖案化的第二多晶矽層的兩側上形成第一側壁間隙壁45。
在一些實施例中,第一側壁間隙壁45是由氧化矽製成。第一側壁間隙壁45可例如藉由化學氣相沈積而在整個基底之上形成氧化矽的毯覆層(blanket layer)並接著執行非等向性蝕刻(anisotropic etching)而形成。在一些實施例中,第一側壁間隙壁45的厚度介於約1奈米至約20奈米範圍內。
圖4A及圖4B分別示出說明與圖3C所示區域A1及區域A2對應的堆疊結構的示例性剖視圖。
在製造過程的此階段,如圖4A中所示,在非揮發性記憶體胞元區域MC中,第二多晶矽層40、高介電常數介電層23、介面氧化矽層22、第二介電層35、第一多晶矽層30以及穿隧氧化物層21堆疊在基底10上。在實際裝置中,當介面氧化矽層22與第二介電層35是由相同材料形成時,便無法觀察到介面氧化矽層22與第二介電層35之間的介面。如圖4B中所示,在邏輯電路區域LG中,第二多晶矽層40、高介電常數介電層23以及介面氧化矽層22堆疊在基底10上。
此外,如圖5A中所示,在形成第一側壁間隙壁45之後,在第一側壁間隙壁45之上形成第二側壁間隙壁46。如圖5B(其為圖5A所示區域A3對應的放大剖視圖)中所示,第二側壁間隙壁46包括ONO膜,其以兩個氧化矽層46-1及46-3夾住一個氮化矽層46-2。在一些實施例中,氧化矽層46-1的厚度介於約1奈米至20奈米範圍內;氮化矽層46-2的厚度介於約1奈米至30奈米範圍內;而氧化矽層46-3的厚度介於約1奈米至約20奈米範圍內。在一些實施例中,第二側壁間隙壁46是單層的氮化矽或氮氧化矽。
如圖5C中所示,在形成第二側壁間隔壁46之後,以保護層47覆蓋邏輯電路區域LG時,利用乾式蝕刻操作將介面層22、第二介電層35及第一多晶矽層30圖案化。對第一多晶矽層30所進行的蝕刻會停止在第一介電層21處。保護層47可以是光阻層,並且在蝕刻第一多晶矽層30之後,將保護層47移除。
此外,如圖5D中所示,形成第三側壁間隙壁48,並形成抹除閘極氧化物49。第三側壁間隙壁48是由介電材料的一個層或多個層製成。在一實施例中,第三側壁間隙壁48是由氮化矽製成。抹除閘極氧化物49是由氧化矽製成。在一些實施例中,形成氧化矽層,並接著圖案化所述氧化矽層,以自抹除閘極區域移除所述氧化矽層,並且接著執行濕式氧化,藉此形成抹除閘極氧化物49。在製造過程的此階段,在非揮發性記憶體胞元區域MC中,第一介電層21、做為浮置閘極(FG)的第一多晶矽層30、第二介電層35、介面層22、第三介電層23、第二多晶矽層40以及硬罩幕層42構成具有側壁間隙壁的堆疊結構。在邏輯電路區域LG中,介面層22、第三介電層23、做為虛擬層的第二多晶矽層40以及具有側壁間隙壁的硬罩幕層42構成第一閘極堆疊及第二閘極堆疊。
接著,如圖6A中所示,在非揮發性記憶體胞元區域MC中,在堆疊結構之間形成抹除閘極EG,並在所述堆疊結構的未形成有所述抹除閘極的側邊處形成選擇閘極SG。在非揮發性記憶體胞元區域MC及邏輯電路區域LG之上形成第三多晶矽層50,並在所述第三多晶矽層50上形成硬罩幕層52。接著,如圖6A中所示,執行圖案化操作,形成抹除閘極EG及選擇閘極(字元線)SG。在邏輯電路區域LG中,可在第一閘極堆疊及第二閘極堆疊的側邊上形成相似的結構。在一些實施例中,用於抹除閘極EG及選擇閘極SG的第三多晶矽層50的厚度介於約40奈米至約200奈米範圍內。在一些實施例中,硬罩幕層52是由氧化矽、氮化矽、及氮氧化矽的一個層或多個層製成,且具有為約20奈米至200奈米的厚度。在一些實施例中,倘若上述蝕刻操作已蝕刻掉第一介電層21,則會形成新的介電層以做為選擇閘極的閘介電層。
隨後,如圖6B所示,以覆蓋層54保護非揮發性記憶體胞元區域MC時,移除邏輯電路區域LG中的硬罩幕層52、第三多晶矽層50以及第三側壁間隙壁48。在一些實施例中,覆蓋層54為光阻層。
如圖6C中所示,移除邏輯電路區域LG中的硬罩幕層52及第三多晶矽層50之後,在非揮發性記憶體胞元區域MC及邏輯電路區域LG之上形成氮化矽覆蓋層55,並進一步在氮化矽覆蓋層55上形成第四介電層57。
在一些實施例中,氮化矽覆蓋層55可藉由化學氣相沈積來形成,且氮化矽覆蓋層55具有約10奈米至約50奈米的厚度。第四介電層57包括SiO2 、SiN、SiOC、SiCN、SiOCN或SiON或任何其他適合的介電材料的一個層或多個層,且其可藉由化學氣相沈積來形成。第四介電層57的厚度介於約50奈米至約1000奈米範圍內,以使得位於非揮發性記憶體胞元區域MC及邏輯電路區域LG上的所述結構完全嵌入於第四介電層57中。
如圖7A中所示,在形成第四介電層57之後,藉由化學機械研磨,將非揮發性記憶體胞元區域MC中的第四介電層57與所述堆疊結構的上部部分以及邏輯電路區域LG中的閘極堆疊平坦化。如圖7A中所示,藉由使用化學機械研磨的平坦化操作,使得均由第三多晶矽層50製成的抹除閘極EG及選擇閘極SG的上部部分、由第二多晶矽層40製成的虛擬控制閘極DCG的上部部分以及由第二多晶矽層40製成的虛擬閘極DG1、DG2的上部部分暴露出來。
接下來,形成第一罩幕圖案60,使得虛擬控制閘極DCG的上部部分及虛擬閘極DG2的上部部分外露於第一罩幕圖案60。在一些實施例中,第一罩幕圖案60包括光阻;而在其他實施例中第一罩幕圖案60包括氮化矽、氧化鋁或過渡金屬氮化物。接著,如圖7B中所示,移除虛擬控制閘極DCG的第二多晶矽層40以及虛擬閘極DG2的第二多晶矽層40,以分別形成開口61及63。
如圖7C中所示,在形成開口61及63之後,以第一導電材料65的一個層或多個層填充所述開口。在一些實施例中,第一導電材料65包括功函數調整層(work function adjustment layer)及主體金屬層(body metal layer)。
在本發明實施例中,虛擬閘極DG1用於p通道場效電晶體及n通道場效電晶體中的任一者;而虛擬閘極DG2用於p通道場效電晶體及n通道場效電晶體中的另一者。對於n通道場效電晶體而言,功函數調整層的材料包括TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi以及TaSi,或任何其他適合的導電材料中的一者或多者;而對於p通道場效電晶體而言,功函數調整層的材料包括TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC以及Co,或任何其他適合的導電材料中的一者或多者。在此實施例中,用於p通道場效電晶體的功函數調整層與用於n通道場效電晶體的功函數調整層彼此不同。用於p通道場效電晶體的主體金屬層與用於n通道場效電晶體的主體金屬層可相同或不同,而所述主體金屬層包括Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlC、TiAlN、TaN、NiSi、CoSi及其他適合的導電材料中的一者或多者。
在本發明一實施例中,虛擬閘極DG2用於p通道場效電晶體。因此,用於控制閘極CG的第一導電材料65的結構與用於p通道場效電晶體的閘極LG2的結構相同。
第一導電材料65可藉由以下方式來形成:沈積厚的導電材料層;以及執行例如化學機械研磨等平坦化操作以移除在第四介電層57的上表面上所沈積的導電材料層。在所述化學機械研磨期間亦可移除第一罩幕圖案60。
接著,如圖8A中所示,形成第二罩幕圖案66,使得第二罩幕圖案66暴露出虛擬閘極DG1的上部部分。在一些實施例中,第二罩幕圖案66是由光阻製成;而在其他實施例中,第二罩幕圖案66是由氮化矽、氧化鋁、或過渡金屬氮化物製成。接著,如圖8A中所示,移除虛擬閘極DG1的第二多晶矽層40,以形成開口67。接著,如圖8B中所示,與圖7C所示操作相似,在開口67中形成第二導電材料68,以形成用於n通道場效電晶體的金屬閘極LG1。
隨後,如圖8C中所示,在圖8B中所示結構之上形成層間介電(ILD)層70,並形成接觸插塞75。層間介電層70包括矽系絕緣材料(例如,藉由化學氣相沈積而形成的SiO2 、SiN、SiOC、SiCN、SiOCN或SiON,或任何其他適合的介電材料)的一個層或多個層。在一些實施例中,層間介電層70的厚度介於約100奈米至約1000奈米範圍內。接觸插塞75的材料包括導電材料,所述導電材料是由包含Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlC、TiAlN、TaN、NiSi、CoSi及任何其他適合的導電材料中的一者或多者的導電材料製成。儘管圖8C中未示出,接觸插塞75亦可以設置在控制閘極上。
圖9A至圖9C及圖10A至圖10B示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的過程的示例性剖視圖。在以下實施例中可採用與結合圖1A至圖8C所述的前述實施例相似或相同的配置、結構、材料、製程以及/或操作,而不再贅述。在以下實施例中,由多晶矽層50所形成的抹除閘極EG及選擇閘極SG係為虛擬閘極。
在形成圖7A所示結構之後,形成第一罩幕圖案60’,使得第一罩幕圖案60’暴露出虛擬控制閘極DCG的上部部分、抹除閘極EG的上部部分、選擇閘極SG的上部部分以及虛擬閘極DG2的上部部分。接著,如圖9A中所示,移除抹除閘極EG與選擇閘極SG的第三多晶矽層50、虛擬控制閘極DCG的第二多晶矽層40以及虛擬閘極DG2的第二多晶矽層40,以分別形成開口62、61及63。
如圖9B中所示,在形成開口62、61及63之後,以第一導電材料65的一個層或多個層填充所述開口,以形成金屬抹除閘極EG、金屬選擇閘極SG、金屬控制閘極CG以及金屬閘極LG2。在一些實施例中,第一導電材料65包括功函數調整層及主體金屬層。
如圖9C中所示,接著,與圖8A相似,形成第二罩幕圖案66,使得第二罩幕圖案66暴露出虛擬閘極DG1的上部部分,並移除虛擬閘極DG1的第二多晶矽層40,以形成開口67。如圖10A中所示,接著,以相似於圖7C或圖9B所示操作,在開口67中形成第二導電材料68,以形成用於n通道場效電晶體的金屬閘極LG1。
如圖10B中所示,隨後,與圖8C相似,在圖10A中所示結構之上形成層間介電(ILD)層70,並形成接觸插塞75。
圖11A至圖11C示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的過程的示例性剖視圖。在以下實施例中可採用與結合圖1A至圖10B所述的前述實施例相似或相同的配置、結構、材料、製程以及/或操作,而不再贅述。在以下實施例中,由多晶矽層50所形成的抹除閘極EG及選擇閘極SG的上部部分均為虛擬圖案,其將替換為金屬材料。
在形成圖7A所示結構之後,形成第一罩幕圖案60’,使得第一罩幕圖案60’暴露出虛擬控制閘極DCG的上部部分、抹除閘極EG的上部部分、選擇閘極SG的上部部分以及虛擬閘極DG2的上部部分。接著,如圖11A中所示,移除抹除閘極EG與選擇閘極SG的第三多晶矽層50、虛擬控制閘極DCG的第二多晶矽層40以及虛擬閘極DG2的第二多晶矽層40,以分別形成開口62、61及63。
與圖9A中所示的前述實施例不同,如圖11A中所示,僅部分地移除抹除閘極EG的及選擇閘極SG的第三多晶矽層50,且第三多晶矽層50仍會殘留於開口62的底部處。由於第三多晶矽層50的厚度遠大於虛擬控制閘極DCG的第二多晶矽層40的厚度且遠大於虛擬閘極DG2的第二多晶矽層40的厚度,因此當藉由蝕刻而完全移除第二多晶矽層40時,第三多晶矽層50仍會殘留於開口62的底部處。
如圖11B中所示,接著,以相似於圖7C及/或圖9B所述的操作,以第一導電材料65來形成金屬抹除閘極EG、金屬選擇閘極SG、金屬控制閘極CG以及用於p通道場效電晶體的金屬閘極LG2。隨後,如圖11C中所示,藉由相似於圖8A至圖8B及/或圖10A至圖10B所述的操作,以第二導電材料68來形成用於n通道場效電晶體的金屬閘極LG1,並形成層間介電層70及接觸插塞75。
圖12示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的過程的示例性剖視圖。在以下實施例中可採用與結合圖1A至圖11C所述的前述實施例相似或相同的配置、結構、材料、製程以及/或操作,而不再贅述。
在此實施例中,用於控制閘極CG的多晶矽層40以及用於抹除閘極EG及選擇閘極SG的多晶矽層50將不會替換為金屬材料。因此,虛擬控制閘極為實際控制閘極。如圖12中所示,非揮發性記憶體胞元的多個閘極皆包括多晶矽(對各閘極均進行適當的摻雜)且用於邏輯電路區域中的場效電晶體亦不包括金屬材料。
在前述實施例中,非揮發性記憶體(NVM)胞元包括:穿隧氧化物層21,設置於基底10上;浮置閘極FG,由第一多晶矽層30製成且設置於第一介電層21(其做為穿隧氧化物層)之上;第二介電層35,設置於浮置閘極FG上;高介電常數介電層23,形成於第二介電層35之上;以及控制閘極CG,由第一導電材料65(或第二多晶矽層40)製成。此外,介面氧化矽層22可形成在第二介電層35與高介電常數介電層23之間。
在邏輯電路區域LG中,用於場效電晶體的閘極結構包括:介面氧化矽層22,形成於基底10上;高介電常數介電層23,形成於介面氧化矽層22上;以及導電材料層65或68,形成於高介電常數介電層23之上。
此外,在前述實施例中,閘極LG1是用於n通道場效電晶體;而閘極LG是2用於p通道場效電晶體。在一些實施例中,閘極LG1是用於p通道場效電晶體;而閘極LG2是用於n通道場效電晶體。在此種情形中,對非揮發性記憶體胞元的閘極與n通道場效電晶體的閘極使用相同的導電材料65。換言之,用於非揮發性記憶體胞元的金屬閘極具有與邏輯電路區域LG中的p通道場效電晶體或n通道場效電晶體中的任一者相同的導電金屬結構。
應理解,本文中未必論述所有優點,對於所有實施例或實例而言並不需要特定優點,且其他實施例或實例可提供不同優點。
根據本發明的某些實施例,由於非揮發性記憶體胞元的控制閘極包括金屬材料,因此可降低所述控制閘極的電阻。此外,根據本發明的一些實施例,由於非揮發性記憶體胞元的抹除閘極及選擇閘極包括金屬材料,因此可降低該些閘極的電阻及所述閘極與接觸插塞之間的接觸電阻。此外,由於同時對非揮發性記憶體胞元區域及邏輯電路區域執行閘極替換製程(gate replacement process),因此可最小化微影操作數量。另外,可避免在過渡區域處放置虛擬結構以補償非揮發性記憶體胞元區域與邏輯電路區域之間的高度差。
根據本發明的一個態樣,在一種製造包括非揮發性記憶體的半導體裝置的方法中,形成胞元結構。所述胞元結構包括堆疊結構及設置於所述堆疊結構的兩側處的第三多晶矽層。所述堆疊結構包括設置於第一介電層之上的第一多晶矽層、設置於所述第一多晶矽層之上的第二介電層、設置於所述第二介電層之上的第三介電層以及設置於所述第三介電層之上的第二多晶矽層。至少部分移除所述第二多晶矽層,藉此形成控制閘極空間。在所述控制閘極空間中形成導電材料。
根據本發明的另一態樣,一種製造包括非揮發性記憶體及邏輯電路區域的半導體裝置的方法,所述非揮發性記憶體設置於記憶胞元區域中,所述場效電晶體設置於邏輯電路區域中。所述方法中,在所述記憶體胞元區域中形成第一介電層。在所述記憶體胞元區域中形成所述非揮發性記憶體的胞元結構。所述胞元結構包括堆疊結構及設置於所述堆疊結構的兩側處的第三多晶矽層。所述堆疊結構包括設置於第一介電層之上的第一多晶矽層、設置於所述第一多晶矽層之上的第二介電層、設置於所述第二介電層之上的第三介電層以及設置於所述第三介電層之上的第二多晶矽層。在所述邏輯電路區域中形成所述場效電晶體的虛擬閘極結構。所述虛擬閘極結構包括:閘介電層,設置於所述基底之上;以及虛擬邏輯閘極,包括多晶矽且設置於所述閘介電層之上。至少部分移除所述記憶胞元區域中的所述第二多晶矽層,藉此形成控制閘極空間,並至少部分移除所述邏輯電路區域中的所述虛擬邏輯閘極,藉此形成第一邏輯閘極空間。在所述控制閘極空間及所述第一邏輯閘極空間中形成導電材料。所述第三介電層包括介電常數比氮化矽高的介電材料。
根據本發明的另一態樣,一種半導體裝置包括非揮發性記憶體。所述非揮發性記憶體包括:第一介電層,設置於基底上;浮置閘極,設置於所述介電層上;控制閘極。第二介電層設置於所述浮置閘極與所述控制閘極之間,所述第二介電層具有氮化矽層、氧化矽層以及其多層中的一者。第三介電層設置於所述第二介電層與所述控制閘極之間且包含具有較氮化矽高的介電常數的介電材料。
做為設計或修改其他製程與結構的依據,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域技術人員還應理解,這種等效的配置並不悖離本揭露的精神與範疇,且本領域技術人員在不悖離本揭露的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
10‧‧‧基底
12‧‧‧墊氧化物層
13‧‧‧氮化物層
14、46-1、46-3‧‧‧氧化矽層
15、46-2‧‧‧氮化矽層
16‧‧‧保護層
20‧‧‧隔離層
21‧‧‧第一介電層(穿隧氧化物層)
22‧‧‧介面層(介面氧化矽層)
23‧‧‧第三介電層(高介電常數介電層)
30‧‧‧第一多晶矽層
35‧‧‧第二介電層
40‧‧‧第二多晶矽層
42、52‧‧‧硬罩幕層
45‧‧‧第一側壁間隙壁
46‧‧‧第二側壁間隙壁
47‧‧‧保護層
48‧‧‧第三側壁間隙壁
49‧‧‧抹除閘極氧化物
50‧‧‧第三多晶矽層
54‧‧‧覆蓋層
55‧‧‧氮化矽覆蓋層
57‧‧‧第四介電層
60、60’‧‧‧第一罩幕圖案
61、62、63‧‧‧開口
65‧‧‧第一導電材料
66‧‧‧第二罩幕圖案
67‧‧‧開口
68‧‧‧第二導電材料
70‧‧‧層間介電層
75‧‧‧接觸插塞
A1、A2、A3‧‧‧區域
CG‧‧‧控制閘極/金屬控制閘極
DCG‧‧‧虛擬控制閘極
DG1‧‧‧第一虛擬閘極
DG2‧‧‧第二虛擬閘極
EG‧‧‧抹除閘極
FG‧‧‧浮置閘極
LG‧‧‧邏輯電路區域
LG1、LG2‧‧‧閘極
MC‧‧‧非揮發性記憶體胞元區域
SG‧‧‧選擇閘極
TR‧‧‧過渡區域
圖1A至圖1D示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖2A至圖2D示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖3A至圖3C示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖4A及圖4B分別示出說明與圖3C所示區域A1及區域A2對應的堆疊結構的放大剖視圖。 圖5A、圖5C以及圖5D示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。圖5B是說明與圖5A所示區域A3對應的堆疊結構的放大剖視圖。 圖6A至圖6C示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖7A至圖7C示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖8A至圖8C示出根據本發明一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖9A至圖9C示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖10A至圖10B示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖11A至圖11C示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。 圖12示出根據本發明另一實施例的說明製造包括非揮發性記憶體胞元及周邊邏輯電路的半導體裝置的順序過程的示例性剖視圖。

Claims (20)

  1. 一種製造包括非揮發性記憶體的半導體裝置的方法,所述方法包括: 形成胞元結構,所述胞元結構包括: 堆疊結構,包括設置於第一介電層之上的第一多晶矽層、設置於所述第一多晶矽層之上的第二介電層、設置於所述第二介電層之上的第三介電層以及設置於所述第三介電層之上的第二多晶矽層;以及 第三多晶矽層,設置於所述堆疊結構的兩側上; 至少部分移除所述第二多晶矽層,藉此形成控制閘極空間;以及 在所述控制閘極空間中形成導電材料。
  2. 如申請專利範圍第1項所述的方法,其中所述形成所述胞元結構包括: 在基底之上形成所述第一介電層; 在所述第一介電層之上形成用於所述第一多晶矽層的第一多晶矽膜; 在所述第一多晶矽膜之上形成用於所述第二介電層的第二介電膜; 在所述第二介電膜之上形成用於所述第三介電層的第三介電膜; 在所述第三介電膜之上形成用於所述第二多晶矽層的第二多晶矽膜; 圖案化所述第二多晶矽膜及所述第三介電膜,藉此形成所述第二多晶矽層及所述第三介電層; 在形成所述第二多晶矽層及所述第三介電層之後,圖案化所述第二介電膜及所述第一多晶矽膜,藉此形成所述堆疊結構; 在所述堆疊結構的兩側處形成用於所述第三多晶矽層的第三多晶矽膜;以及 對所述堆疊結構及所述第三多晶矽膜執行平坦化操作。
  3. 如申請專利範圍第2項所述的方法,其中所述第三介電層包括一或多個由Hf、Y、Ta、Ti、Al及Zr所形成的氧化物層。
  4. 如申請專利範圍第3項所述的方法,其中所述第三介電層更包括氧化矽層。
  5. 如申請專利範圍第2項所述的方法,其中所述第二介電層是氧化矽層、氮化矽層或其多層。
  6. 如申請專利範圍第2項所述的方法,其中所述第一介電層是氧化矽。
  7. 如申請專利範圍第2項所述的方法,更包括:在圖案化所述第二多晶矽膜及所述第三介電膜之後且在圖案化所述第二介電膜及所述第一多晶矽膜之前,在經圖案化的所述第二多晶矽膜的兩側上形成第一側壁間隙壁。
  8. 如申請專利範圍第7項所述的方法,更包括:在形成所述第一側壁間隙壁之後且在圖案化所述第二介電膜及所述第一多晶矽膜之前,在所述第一側壁間隙壁之上形成第二側壁間隙壁。
  9. 如申請專利範圍第8項所述的方法,其中所述第二側壁間隙壁包括氧化矽層、氮化矽層以及其多層中的一者。
  10. 如申請專利範圍第2項所述的方法,其中: 至少部分移除所述第二多晶矽層時,所述第三多晶矽層亦被至少部分移除,藉此形成選擇閘極空間及抹除閘極空間,且 所述導電材料亦形成於所述選擇閘極空間及所述抹除閘極空間中,藉此形成選擇閘極及抹除閘極。
  11. 一種製造包括非揮發性記憶體及場效電晶體的半導體裝置的方法,所述非揮發性記憶體設置於記憶胞元區域中,所述場效電晶體設置於邏輯電路區域中,所述方法包括: 在所述記憶胞元區域中形成所述非揮發性記憶體的胞元結構,所述胞元結構包括: 堆疊結構,包括設置於第一介電層之上的第一多晶矽層、設置於所述第一多晶矽層之上的第二介電層、設置於所述第二介電層之上的第三介電層以及設置於所述第三介電層之上的第二多晶矽層;以及 第三多晶矽層,設置於所述堆疊結構的兩側處; 在所述邏輯電路區域中形成所述場效電晶體的虛擬閘極結構,所述虛擬閘極結構包括: 閘介電層,設置於基底之上;以及 虛擬邏輯閘極,包括多晶矽且其設置於所述閘介電層之上; 至少部分移除所述記憶胞元區域中的所述第二多晶矽層,藉此形成控制閘極空間,並至少部分移除所述邏輯電路區域中的所述虛擬邏輯閘極,藉此形成第一邏輯閘極空間;以及 在所述控制閘極空間及所述第一邏輯閘極空間中形成導電材料, 其中所述第三介電層包括介電常數比氮化矽高的介電材料。
  12. 如申請專利範圍第11項所述的方法,其中所述胞元結構及所述虛擬邏輯閘極結構的形成方法包括: 在所述記憶胞元區域中形成所述第一介電層; 在所述記憶胞元區域中的所述第一介電層之上形成用於所述第一多晶矽層的第一多晶矽膜; 在所述記憶胞元區域中的所述第一多晶矽膜之上形成用於所述第二介電層的第二介電膜; 在形成所述第二介電膜之後,在所述記憶胞元區域及所述邏輯電路區域中形成用於所述第三介電層及所述閘介電層的第三介電膜; 在所述記憶胞元區域及所述邏輯電路區域中的所述第二介電膜之上形成用於所述第二多晶矽層及所述虛擬邏輯閘極的第二多晶矽膜; 圖案化所述記憶胞元區域中的所述第二多晶矽膜及所述第三介電膜,藉此形成所述第二多晶矽層,並圖案化所述邏輯電路區域中的所述第二多晶矽膜及所述第三介電膜,藉此形成所述虛擬邏輯閘極及所述閘介電層; 在圖案化所述第二多晶矽膜及所述第三介電膜之後,圖案化所述記憶胞元區域中的所述第二介電膜及所述第一多晶矽膜,藉此形成所述記憶胞元區域中的所述堆疊結構; 形成用於所述第三多晶矽層的第三多晶矽膜;以及 對所述堆疊結構、所述第三多晶矽膜及所述虛擬邏輯閘極執行平坦化操作。
  13. 如申請專利範圍第12項所述的方法,其中所述第三介電層包括一或多個由Hf、Y、Ta、Ti、A1及Zr形成的氧化物層。
  14. 如申請專利範圍第12項所述的方法,其中: 所述第二介電層是氧化矽層、氮化矽層以及其多層中的一者,且 所述虛擬邏輯閘極不包括所述第二介電膜。
  15. 如申請專利範圍第12項所述的方法,更包括:在圖案化所述第二多晶矽膜及所述第三介電膜之後且在圖案化所述第二介電膜及所述第一多晶矽膜圖案化之前,在所述第二多晶矽層的兩側及所述虛擬邏輯閘極的兩側上形成第一側壁間隙壁。
  16. 如申請專利範圍第15項所述的方法,更包括:在形成所述第一側壁間隙壁之後且在圖案化所述第二介電膜及所述第一多晶矽膜之前,在所述第一側壁間隙壁之上形成第二側壁間隙壁。
  17. 如申請專利範圍第16項所述的方法,其中所述第二側壁間隙壁包括氧化矽層、氮化矽層以及其多層中的一者。
  18. 如申請專利範圍第11項所述的方法,其中: 至少部分移除所述第二多晶矽層時,亦至少部分移除所述記憶胞元區域中的所述第三多晶矽層,藉以形成選擇閘極空間及抹除閘極空間,且 所述導電材料亦形成於所述選擇閘極空間及所述抹除閘極空間中。
  19. 如申請專利範圍第18項所述的方法,其中: 所述記憶胞元區域中的所述第二多晶矽層被完全移除,且 所述記憶胞元區域中的所述第三多晶矽層不被完全移除,且所述導電材料形成於剩餘的所述第三多晶矽層上。
  20. 一種包括非揮發性記憶體的半導體裝置,所述非揮發性記憶體包括: 第一介電層,設置於基底上; 浮置閘極,設置於所述第一介電層上; 控制閘極; 第二介電層,設置於所述浮置閘極與所述控制閘極之間,且具有氮化矽層、氧化矽層以及其多層中的一者;以及 第三介電層,設置於所述第二介電層與所述控制閘極之間且包括介電常數比氮化矽高的介電材料。
TW106128488A 2016-11-29 2017-08-22 半導體裝置及其製造方法 TWI663712B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427463P 2016-11-29 2016-11-29
US62/427,463 2016-11-29
US15/584,314 2017-05-02
US15/584,314 US10283512B2 (en) 2016-11-29 2017-05-02 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201830666A true TW201830666A (zh) 2018-08-16
TWI663712B TWI663712B (zh) 2019-06-21

Family

ID=62190489

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106128488A TWI663712B (zh) 2016-11-29 2017-08-22 半導體裝置及其製造方法

Country Status (5)

Country Link
US (3) US10283512B2 (zh)
KR (1) KR102081400B1 (zh)
CN (1) CN108122919B (zh)
DE (1) DE102017111528B4 (zh)
TW (1) TWI663712B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283512B2 (en) * 2016-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325919B1 (en) 2018-06-22 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Mask design for embedded memory
US11164881B2 (en) * 2018-09-11 2021-11-02 Globalfoundries Singapore Pte. Ltd. Transistor device, memory arrays, and methods of forming the same
US11069564B2 (en) * 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11069714B1 (en) 2019-12-31 2021-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit
CN115378266A (zh) 2021-05-19 2022-11-22 台达电子企业管理(上海)有限公司 适用于宽范围输出电压的变换器及其控制方法
CN115440824A (zh) * 2021-06-01 2022-12-06 上海华力集成电路制造有限公司 半浮栅器件

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3586072B2 (ja) * 1997-07-10 2004-11-10 株式会社東芝 不揮発性半導体記憶装置
TW546842B (en) 2002-05-17 2003-08-11 Winbond Electronics Corp Multiple-layers gate structure and manufacturing method thereof
US20040256657A1 (en) 2003-06-20 2004-12-23 Chih-Wei Hung [flash memory cell structure and method of manufacturing and operating the memory cell]
US7046552B2 (en) 2004-03-17 2006-05-16 Actrans System Incorporation, Usa Flash memory with enhanced program and erase coupling and process of fabricating the same
US20060108628A1 (en) 2004-11-25 2006-05-25 Chih-Wei Hung Multi-level split-gate flash memory
US8809179B2 (en) 2006-04-13 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing topography of non-volatile memory and resulting memory cells
TWI304251B (en) 2006-06-09 2008-12-11 Powerchip Semiconductor Corp Method of manufacturing split gate flash device
JP5142494B2 (ja) 2006-08-03 2013-02-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TW200816391A (en) 2006-09-29 2008-04-01 Powerchip Semiconductor Corp Method of manufacturing split gate flash device
US7652318B2 (en) 2006-11-03 2010-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Split-gate memory cells and fabrication methods thereof
KR100871545B1 (ko) * 2007-06-25 2008-12-01 주식회사 동부하이텍 플래쉬 메모리 소자 및 그 제조 방법
EP2063459A1 (en) 2007-11-22 2009-05-27 Interuniversitair Microelektronica Centrum vzw Interpoly dielectric for a non-volatile memory device with a metal or p-type control gate
US8008702B2 (en) 2008-02-20 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-transistor non-volatile memory element
JP5629120B2 (ja) * 2010-04-26 2014-11-19 ルネサスエレクトロニクス株式会社 半導体装置
US8101477B1 (en) 2010-09-28 2012-01-24 Infineon Technologies Ag Method for making semiconductor device
JP5734744B2 (ja) * 2011-05-27 2015-06-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8883592B2 (en) 2011-08-05 2014-11-11 Silicon Storage Technology, Inc. Non-volatile memory cell having a high K dielectric and metal gate
US8669607B1 (en) 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency
JP6026913B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9349742B2 (en) * 2013-06-21 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded memory and methods of forming the same
US9159735B2 (en) 2013-07-18 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Architecture to improve cell size for compact array of split gate flash cell with buried common source structure
US9111867B2 (en) 2013-08-30 2015-08-18 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
US9269766B2 (en) 2013-09-20 2016-02-23 Globalfoundries Singapore Pte. Ltd. Guard ring for memory array
US9184252B2 (en) 2013-11-15 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory embedded with HKMG technology
US9583591B2 (en) 2014-03-14 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Si recess method in HKMG replacement gate technology
US9159842B1 (en) 2014-03-28 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded nonvolatile memory
US9431257B2 (en) 2014-07-14 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Salicided structure to integrate a flash memory device with a high κ, metal gate logic device
US9349741B2 (en) 2014-07-14 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed salicide structure to integrate a flash memory device with a high κ, metal gate logic device
US9543153B2 (en) 2014-07-16 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recess technique to embed flash memory in SOI technology
JP6359386B2 (ja) * 2014-08-28 2018-07-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US9960172B2 (en) 2014-11-19 2018-05-01 Globalfoundries Singapore Pte. Ltd. Reliable non-volatile memory device
KR102240022B1 (ko) 2014-11-26 2021-04-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN105655338A (zh) 2014-12-04 2016-06-08 联华电子股份有限公司 非挥发性存储单元及其制作方法
US9276006B1 (en) 2015-01-05 2016-03-01 Silicon Storage Technology, Inc. Split gate non-volatile flash memory cell having metal-enhanced gates and method of making same
US9379121B1 (en) * 2015-01-05 2016-06-28 Silicon Storage Technology, Inc. Split gate non-volatile flash memory cell having metal gates and method of making same
TWI594420B (zh) 2015-01-13 2017-08-01 Xinnova Tech Ltd Non-volatile memory components and methods of making the same
CN107251199B (zh) * 2015-01-22 2020-10-30 硅存储技术公司 形成分裂栅存储器单元阵列及低和高电压逻辑器件的方法
US9627392B2 (en) * 2015-01-30 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method to improve floating gate uniformity for non-volatile memory devices
KR102306674B1 (ko) * 2015-03-17 2021-09-29 삼성전자주식회사 반도체 소자 및 그 제조방법
JP6385873B2 (ja) * 2015-03-30 2018-09-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TWI594378B (zh) 2015-05-04 2017-08-01 北京芯盈速騰電子科技有限責任公司 非揮發性記憶體單元及其製作方法
US9634019B1 (en) 2015-10-01 2017-04-25 Silicon Storage Technology, Inc. Non-volatile split gate memory cells with integrated high K metal gate, and method of making same
US9634020B1 (en) 2015-10-07 2017-04-25 Silicon Storage Technology, Inc. Method of making embedded memory device with silicon-on-insulator substrate
KR20180078291A (ko) 2015-11-03 2018-07-09 실리콘 스토리지 테크놀로지 인크 비휘발성 메모리 내에서의 금속 플로팅 게이트의 집적
US9842848B2 (en) 2015-12-14 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded HKMG non-volatile memory
US9583640B1 (en) 2015-12-29 2017-02-28 Globalfoundries Inc. Method including a formation of a control gate of a nonvolatile memory cell and semiconductor structure
US9947676B2 (en) 2016-07-08 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. NVM memory HKMG integration technology
US10164074B2 (en) 2016-11-25 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with gate electrode embedded in substrate
US10325918B2 (en) * 2016-11-29 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10283512B2 (en) * 2016-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10943996B2 (en) * 2016-11-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including non-volatile memories and logic devices
US9966380B1 (en) * 2016-12-12 2018-05-08 Texas Instruments Incorporated Select gate self-aligned patterning in split-gate flash memory cell

Also Published As

Publication number Publication date
US20180151582A1 (en) 2018-05-31
CN108122919B (zh) 2020-01-14
KR102081400B1 (ko) 2020-02-25
TWI663712B (zh) 2019-06-21
US11758721B2 (en) 2023-09-12
DE102017111528B4 (de) 2023-01-19
US20190229124A1 (en) 2019-07-25
US20210202513A1 (en) 2021-07-01
KR20180060925A (ko) 2018-06-07
US10950611B2 (en) 2021-03-16
CN108122919A (zh) 2018-06-05
US10283512B2 (en) 2019-05-07
DE102017111528A1 (de) 2018-05-30

Similar Documents

Publication Publication Date Title
TWI653638B (zh) 半導體裝置及其製造方法
TWI663712B (zh) 半導體裝置及其製造方法
TWI700782B (zh) 半導體元件及其製造方法
CN107833891B (zh) 半导体器件及其制造方法
TW201907546A (zh) 半導體裝置的製造方法及半導體裝置
TWI652803B (zh) 半導體裝置及其製造方法
TW202131389A (zh) 半導體結構及其形成方法