TW201907546A - 半導體裝置的製造方法及半導體裝置 - Google Patents

半導體裝置的製造方法及半導體裝置 Download PDF

Info

Publication number
TW201907546A
TW201907546A TW106135756A TW106135756A TW201907546A TW 201907546 A TW201907546 A TW 201907546A TW 106135756 A TW106135756 A TW 106135756A TW 106135756 A TW106135756 A TW 106135756A TW 201907546 A TW201907546 A TW 201907546A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
gate
layer
disposed
semiconductor
Prior art date
Application number
TW106135756A
Other languages
English (en)
Other versions
TWI668844B (zh
Inventor
徐丞伯
黃仲仁
吳雲驥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201907546A publication Critical patent/TW201907546A/zh
Application granted granted Critical
Publication of TWI668844B publication Critical patent/TWI668844B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1237Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a different composition, shape, layout or thickness of the gate insulator in different devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

一種半導體裝置包括非揮發性記憶體(NVM)單元。所述非揮發性記憶體單元包括設置於絕緣層之上的半導體導線,所述絕緣層設置於基底上。所述非揮發性記憶體單元包括選擇電晶體及控制電晶體。所述選擇電晶體包括圍繞所述半導體導線設置的閘極介電層及設置於所述閘極介電層上的選擇閘極。所述控制電晶體包括圍繞所述半導體導線設置的堆疊介電層及設置於所述堆疊介電層上的控制閘極。所述堆疊介電層包括電荷陷獲層。所述選擇閘極鄰近所述控制閘極設置,所述選擇閘極與所述控制閘極之間具有堆疊介電層。

Description

半導體裝置的製造方法及半導體裝置
本發明的實施例是有關於半導體積體電路的製造方法,且更具體而言,是有關於包括非揮發性記憶體的半導體裝置及其製造方法。
由於半導體行業已向追求更高裝置密度、更高效能及更低成本的奈米技術製程節點發展,因此來自製作問題及設計問題兩者的挑戰已促使了三維設計的發展。在半導體裝置中整合非揮發性記憶體已達成了半導體裝置的更高功能性。
應理解,以下揭露內容提供用於實作本發明的不同特徵的諸多不同的實施例或實例。以下闡述組件及排列形式的具體實施例或實例以簡化本揭露內容。當然,該些僅為實例且不旨在進行限制。舉例而言,元件的尺寸並非僅限於所揭露範圍或值,而是可相依於製程條件及/或裝置的所期望性質。此外,以下說明中將第一特徵形成於第二特徵「之上」或第二特徵「上」可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。為簡潔及清晰起見,可按不同比例任意繪製各種特徵。在附圖中,為簡化起見,可省略一些層/特徵。
此外,為易於說明,本文中可能使用例如「之下(beneath)」、「下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對關係用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對關係用語旨在除圖中所繪示的取向外亦囊括元件在使用或操作中的不同取向。所述裝置可具有其他取向(旋轉90度或處於其他取向)且本文中所用的空間相對關係描述語可同樣相應地進行解釋。另外,用語「由…製成(made of)」可意為「包括(comprising)」或「由…組成(consisting of)」。此外,在以下製作製程中,在所述操作中/之間可存在一個或多個額外的操作,且可改變操作的次序。
在一些實施例中,半導體裝置包括非揮發性記憶體(non-volatile memory,NVM)單元,例如半導體-氧化物-氮化物-氧化物-半導體(semiconductor-oxide-nitride-oxide-semiconductor,SONOS)型NVM單元。具體而言,本實施例是有關於一種利用環繞式閘極結構(gate-all-around structure)的1.5-電晶體(1.5T)SONOS NVM單元。
圖1A至圖1D說明根據本發明一些實施例的1.5T SONOS NVM單元的結構。圖1B是對應於圖1A所示的沿著剖線X1-X1的平面PXY 的剖視圖,圖1C是對應於圖1A所示的剖線Y2-Y2的剖視圖,且圖1D是對應於圖1A所示的剖線Y1-Y1的剖視圖。
如圖1A至圖1D所示,1.5T SONOS NVM單元包括兩對控制電晶體CG及選擇電晶體SG,所述兩種電晶體皆為環繞式閘極場效電晶體。該些電晶體設置在絕緣層20之上,絕緣層20設置在基底10上。由於兩個記憶體單元共享一個汲極,因此圖1A至圖1D所示的裝置是1.5T NVM裝置。
選擇電晶體SG包括:半導體導線35,在X方向上延伸;閘極介電層130,包繞於半導體導線(通道)35C1或35C2的一部分周圍;以及選擇閘極70S,形成在閘極介電層130上,閘極介電層130包繞於半導體導線35C1或35C2的一部分周圍。在一些實施例中,閘極介電層130亦設置在絕緣層20上。
成對的控制電晶體CG及選擇電晶體SG共享汲極區35D(其為半導體導線35的一部分),且具有源極區35S1及源極區35S2(其亦為半導體導線35的一部分)。在本發明中,用語「源極區」及「汲極區」可用於彼此區分,且可互換使用。
控制電晶體CG包括:半導體導線35;堆疊介電層120,包繞於半導體導線(通道)35C1或35C2的一部分周圍;以及控制閘極70C,形成在堆疊介電層120上及周圍,堆疊介電層120包繞於半導體導線35C1或35C2的一部分周圍。在一些實施例中,堆疊介電層120設置在控制閘極70C與選擇閘極70S之間,且亦設置在絕緣層20上。
半導體導線35被形成為一個導線結構,且具有對應的錨定部分(anchor portion)30。半導體導線35是由以下製成:適當的半導體,例如矽或鍺;適當的合金或化合物半導體,例如IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、GeSn、SiSn、SiGeSn);III-V族化合物半導體(例如,砷化鎵、砷化銦鎵InGaAs、砷化銦、磷化銦、銻化銦、磷化鎵砷或磷化鎵銦)等。半導體導線35被適當地摻入雜質。在一些實施例中,半導體導線35在選擇電晶體SG及控制電晶體CG中的厚度T1、T2介於約3奈米至25奈米的範圍內,且半導體導線35的寬度W1、W2介於約3奈米至10奈米的範圍內。半導體導線35的剖視形狀可實質上為具有圓角的方形、具有圓角的矩形、具有圓角的三角形、具有圓角的多邊形、橢圓形、圓形等。
在一些實施例中,閘極介電層130是由藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的SiO2 所製成。在其他實施例中,閘極介電層130包括介電常數大於SiO2 的介電常數的一或多個高介電常數介電層。舉例而言,閘極介電層130可包括一或多層金屬氧化物或Hf、Al、Zr的矽酸鹽、其組合以及其多個層。其他適當的材料包括金屬氧化物、金屬合金氧化物及其組合形式的La、Mg、Ba、Ti、Pb、Zr。示例性材料包括MgOx 、BaTix Oy 、BaSrx Tiy Oz 、PbTix Oy 、PbZrx Tiy Oz 、SiCN、SiON、SiN、Al2 O3 、La2 O3 、Ta2 O3 、Y2 O3 、HfO2 、ZrO2 、HfSiON、YGex Oy 、YSix Oy 及LaAlO3 等。在一些實施例中,閘極介電層130具有約1奈米至約8奈米的厚度T3。
堆疊介電層120包括:第一介電層121,設置在半導體導線35上及周圍;第二介電層122,設置在第一介電層121上;以及第三介電層123,設置在第二介電層上。如圖1D所示,堆疊介電層120亦設置在絕緣層20上。在一些實施例中,第一介電層121及第三介電層123是由SiO2 或其他適當的金屬氧化物介電材料製成。堆疊介電層120在一些實施例中具有約5奈米至約25奈米的厚度T4。在一些實施例中,第一介電層具有約1奈米至約5奈米的厚度,且第三介電層具有約2奈米至約8奈米的厚度。在一些實施例中,充當NVM單元的電荷陷獲層的第二介電層122是由SiN、SiON、HfO2 、ZrO2 或其他適當的介電材料中的一或多者製成。矽點(Si-dot)可在某些實施例中用作電荷陷獲層。在一些實施例中,第二介電層122具有約2奈米至約12奈米的厚度。
選擇閘極70S及閘極控制70C包含一或多種導電材料,例如W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt及/或Zr或任意其他適當的材料。在一些實施例中,選擇閘極70S及控制閘極70C包含導電材料,例如TiN、WN、TaN及/或Ru。可使用例如Ti-Al、Ru-Ta、Ru-Zr、Pt-Ti、Co-Ni及Ni-Ta等金屬合金及/或可使用例如WNx 、TiNx 、MoNx 、TaNx 及TaSix Ny 等金屬氮化物。在本發明的某些實施例中,選擇閘極70S及控制閘極70C包括設置在閘極介電層130上的一或多個功函數調整層。功函數調整層是由以下導電材料或任意其他適當的材料製成:例如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的單層或該些材料中的二或更多者的多層。對於n通道鰭式場效電晶體(FinFET),使用TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi及TaSi中的一或多者或任何其他適當的材料作為功函數調整層,且對於p通道鰭式場效電晶體,使用TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC及Co中的一或多者或任何其他適當的材料作為功函數調整層。
在一些實施例中,選擇閘極70S的寬度L1介於約5奈米至約50奈米範圍內且控制閘極70C的寬度L2介於約5奈米至約50奈米範圍內。寬度L1可與寬度L2相同或不同。在一些實施例中,一個選擇閘極的端部與另一選擇閘極的端部之間的空間S1介於約30奈米至約200奈米的範圍內,且控制閘極的端部與錨定部分之間的空間S2介於約30奈米至約200奈米的範圍內。在一些實施例中,一或多個側壁間隔壁(sidewall spacer)(圖中未示出)設置在選擇閘極70S的一側上及控制閘極70C的一側上。在一些實施例中,控制閘極70C的高度H1大於選擇閘極70S的高度H2。
在一些實施例中,基底10可由以下製成:適當的半導體,例如矽、金剛石或鍺;適當的合金或化合物半導體,例如IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、GeSn、SiSn、SiGeSn);III-V族化合物半導體(例如,砷化鎵、砷化銦鎵InGaAs、砷化銦、磷化銦、銻化銦、磷化鎵砷或磷化鎵銦);或任意其他適當的材料。絕緣層20可由SiO2 或其他適當的絕緣材料製成。
圖1E是根據本發明一些實施例的非揮發性記憶體單元陣列的立體圖。在圖1E中,二或更多個半導體導線設置在基底之上,且選擇閘極及控制閘極設置在二或更多個半導體導線之上。汲極耦合到位元線(bit line),且選擇閘極及控制閘極作為字元線(word line)。源極可耦合到恰當的電源。
圖2至圖16說明根據本發明一些實施例的半導體裝置製作製程的各種階段。應理解,可在由圖2至圖16所示製程之前、期間及之後提供其他操作,且對於所述方法的其他實施例,可替換或刪去以下所述操作中的某些操作。操作/製程的次序可進行互換。
在圖2中,製備包括基底10、絕緣層20及上部半導體層28的半導體-絕緣體-半導體結構。在一些實施例中,所述半導體-絕緣體-半導體結構為絕緣體上矽(silicon-on-insulator,SOI)晶圓。在一些實施例中,絕緣層20的厚度介於約100奈米至3000奈米的範圍內。在一些實施例中,上部半導體層28的厚度介於約10奈米至200奈米的範圍內。
如圖3所示,將雜質引入至上部半導體層28中,藉此形成摻雜上部半導體層29。藉由一或多種離子植入操作配合恰當的微影操作來引入例如P、As、In、B及/或BF2 等雜質。在一些實施例中,摻雜上部半導體層29的摻雜濃度介於10 × 1012 /立方公分至約10 × 1015 /立方公分的範圍內。在一些實施例中,植入操作未在製造操作的此階段處執行,而是在稍後的階段處執行。
然後,如圖4所示,在摻雜上部半導體層29之上形成罩幕圖案40。罩幕圖案40可為光阻圖案,或由SiO2 及SiN的一或多個層或任意其他適當的材料形成的硬罩幕(hard mask)圖案。罩幕圖案40可具有「I」形狀,所述「I」形狀具有主要部分及設置於所述主要部分的兩端的錨定部分。
接下來,如意示出剖視圖的圖5所示,藉由利用罩幕圖案40作為蝕刻罩幕對摻雜上部半導體層29進行圖案化,並藉由乾蝕刻及/或濕蝕刻在絕緣層20中產生凹槽。如圖5所示,藉由此凹槽蝕刻,位於上部半導體層29的「I」形狀的主要部分之下的絕緣層20被移除,藉此形成半導體導線35及錨定部分30。在一些實施例中,在形成半導體導線35之後執行針對摻雜上部半導體的植入操作。隨後,移除罩幕圖案40。
如圖6所示,在形成半導體導線之後,在半導體導線35周圍、以及在包括具有凹槽的絕緣層20的上表面的其他部分上形成閘極介電層130。閘極介電層130可藉由熱氧化、化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(ALD)來形成。
隨後,如圖7所示,藉由CVD、PVD、ALD或任意其他適當的方法在閘極介電層130之上形成第一閘極層70。第一閘極層70可摻雜多晶矽或摻雜非晶矽。第一閘極層70形成在包繞於半導體導線35周圍的閘極介電層130上及形成於其他部分處的閘極介電層130上。半導體導線35以及閘極介電層130完全嵌置在第一閘極層70中。
然後,如圖8所示,在第一閘極層70之上形成罩幕圖案42。藉由使用乾蝕刻操作,將第一閘極層70圖案化成選擇閘極70S。如圖9所示,利用適當的蝕刻氣體對除了位於選擇閘極之下的區域以外的閘極介電層130進行進一步地蝕刻。在藉由蝕刻形成選擇閘極70S之後,暴露出除了被選擇閘極70S覆蓋的部分以外的半導體導線35。
在某些實施例中,罩幕圖案42是光阻圖案,且藉由使用所述光阻圖案,對第一閘極層70進行蝕刻。在其他實施例中,在第一閘極層70上形成由SiO2 及SiN的一或多個層製成的硬罩幕層,並藉由使用罩幕圖案42進行蝕刻而將硬罩幕層圖案化。進一步地,利用經圖案化的硬罩幕層對第一閘極層70進行圖案化。
在形成選擇閘極70S之後,形成堆疊介電層120。如圖10所示,堆疊層120包括:第一部分,形成在被暴露出的半導體導線35上並圍繞被暴露出的半導體導線35;以及第二部分,形成在包括選擇閘極70S並位於基底之上的其他剩餘部分上。包括第一介電層121、第二介電層122及第三介電層123的堆疊介電層120可藉由熱氧化、化學氣相沉積(CVD)、物理氣相沉積(PVD)或原子層沉積(ALD)來形成。
然後,如圖11所示,在堆疊介電層120之上形成第二閘極層95。第二閘極層95可為摻雜多晶矽或摻雜非晶矽。然後,如圖12所示,執行利用乾蝕刻的回蝕(etch-back)操作,以暴露出堆疊介電層120的形成在選擇閘極70S上的及形成在半導體導線35上的上部部分。藉由所述回蝕操作,在被堆疊介電層120覆蓋的選擇閘極70S的相對側面上形成側壁結構96、97。
此外,如圖13所示,在圖12所示的結構之上形成罩幕圖案44。在一些實施例中,罩幕圖案44是光阻圖案。罩幕圖案44覆蓋側壁結構96。然後,藉由利用乾蝕刻及/或濕蝕刻,移除未被罩幕圖案44覆蓋的第二閘極層95,藉此形成控制閘極70C。
接下來,如圖15所示,藉由乾蝕刻及/或濕蝕刻移除第三介電層123及第二介電層122。因此,如圖15所示,第一介電層121保留於錨定部分30、絕緣層20及選擇閘極的上表面上。在一些實施例中,不移除第三介電層123及第二介電層122,抑或僅移除第三介電層123。
隨後,如圖16所示,執行存在或不存在光阻罩幕的一或多種離子植入操作,以將摻雜物引入至被堆疊介電層120覆蓋的半導體導線的源極區35S1、源極區35S2及汲極區35D。在一些實施例中,所述雜質為例如Ge、C、P、As、In、B及/或BF2 。在一些實施例中,摻雜濃度介於約10 × 1012 /立方公分至約10 × 1015 /立方公分的範圍內。
如圖16所示,控制閘極與選擇閘極彼此相鄰,其中在所述兩者之間僅夾置堆疊介電層120(堆疊介電層的第二部分)(不存在其他層)。此外,閘極介電層130的一部分設置在選擇閘極70S與絕緣層20之間,且堆疊介電層120的一部分(堆疊介電層的第三部分)設置在控制閘極70C與絕緣層20之間。在一些實施例中,在控制閘極70C與堆疊介電層120之間不夾置閘極介電層。
在一些實施例中,在離子植入之前及/或之後,在控制閘極70C的不面對選擇閘極70S的一個側面上、以及在選擇閘極的被覆蓋以第一介電層121的不面對控制閘極70C的一個側面上形成一或多組側壁間隔壁。側壁間隔壁可包括SiO2 、SiN、SiON、SiOCN或其他適當介電材料的一或多個層,且可藉由沉積膜並進行非等向性蝕刻而形成。在一些實施例中,側壁間隔壁的厚度介於約5奈米至約50奈米的範圍內。此外,在一些實施例中,在圖16所示結構之上形成底部接觸蝕刻終止層(bottom-contact-etch-stop layer,BESL),其此外在BESL上形成一或多個層間介電(interlayer dielectric,ILD)層。在一些實施例中,BESL的厚度介於約5奈米至約30奈米的範圍內。
在形成圖16所示的結構之後,執行進一步的互補金屬氧化物半導體(CMOS)製程以形成各種特徵,例如額外的層間介電層、觸點/通孔、內連金屬層及鈍化層等。
圖17至圖21說明根據本發明其他實施例的半導體裝置製作製程的各種階段。應理解,可在由圖17至圖21所示製程之前、期間及之後提供其他操作,且對於所述方法的其他實施例,可替換或刪去以下所述操作中的某些操作。所述操作/製程的次序可進行互換。與參照圖1A至圖16所闡釋者相同或類似的材料、構造、製程及/或操作可應用至以下實施例,且為避免冗餘,對其不再予以贅述。
如圖17所示,製備基底(例如,Si晶片)10’。在其他實施例中,基底10’可由Ge、IV族化合物半導體或III-V族化合物半導體或任意其他適當的材料製成。然後,如圖18所示,類似於圖3所示的操作,將雜質引入至基底10’的上部部分中,藉此形成摻雜層29’。類似於圖4,在摻雜層29’之上形成罩幕圖案40,如圖19所示。
然後,對摻雜層29’及基底10’進行蝕刻以形成半導體導線35’及錨定部分30’,如亦示出剖視圖的圖20所示。使用等向性蝕刻與非等向性蝕刻的組合以形成半導體導線。在非等向性蝕刻中,利用使用SF6 的矽的等向性蝕刻操作與使用C4 F8 的側壁鈍化步驟的組合。重複這兩個步驟以形成垂直凹槽,然後使用SF6 進行等向性蝕刻。由於使用SF6 的蝕刻是沿側向方向以及垂直方向進行,因此移除基底10’的位於罩幕圖案之下(位於待形成的半導體導線之下)的一部分,藉此從基底10’分離出半導體導線。在一些實施例中,如圖20所示,可在半導體導線35’之下形成突出部19。
隨後,如圖21所示,在具有凹槽的基底10’中形成絕緣層20’。絕緣層20’可由以下適當的介電材料形成:例如,氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質(例如,碳摻雜氧化物)、極低介電常數介電質(例如,多孔碳摻雜二氧化矽)、聚合物(例如,聚醯亞胺)或其組合等。在一些實施例中,藉由例如CVD、可流動CVD(flowable CVD,FCVD)或旋塗式玻璃(spin-on-glass)製程等製程來形成絕緣層20’,但也可利用任意可接受的製程。隨後,利用例如蝕刻製程、化學機械研磨(chemical mechanical polishing,CMP)等移除絕緣層20’的不必要的部分。在形成層20’之後,執行如參照圖6至圖16所闡釋的操作來形成NVM單元結構。
圖22A說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。圖22B是對應於圖22A所示的剖線Y2-Y2的剖視圖,且圖22C是對應於圖22A所示的剖線Y1-Y1的剖視圖。除了如圖20所示在半導體導線35’之下形成突出部19且突出部19嵌置在絕緣層20’之中以外,圖22A至圖22C所示的結構實質上相同於圖1A至圖1D所示的結構。
圖23至圖26說明根據本發明其他實施例的半導體裝置製作製程的各種階段。應理解,可在由圖23至圖26所示製程之前、期間及之後提供其他操作,且對於所述方法的其他實施例,可替換或刪去以下所述操作中的某些操作。所述操作/製程的次序可進行互換。與參照圖1A至圖22所闡釋者相同或類似的材料、構造、製程及/或操作可應用至以下實施例,且為避免冗餘,對其不再予以贅述。
如圖23所示,在基底10’’上外延形成第一半導體層11,且在第一半導體層11上外延形成第二半導體層12。在一些實施例中,基底10’’是Si,第一半導體層11是由SiGe製成,且第二半導體層12是由Si製成。
然後,類似於圖3所示的操作,將雜質引入第二半導體層12中,藉此形成摻雜第二半導體層29’’,如圖24所示。如圖25所示,類似於圖4,在摻雜第二半導體層29’’之上形成罩幕圖案40。
然後,如圖26所示,對摻雜第二半導體層29’’及基底10’’進行蝕刻以形成半導體導線35’’及錨定部分30’’。為形成半導體導線,利用罩幕圖案40作為蝕刻罩幕來蝕刻第二半導體層12,且然後移除第一半導體層11的一部分。可利用例如但並非僅限於以下的濕蝕刻劑來選擇性地移除第一半導體層11:氫氧化銨(NH4 OH)、氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH)溶液。隨後,移除罩幕圖案40。
在一些實施例中,可在半導體導線35’’之下形成類似於圖20所示者的突出部。
隨後,類似於圖11,在具有凹槽的第一半導體層11中形成絕緣層。在一些實施例中,完全移除位於半導體導線35’’之下的第一半導體層,以暴露出基底10’’。在形成絕緣層之後,執行如參照圖6至圖16所闡述的操作以形成NVM單元結構。
應理解,本文中未必論述所有優點,對於所有實施例或實例而言並不需要特定優點,且其他實施例或實例可提供不同優點。
舉例而言,在本發明中,採用具有選擇電晶體及控制電晶體的1.5T-SONOS NVM單元,其相較於具有浮置閘極的NVM單元更易於相應地縮小。此外,藉由採用環繞式閘極結構,可更精確地控制記憶體操作並改良寫入/讀取/抹除操作。此外,可進一步減小裝置大小。
根據本發明的態樣,在一種形成包括非揮發性記憶體(NVM)單元的半導體裝置的方法中,在設置於基底上的絕緣層之上形成半導體導線。圍繞所述半導體導線形成閘極介電層。圍繞被所述閘極介電層包繞的所述半導體導線形成選擇閘極。形成第一堆疊介電層及第二堆疊介電層,所述第一堆疊介電層包繞於不被所述選擇閘極覆蓋的所述半導體導線,且所述第二堆疊介電層位於所述選擇閘極上。形成控制閘極,所述控制閘極圍繞被所述第一堆疊介電層包繞的所述半導體導線且相鄰於所述選擇閘極的一個面,所述第二堆疊介電層夾置於所述控制閘極與所述選擇閘極之間。在一或多個以上或以下實施例中,所述半導體導線是藉由以下方式形成:在設置於所述絕緣層上的半導體層上形成罩幕圖案;使用所述罩幕圖案作為蝕刻罩幕將所述半導體層圖案化;以及移除所述絕緣層的一部分,藉此形成所述半導體導線。在一或多個以上或以下實施例中,在形成所述罩幕圖案之前,藉由一或多次離子植入操作在所述半導體層中形成摻雜層。在一或多個以上或以下實施例中,在形成所述半導體導線之後,執行一或多次離子植入操作,藉此將雜質引入至所述半導體導線中。在一或多個以上或以下實施例中,所述半導體導線是藉由以下方式形成:在所述基底上形成罩幕圖案;蝕刻所述基底,藉此在所述基底中形成凹槽以及形成設置於所述凹槽之上的所述半導體導線;以及在所述凹槽中形成所述絕緣層。在一或多個以上或以下實施例中,在形成所述罩幕圖案之前,藉由一或多次離子植入操作在所述基底中形成摻雜層。在一或多個以上或以下實施例中,在形成所述半導體導線之後,執行一或多次離子植入操作,藉此將雜質引入至所述半導體導線中。在一或多個以上或以下實施例中,所述第一堆疊介電層及所述第二堆疊介電層包括設置於所述半導體導線的所述控制閘極部分上的第一介電層、設置於所述第一介電層上的第二介電層及設置於所述第二介電層上的第三介電層。在一或多個以上或以下實施例中,所述第二介電層包含選自由SiN、SiON、HfO2 、ZrO2 及矽點所組成的群組中的一或多種材料。在一或多個以上或以下實施例中,在形成所述控制閘極之後,移除不被所述控制閘極覆蓋的所述第一堆疊介電層。
根據本發明的另一態樣,一種半導體裝置包括非揮發性記憶體(NVM)單元。所述非揮發性記憶體單元包括設置於絕緣層之上的半導體導線,所述絕緣層設置於基底上。所述非揮發性記憶體單元包括選擇電晶體及控制電晶體。所述選擇電晶體包括圍繞所述半導體導線設置的閘極介電層及設置於所述閘極介電層上的選擇閘極。所述控制電晶體包括圍繞所述半導體導線設置的第一堆疊介電層及設置於所述第一堆疊介電層上的控制閘極。所述選擇閘極鄰近所述控制閘極設置,所述選擇閘極與所述控制閘極之間具有第二堆疊介電層。所述第一堆疊介電層及所述第二堆疊介電層包括電荷陷獲層。在一或多個以上或以下實施例中,所述第一堆疊介電層及所述第二堆疊介電層更包括由氧化物製成的第一介電層及由氧化物製成且設置於所述電荷陷獲層上的第三介電層,且所述電荷陷獲層設置於所述第一介電層上。在一或多個以上或以下實施例中,所述電荷陷獲層包含選自由SiN、SiON、HfO2 、ZrO2 及矽點所組成的群組中的一或多種材料。在一或多個以上或以下實施例中,所述閘極介電層的一部分設置於所述選擇閘極與所述絕緣層之間。在一或多個以上或以下實施例中,所述第二堆疊介電層的一部分設置於所述控制閘極與所述絕緣層之間。在一或多個以上或以下實施例中,所述控制閘極的高度大於所述選擇閘極的高度。
根據本發明的另一態樣,一種半導體裝置包括非揮發性記憶體(NVM)單元。所述非揮發性記憶體單元包括設置於絕緣層之上的半導體導線,所述絕緣層設置於基底上。所述非揮發性記憶體單元包括第一控制電晶體、第一選擇電晶體、第二選擇電晶體及第二控制電晶體,所述第一控制電晶體、所述第一選擇電晶體、所述第二選擇電晶體及所述第二控制電晶體沿所述半導體導線的延伸方向以此次序排列。所述第一選擇電晶體及所述第二選擇電晶體包括圍繞所述半導體導線設置的閘極介電層及設置於所述閘極介電層上的選擇閘極。所述第一控制電晶體及所述第二控制電晶體包括圍繞所述半導體導線設置的第一堆疊介電層及設置於所述堆疊介電層上的控制閘極。第一選擇閘極鄰近第一控制閘極設置,在所述兩者之間設置有第二堆疊介電層,且第二選擇閘極鄰近第二控制閘極設置,在所述兩者之間設置有第三堆疊介電層。所述第一堆疊介電層至所述第三堆疊介電層包括電荷陷獲層。所述半導體導線包括設置於所述第一選擇電晶體的所述選擇閘極與所述第二選擇電晶體的所述選擇閘極之間的共享汲極部分。在一或多個以上或以下實施例中,所述第一堆疊介電層及所述第二堆疊介電層更包括由氧化物製成的第一介電層及由氧化物製成且設置於所述電荷陷獲層上的第三介電層,所述電荷陷獲層設置於所述第一介電層上,且所述電荷陷獲層包含選自由SiN、SiON、HfO2 及ZrO2 所組成的群組中的一或多種材料。在一或多個以上或以下實施例中,所述閘極介電層的一部分設置於所述選擇閘極與所述絕緣層之間,且所述第二堆疊介電層的一部分設置於所述控制閘極與所述絕緣層之間。在一或多個以上或以下實施例中,所述閘極介電層不具有設置於所述第一堆疊介電層與所述控制閘極之間的部分。
以上概述了若干實施例或實例的特徵,以使熟習此項技術者可更佳地理解本發明的各個態樣。熟習此項技術者應知,其可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例或實例相同的目的及/或達成與本文中所介紹的實施例或實例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替及變更。
10、10’、10’’‧‧‧基底
11‧‧‧第一半導體層
12‧‧‧第二半導體層
19‧‧‧突出部
20、20’‧‧‧絕緣層
28‧‧‧上部半導體層
29‧‧‧摻雜上部半導體層
29’‧‧‧摻雜層
29’’‧‧‧摻雜第二半導體層
30、30’、30’’‧‧‧錨定部分
35、35’、35’’、35C1、35C2‧‧‧半導體導線
35D‧‧‧汲極區
35S1、35S2‧‧‧源極區
40、42、44‧‧‧罩幕圖案
70‧‧‧第一閘極層
70C‧‧‧控制閘極
70S‧‧‧選擇閘極
95‧‧‧第二閘極層
96‧‧‧側壁結構
97‧‧‧側壁結構
120‧‧‧堆疊介電層
121‧‧‧第一介電層
122‧‧‧第二介電層
123‧‧‧第三介電層
130‧‧‧閘極介電層
CG‧‧‧控制電晶體
H1、H2‧‧‧高度
L1、L2、W1、W2‧‧‧寬度
PXY ‧‧‧平面
S1、S2‧‧‧空間
SG‧‧‧選擇電晶體
T1、T2、T3、T4‧‧‧厚度
X1-X1、Y1-Y1、Y2-Y2‧‧‧剖線
X、Y、Z‧‧‧方向
結合附圖閱讀以下詳細說明,會最佳地理解本發明的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A是根據本發明一些實施例的非揮發性記憶體單元的立體圖。 圖1B是對應於圖1A所示的沿著剖線X1-X1的平面PXY 的剖視圖,圖1C是對應於圖1A所示的剖線Y2-Y2的剖視圖,且圖1D是對應於圖1A所示的剖線Y1-Y1的剖視圖。 圖1E是根據本發明一些實施例的非揮發性記憶體陣列的立體圖。 圖2說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖3說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖4說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖5以剖視圖說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖6說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖7說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖8說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖9說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖10以放大圖說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖11說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖12說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖13說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖14說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖15說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖16說明根據本發明一些實施例,在半導體裝置製作製程中的各種階段中的一者。 圖17說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖18說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖19說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖20以剖視圖說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖21以剖視圖說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖22A說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。圖22B是對應於圖22A所示的剖線Y2-Y2的剖視圖,且圖22C是對應於圖22A所示的剖線Y1-Y1的剖視圖。 圖23說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖24說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖25說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。 圖26說明根據本發明其他實施例,在半導體裝置製作製程中的各種階段中的一者。

Claims (20)

  1. 一種形成包括非揮發性記憶體(NVM)單元的半導體裝置的方法,所述方法包括: 在設置於基底上的絕緣層之上形成半導體導線; 圍繞所述半導體導線形成閘極介電層; 圍繞被所述閘極介電層包繞的所述半導體導線形成選擇閘極; 形成第一堆疊介電層及第二堆疊介電層,所述第一堆疊介電層包繞於不被所述選擇閘極覆蓋的所述半導體導線周圍,所述第二堆疊介電層位於所述選擇閘極上;以及 形成控制閘極,所述控制閘極圍繞被所述第一堆疊介電層包繞的所述半導體導線且相鄰於所述選擇閘極的一個面,所述第二堆疊介電層夾置於所述控制閘極與所述選擇閘極之間。
  2. 如申請專利範圍第1項所述的方法,其中所述形成所述半導體導線包括: 在設置於所述絕緣層上的半導體層上形成罩幕圖案; 使用所述罩幕圖案作為蝕刻罩幕將所述半導體層圖案化;以及 移除所述絕緣層的一部分,藉此形成所述半導體導線。
  3. 如申請專利範圍第2項所述的方法,其中在形成所述罩幕圖案之前,藉由一或多次離子植入操作在所述半導體層中形成摻雜層。
  4. 如申請專利範圍第2項所述的方法,其中在所述形成所述半導體導線之後,執行一或多次離子植入操作,藉此將雜質引入至所述半導體導線中。
  5. 如申請專利範圍第1項所述的方法,其中所述形成所述半導體導線包括: 在所述基底上形成罩幕圖案; 蝕刻所述基底,藉此在所述基底中形成凹槽以及形成設置於所述凹槽之上的所述半導體導線;以及 在所述凹槽中形成所述絕緣層。
  6. 如申請專利範圍第5項所述的方法,其中在形成所述罩幕圖案之前,藉由一或多次離子植入操作在所述基底中形成摻雜層。
  7. 如申請專利範圍第5項所述的方法,其中在所述形成所述半導體導線之後,執行一或多次離子植入操作,藉此將雜質引入至所述半導體導線中。
  8. 如申請專利範圍第1項所述的方法,其中所述第一堆疊介電層及所述第二堆疊介電層包括設置於所述半導體導線的所述控制閘極部分上的第一介電層、設置於所述第一介電層上的第二介電層及設置於所述第二介電層上的第三介電層。
  9. 如申請專利範圍第8項所述的方法,其中所述第二介電層包含選自由SiN、SiON、HfO2 、ZrO2 及矽點所組成的群組中的一或多種材料。
  10. 如申請專利範圍第8項所述的方法,其中在形成所述控制閘極之後,移除不被所述控制閘極覆蓋的所述第一堆疊介電層。
  11. 一種包括非揮發性記憶體(NVM)單元的半導體裝置,其中: 所述非揮發性記憶體單元包括設置於絕緣層之上的半導體導線,所述絕緣層設置於基底上; 所述非揮發性記憶體單元包括選擇電晶體及控制電晶體; 所述選擇電晶體包括圍繞所述半導體導線設置的閘極介電層及設置於所述閘極介電層上的選擇閘極; 所述控制電晶體包括圍繞所述半導體導線設置的第一堆疊介電層及設置於所述第一堆疊介電層上的控制閘極; 所述選擇閘極鄰近所述控制閘極設置,所述選擇閘極與所述控制閘極之間具有第二堆疊介電層;以及 所述第一堆疊介電層及所述第二堆疊介電層包括電荷陷獲層。
  12. 如申請專利範圍第11項所述的半導體裝置,其中: 所述第一堆疊介電層及所述第二堆疊介電層更包括由氧化物製成的第一介電層及由氧化物製成且設置於所述電荷陷獲層上的第三介電層;以及 所述電荷陷獲層設置於所述第一介電層上。
  13. 如申請專利範圍第12項所述的半導體裝置,其中所述電荷陷獲層包含選自由SiN、SiON、HfO2 、ZrO2 及矽點所組成的群組中的一或多種材料。
  14. 如申請專利範圍第11項所述的半導體裝置,其中所述閘極介電層的一部分設置於所述選擇閘極與所述絕緣層之間。
  15. 如申請專利範圍第11項所述的半導體裝置,其中所述第二堆疊介電層的一部分設置於所述控制閘極與所述絕緣層之間。
  16. 如申請專利範圍第11項所述的半導體裝置,其中所述控制閘極的高度大於所述選擇閘極的高度。
  17. 一種包括非揮發性記憶體(NVM)單元的半導體裝置,其中: 所述非揮發性記憶體單元包括設置於絕緣層之上的半導體導線,所述絕緣層設置於基底上; 所述非揮發性記憶體單元包括第一控制電晶體、第一選擇電晶體、第二選擇電晶體及第二控制電晶體,所述第一控制電晶體、所述第一選擇電晶體、所述第二選擇電晶體及所述第二控制電晶體沿所述半導體導線的延伸方向以此次序排列; 所述第一選擇電晶體及所述第二選擇電晶體包括圍繞所述半導體導線設置的閘極介電層及設置於所述閘極介電層上的選擇閘極; 所述第一控制電晶體及所述第二控制電晶體包括圍繞所述半導體導線設置的第一堆疊介電層及設置於所述第一堆疊介電層上的控制閘極; 所述選擇閘極鄰近所述控制閘極設置,所述選擇閘極與所述控制閘極之間設置有第二堆疊介電層; 所述第一堆疊介電層及所述第二堆疊介電層包括電荷陷獲層;以及 所述半導體導線包括設置於所述第一選擇電晶體的所述選擇閘極與所述第二選擇電晶體的所述選擇閘極之間的共享汲極部分。
  18. 如申請專利範圍第17項所述的半導體裝置,其中: 所述第一堆疊介電層及所述第二堆疊介電層更包括由氧化物製成的第一介電層及由氧化物製成且設置於所述電荷陷獲層上的第三介電層, 所述電荷陷獲層設置於所述第一介電層上,且 所述電荷陷獲層包含選自由SiN、SiON、HfO2 及ZrO2 所組成的群組中的一或多種材料。
  19. 如申請專利範圍第17項所述的半導體裝置,其中: 所述閘極介電層的一部分設置於所述選擇閘極與所述絕緣層之間,且 所述第二堆疊介電層的一部分設置於所述控制閘極與所述絕緣層之間。
  20. 如申請專利範圍第19項所述的半導體裝置,其中所述閘極介電層不具有設置於所述第一堆疊介電層與所述控制閘極之間的部分。
TW106135756A 2017-07-07 2017-10-18 半導體裝置的製造方法及半導體裝置 TWI668844B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/644,506 2017-07-07
US15/644,506 US10276728B2 (en) 2017-07-07 2017-07-07 Semiconductor device including non-volatile memory cells

Publications (2)

Publication Number Publication Date
TW201907546A true TW201907546A (zh) 2019-02-16
TWI668844B TWI668844B (zh) 2019-08-11

Family

ID=64666365

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135756A TWI668844B (zh) 2017-07-07 2017-10-18 半導體裝置的製造方法及半導體裝置

Country Status (5)

Country Link
US (4) US10276728B2 (zh)
KR (1) KR102055810B1 (zh)
CN (1) CN109216371B (zh)
DE (1) DE102017116343B4 (zh)
TW (1) TWI668844B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276728B2 (en) * 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10580768B1 (en) * 2018-09-28 2020-03-03 Win Semiconductors Corp. Gallium arsenide cell
KR102158187B1 (ko) * 2019-01-30 2020-09-22 한경대학교 산학협력단 나노 와이어 기반 이종 터널 전계효과 트랜지스터
CN110379708B (zh) * 2019-07-22 2021-08-13 上海华力微电子有限公司 闪存的分裂栅极的制造方法
US20210118879A1 (en) * 2019-10-18 2021-04-22 Tokyo Electron Limited Method of making a charge trap tfet semiconductor device for advanced logic operations
US11217629B2 (en) * 2020-05-19 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11729997B2 (en) 2020-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. 3D stackable memory and methods of manufacture
KR102535780B1 (ko) 2021-02-02 2023-05-22 한국해양대학교 산학협력단 디지털 이미지 기반 암반평점값 산출 방법 및 장치
KR102348206B1 (ko) 2021-02-02 2022-01-07 (주) 신우하이텍 디지털 이미지 기반 암반평점값 산출 방법 및 장치
CN115707248A (zh) 2021-08-03 2023-02-17 联华电子股份有限公司 半导体存储器元件及其制作方法
CN114335004B (zh) * 2022-03-11 2022-05-17 江苏游隼微电子有限公司 一种1.5t sonos器件及其制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6933558B2 (en) * 2003-12-04 2005-08-23 Advanced Micro Devices, Inc. Flash memory device
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7514739B2 (en) 2004-08-27 2009-04-07 Samsung Electronics Co., Ltd Nonvolatile semiconductor device and method of fabricating the same
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7091551B1 (en) 2005-04-13 2006-08-15 International Business Machines Corporation Four-bit FinFET NVRAM memory device
JP4646837B2 (ja) * 2006-03-13 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5142494B2 (ja) 2006-08-03 2013-02-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8546863B2 (en) * 2007-04-19 2013-10-01 Nxp B.V. Nonvolatile memory cell comprising a nanowire and manufacturing method thereof
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US7781825B2 (en) 2007-10-18 2010-08-24 Macronix International Co., Ltd. Semiconductor device and method for manufacturing the same
TWI433302B (zh) 2009-03-03 2014-04-01 Macronix Int Co Ltd 積體電路自對準三度空間記憶陣列及其製作方法
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
JP5674579B2 (ja) * 2011-07-15 2015-02-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US9082656B2 (en) 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
KR101779031B1 (ko) * 2011-12-19 2017-09-18 인텔 코포레이션 수직 트랜지스터와 그 제조방법, 및 고전압 트랜지스터
WO2013095341A1 (en) 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US20140048867A1 (en) 2012-08-20 2014-02-20 Globalfoundries Singapore Pte. Ltd. Multi-time programmable memory
US8785909B2 (en) 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
KR102069609B1 (ko) * 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9257554B2 (en) 2013-08-13 2016-02-09 Globalfoundries Singapore Pte. Ltd. Split gate embedded memory technology and method of manufacturing thereof
US8895397B1 (en) 2013-10-15 2014-11-25 Globalfoundries Singapore Pte. Ltd. Methods for forming thin film storage memory cells
KR102158963B1 (ko) * 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104332471B (zh) 2014-11-17 2017-06-23 上海华力微电子有限公司 一种sonos闪存器件及其编译方法
US10276728B2 (en) * 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells

Also Published As

Publication number Publication date
US20200321476A1 (en) 2020-10-08
US11349035B2 (en) 2022-05-31
DE102017116343A1 (de) 2019-01-10
TWI668844B (zh) 2019-08-11
US20220293799A1 (en) 2022-09-15
US10693018B2 (en) 2020-06-23
CN109216371A (zh) 2019-01-15
US20190140108A1 (en) 2019-05-09
US20190013414A1 (en) 2019-01-10
US10276728B2 (en) 2019-04-30
DE102017116343B4 (de) 2023-01-26
CN109216371B (zh) 2022-03-08
KR102055810B1 (ko) 2020-01-14
KR20190005692A (ko) 2019-01-16

Similar Documents

Publication Publication Date Title
TWI668844B (zh) 半導體裝置的製造方法及半導體裝置
US11682697B2 (en) Fin recess last process for FinFET fabrication
TWI662600B (zh) 半導體元件與其製造方法
TWI624932B (zh) 3d鰭式穿隧場效電晶體
TW201826265A (zh) 半導體裝置及其製造方法
US11031412B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
CN109427899A (zh) 半导体器件及其制造方法
TW202205449A (zh) 半導體裝置及其形成方法
TW201830666A (zh) 半導體裝置及其製造方法
KR20200066551A (ko) 반도체 디바이스 및 방법
TW202201793A (zh) 半導體元件結構
TW202234526A (zh) 半導體裝置及其形成方法
TW202213789A (zh) 半導體結構之製造方法
TW202240899A (zh) 半導體裝置結構
TW202201789A (zh) 電晶體、半導體裝置及形成方法
CN113380886A (zh) 半导体器件和形成半导体器件的方法
TWI795774B (zh) 填充結構及其製造方法
TW202343737A (zh) 半導體裝置及半導體裝置的製造方法
TW202320162A (zh) 製造半導體裝置的方法
TW202324753A (zh) 半導體裝置及其製造方法
TW202143392A (zh) 半導體裝置及其形成方法