TW201816895A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW201816895A
TW201816895A TW106110752A TW106110752A TW201816895A TW 201816895 A TW201816895 A TW 201816895A TW 106110752 A TW106110752 A TW 106110752A TW 106110752 A TW106110752 A TW 106110752A TW 201816895 A TW201816895 A TW 201816895A
Authority
TW
Taiwan
Prior art keywords
layer
work function
opening
region
mask
Prior art date
Application number
TW106110752A
Other languages
English (en)
Other versions
TWI760330B (zh
Inventor
陳宜群
尹宗凡
邱意為
夏英庭
許立德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201816895A publication Critical patent/TW201816895A/zh
Application granted granted Critical
Publication of TWI760330B publication Critical patent/TWI760330B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

閘極結構與其形成方法如下。在一實施例中,形成閘極結構的方法包括形成開口穿過絕緣層與形成第一功函數金屬層於開口中。此方法亦包括使第一功函數金屬層凹陷至開口中,以形成凹陷的第一功函數金屬層;以及形成第二功函數金屬層於開口中及凹陷的第一功函數金屬層上。第二功函數調整層襯墊並懸於凹陷的第一功函數金屬層上。

Description

半導體結構的形成方法
本發明實施例關於半導體結構的形成方法,更特別關於具有階狀側壁的功函數調整層與其形成方法。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的一般製作方法為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並微影圖案化上述多種材料層以形成電路構件與單元於其上。
電晶體為用於半導體裝置的單元。舉例來說,在單一積體電路上可具有大量電晶體(比如數以百計、以千計、或以百萬計的電晶體)。舉例來說,用於半導體裝置製程的一般電晶體,為金氧半場效電晶體。平面電晶體(如平面金氧半場效電晶體)包含閘極介電物於基板中的通道區上,以及閘極形成於閘極介電物上。電晶體的源極區與汲極區形成於通道區的兩側上。
多閘極的場效電晶體為半導體技術中的最新發展。一種多閘極的場效電晶體可稱作鰭狀場效電晶體,其電晶體結構包含自積體電路之半導體表面垂直隆起的鰭狀半導體材料。
本發明一實施例提供之半導體結構的形成方法,包括:形成開口穿過絕緣層;形成第一功函數金屬層於開口中;使第一功函數金屬層凹陷至開口中,以形成凹陷的第一功函數金屬層;以及形成第二功函數金屬層於開口中及凹陷的第一功函數金屬層上,且第二功函數調整層襯墊並懸於凹陷的第一功函數金屬層上。
A-A、B-B‧‧‧剖線
D1‧‧‧第一深度
D2‧‧‧第二深度
D3‧‧‧第三深度
H‧‧‧高度
LE‧‧‧縱向尺寸
TE‧‧‧橫向尺寸
W‧‧‧寬度
W1、W2‧‧‧尺寸
20‧‧‧鰭狀場效電晶體
22、40‧‧‧基板
24、44‧‧‧隔離區
24a‧‧‧主要表面
26、42‧‧‧鰭狀物
28‧‧‧閘極介電物
30‧‧‧閘極
32、34‧‧‧源極/汲極區
42a、44a、44b、60a‧‧‧上表面
46‧‧‧虛置閘極介電物
48‧‧‧虛置閘極
50‧‧‧遮罩
52‧‧‧閘極間隔物
54、56‧‧‧磊晶的源極/汲極區
58‧‧‧蝕刻停止層
59‧‧‧開口
60‧‧‧底層間介電層
62‧‧‧界面層
64‧‧‧閘極介電層
66‧‧‧第一子層
68‧‧‧第二子層
70‧‧‧第一功函數調整層
70-1、74-1、78-1‧‧‧第一部份
70-2、74-2、78-2‧‧‧第二部份
70a、74a、78a‧‧‧表面
72‧‧‧第一遮罩
73、77、81‧‧‧製程
74‧‧‧第二功函數調整層
75、79‧‧‧懸突
76‧‧‧第二遮罩
78‧‧‧第三功函數調整層
80‧‧‧第三遮罩
82‧‧‧層狀結構
84‧‧‧導電材料
88‧‧‧介電蓋
90‧‧‧較上層間介電層
92‧‧‧接點
100‧‧‧第一區
200‧‧‧第二區
300‧‧‧第三區
400‧‧‧第四區
第1圖係一些實施例中,一般鰭狀場效電晶體的三維圖。
第2、3A、3B、4A、4B、5至22、與24至26圖係一些實施例中鰭狀場效電晶體於形成方法之中間階段的剖視圖。
第27至29圖係一些實施例中,鰭狀場效電晶體於形成方法之中間階段的剖視圖。
第23與30圖係一些實施例中,功函數調整結構的放大圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號及/或符號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號及/或符號的單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、 「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
多種實施例提供鰭狀場效電晶體與平面電晶體及其形成方法,並說明鰭狀場效電晶體的形成方法之中間階段。一些實施例中的鰭狀場效電晶體其形成方法採用閘極後製製程。一些實施例可用於平面裝置如平面場效電晶體。一些實施例說明一些變化的結構。本技術領域中具有通常知識者應理解其他調整亦屬其他實施例的範疇。雖然下述實施例的方法之步驟以特定順序說明,但多種實施例的其他方法可依邏輯採用其他順序進行,且可包含比下述步驟數目更少或更多的步驟數目。
一些實施例可具有優點。藉由形成具有階狀增加之側壁的功函數調整層,在將導電材料填入開口時可避免或減少產生空洞。如此一來,階狀增加的功函數調整層可讓導電材料(用以形成閘極結構)沉積至開口中時,可均勻的填入開口。此外,避免或實質上減少空洞,在回蝕刻導電材料時可避免或減少損傷通道區(比如鰭狀物中的通道區)。
第1圖係一實施例中鰭狀場效電晶體20的三維圖。鰭狀場效電晶體20包含鰭狀物26於基板22上。鰭狀場效電晶體20亦包含隔離區24。鰭狀物26自基板22凸起,並自隔離區24之主要表面24a的平面向外延伸。在第1圖的例子中,鰭狀物 26亦延伸於相鄰的隔離區24之間。閘極介電物28襯墊部份的鰭狀物26(比如鰭狀物26的部份側壁)。閘極介電物28亦形成於鰭狀物26的上表面(比如鰭狀物26與基板22距離最遠的表面)上。閘極30位於閘極介電物28上,且可覆蓋隔離區24的主要表面24a。閘極介電物28或閘極30未覆蓋的部份鰭狀物26,可形成源極/汲極區32與34。如第1圖所示,源極/汲極區32與34相對於閘極介電物28與閘極30,分別位於鰭狀物26相對之兩側。第1圖亦顯示後續圖式對應的剖線。剖線A-A穿過鰭狀場效電晶體20之通道、閘極介電物28、與閘極30。在一些實施例中,剖線A-A沿著鰭狀物26的橫軸。剖線B-B垂直於剖線A-A,並沿著鰭狀物26的縱軸。舉例來說,剖線B-B的方向為流經源極/汲極區32與34之間的電流方向。後續圖式將對應這些剖線以清楚說明。
第2至26圖係一實施例中,鰭狀場效電晶體於其製作方法的中間階段之剖視圖。第2、3A、與4A圖沿著第1圖中的A-A剖線,差別在於包含多重鰭狀物。第3B、4B、與5至26圖沿著第1圖的的B-B剖線,差別在於包含多重鰭狀場效電晶體。
第2圖顯示基板40。基板40可與第1圖之基板22相同,其可為半導體基板如基體半導體基板、絕緣層上半導體基板、多層基板、組成漸變基板、或類似物。基板40可包含半導體材料如半導體元素(包含矽或鍺)、半導體化合物或合金(包含下列中至少一者:SiC、SiGe、GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、或GaInAsP)、或 上述之組合。基板40可摻雜或未摻雜。在特定例中,基板40為基體矽基板。
如第3A與3B圖所示的一實施例,形成鰭狀物42與隔離區44。第3A與3B圖所示的每一鰭狀物42可為第1圖所示的鰭狀物26或其製程的中間階段。同樣地,第3A與3B圖所示的隔離區44可為第1圖所示的隔離區24或其製程的中間階段。在第3A與3B圖中,鰭狀物42自基板40形成並凸起。在一些實施例中,鰭狀物42之形成方法可為蝕刻基板40以形成溝槽。蝕刻可為任何可接受的蝕刻製程,比如反應性離子蝕刻、中子束蝕刻、類似方法、或上述之組合。蝕刻可為非等向。如第3A與3B圖所示,每一鰭狀物42具有橫向尺寸TE(比如沿著鰭狀物42之橫軸量測的鰭狀物厚度)與縱向尺寸LE(比如沿著鰭狀物42之縱軸量測的鰭狀物長度)。
如第3A與3B圖所示,絕緣材料形成於相鄰的鰭狀物42之間,以形成隔離區44。絕緣材料可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中進行化學氣相沉積為主的材料沉積,以及沉積後硬化以將沉積材料轉變為另一材料如氧化物)、類似方法、或上述之組合。任何可接受的製程形成的其他絕緣材料亦可用於隔離區。在此實施例中,絕緣材料為可流動的化學氣相沉積形成的氧化矽。在形成絕緣材料後,可進行回火製程。
如第3A與3B圖所示,可進行平坦化製程如化學機械研磨,以移除鰭狀物42之上表面42a上的任何多餘絕緣材 料。在第3A與3B圖所示的例子中,平坦化製程可讓鰭狀物42的上表面42a與隔離區的上表面44a共平面(在製程變異中)。鰭狀物42的上表面42a可為遠離基板40的主要表面。同樣地,隔離區44的上表面44a可為遠離基板40的主要表面。
雖然未特別圖示,但可形成適當的井區於至少一鰭狀物42或基板40中。舉例來說,p型井區可形成於基板40的第一區100與第二區200中(見第3B圖與後續圖式),以用於形成n型裝置如n型鰭狀場效電晶體;而n型井區可形成於基板40的第三區300與第四區400中(見第3B圖與後續圖式),以用於形成p型裝置如p型鰭狀場效電晶體。
舉例來說,為形成p型井於第一區100與第二區200中,可先形成光阻層於第一區100、第二區200、第三區300、與第四區400的鰭狀物42與隔離區44上。接著可圖案化光阻,以露出基板40的第一區100與第二區200,並保留光阻覆蓋第三區300與第四區400。光阻的形成方法可為旋轉塗佈技術,且其圖案化方法可採用可接受的光微影技術。當圖案化光阻後,可將p型雜質佈植至第一區100與第二區200中,而光阻可作為遮罩以實質上避免p型雜質佈植至第三區300與第四區400中。p型雜質可為硼、BF2、或類似物,其佈植至第一區100與第二區200中的濃度小於或等於1018cm-3(比如介於約1017cm-3至約1018cm-3之間)。在佈植後可移除光阻,且其移除方法可為可接受的灰化製程。
此外,為形成n型井於第三區300與第四區400中,可先形成光阻層於第一區100、第二區200、第三區300、與第 四區400的鰭狀物42與隔離區44上。接著可圖案化光阻,以露出基板40的第三區300與第四區400,並保留光阻覆蓋第一區100與第二區200。光阻的形成方法可為旋轉塗佈技術,且其圖案化方法可採用可接受的光微影技術。當圖案化光阻後,可將n型雜質佈植至第三區300與第四區400中,而光阻可作為遮罩以實質上避免n型雜質佈植至第一區100與第二區200中。n型雜質可為磷、砷、或類似物,其佈植至第三區300與第四區400中的濃度小於或等於1018cm-3(比如介於約1017cm-3至約1018cm-3之間)。在佈植後可移除光阻,且其移除方法可為可接受的灰化製程。
在佈植p型雜質與n型雜質後,可進行回火以活化佈植的p型雜質與n型雜質。回火後的佈植區可形成p型井於第一區100與第二區200中,以及n型井於第三區300與第四區400中。
如第4A與4B圖所示,可讓隔離區44凹陷以形成淺溝槽隔離區。在一例中,第3A與3B圖中的隔離區44其上表面44a,凹陷成第4A與4B圖所示的上表面44b。隔離區44凹陷可讓鰭狀物42自隔離區44的上表面44b凸起。隔離區44的上表面44b,可為隔離區44遠離基板40的主要表面。鰭狀物42亦延伸於相鄰的隔離區44之間。隔離區44的凹陷方法可採用可接受的蝕刻製程,比如對隔離區44之材料具有蝕刻選擇性的蝕刻製程。換言之,蝕刻可消耗或移除隔離區44的材料,且實質上不影響鰭狀物42的材料。舉例來說,化學氧化物移除方法可用於使隔離區44凹陷。
第2、3A、3B、4A、與4B圖所示的製程,僅為形成鰭狀物42與隔離區44的例子之一。在其他實施例中,介電層可形成於第2圖所示之基板40的主要表面上;可蝕刻介電層以形成穿過介電層的溝槽;可磊晶成長磊晶鰭狀物於溝槽中;且可使介電層凹陷,讓同質磊晶及/或異質磊晶的結構自介電層凸起以形成磊晶的鰭狀物。用於n型鰭狀場效電晶體之磊晶成長材料或磊晶的鰭狀結構,若不同於用於p型鰭狀場效電晶體之磊晶成長材料或磊晶的鰭狀結構,可讓形成的電晶體具有額外優點。
如第5圖所示,虛置閘極介電物46、虛置閘極48、與襯墊虛置閘極48的閘極間隔物52可形成於鰭狀物42上(比如形成於每一鰭狀物42之縱向尺寸LE的部份上)。在形成虛置閘極介電物46、虛置閘極48、與閘極間隔物52時,先形成虛置介電層於鰭狀物42上。舉例來說,虛置介電層可為氧化矽、氮化矽、上述之組合、或類似物,且其形成方法可依據可接受的技術如化學氣相沉積、熱氧化、或類似技術進行沉積或熱成長。接著可形成虛置閘極層於虛置介電層上,並形成遮罩層於虛置閘極層上。虛置閘極層可沉積於虛置介電層上,其沉積方法可為化學氣相沉積或類似方法。接著可平坦化虛置閘極層,其平坦化方法可為化學機械研磨。遮罩層可沉積於虛置閘極層上,其沉積方法可為化學氣相沉積或類似方法。舉例來說,虛置閘極層可包含多晶矽,但亦可採用具有高蝕刻選擇性的其他材料。舉例來說,遮罩層可包含氮化矽、氮氧化矽、氮碳化矽、或類似物。
如第5圖所示,可採用可接受的光微影與蝕刻技術圖案化遮罩層,以形成遮罩50。接著可採用可接受的蝕刻技術,將遮罩50的圖案轉移至虛置閘極層與虛置介電層,以分別自虛置閘極層與虛置介電層形成虛置閘極48與虛置閘極介電物46。上述蝕刻可包含可接受的非等向蝕刻,比如反應性離子蝕刻、中子束蝕刻、或類似方法。每一虛置閘極48及虛置閘極介電物46的寬度W,可介於約10nm至約300nm之間(如約16nm)。用於個別鰭狀物42之每一虛置閘極48與虛置閘極介電物46,其組合的高度H可介於約40nm至約100nm之間(如約70nm)。高度H對寬度W的高寬比可介於約0.1至約10之間,比如約6。虛置閘極48覆蓋鰭狀物42的通道區。虛置閘極48亦可具有縱向部份(實質上垂直於每一鰭狀物42的綜向尺寸LE的部份),其覆蓋每一鰭狀物42的橫向尺寸TE的部份。
雖然未特別圖示,但可進行佈植以形成輕摻雜源極/汲極區。與前述第3A與3B圖中的佈植類似,比如可形成遮罩如光阻於第三區300與第四區400上以露出第一區100與第二區200,並可將n型雜質佈植至第一區100與第二區200中露出的鰭狀物42中。接著可移除遮罩。之後可形成遮罩如光阻於第一區100與第二區200上以露出第三區300與第四區400,並可將p型雜質佈植至第三區300與第四區400中露出的鰭狀物42中。接著可移除遮罩。n型雜質可為任何前述的n型雜質或其組合,而p型雜質可為任何前述的p型雜質或其組合。輕摻雜源極/汲極區的雜質濃度可介於約1015cm-3至1016cm-3之間。回火可用以活化佈植的雜質。
如第5圖所示,沿著虛置閘極48與虛置閘極介電物48的側壁形成閘極間隔物52。閘極間隔物52的形成方法可為沉積(如順應性的沉積,例如化學氣相沉積或類似方法)材料後,接著非等向蝕刻材料。閘極間隔物52的材料可為氮化矽、氮碳化矽、上述之組合、或類似物。
如第6圖所示,磊晶的源極/汲極區54與56可形成於鰭狀物42的源極/汲極區中。在第一區100與第二區200中,磊晶的源極/汲極區54形成於鰭狀物42的源極/汲極區中,因此每一鰭狀物42的虛置閘極48位於一對磊晶的源極/汲極區54之間。在第三區300與第四區400中,磊晶的源極/汲極區56形成於鰭狀物42的源極/汲極區中,因此每一鰭狀物42的虛置閘極48位於一對磊晶的源極/汲極區56之間。
第一區100與第二區200中,磊晶的源極/汲極區54可用於n型裝置,且其形成方法可為以硬遮罩遮罩第三區300與第四區400。接著蝕刻第一區100與第二區200中鰭狀物42的源極/汲極區,以形成凹陷。上述蝕刻可為選擇性蝕刻鰭狀物42的任何合適蝕刻,其可為非等向。接著可磊晶成長第一區100與第二區200中磊晶的源極/汲極區54於凹陷中。磊晶成長可採用有機金屬化學氣相沉積、原子束磊晶、液相磊晶、氣相磊晶、類似方法、或上述之組合。磊晶的源極/汲極區54可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,磊晶的源極/汲極區54可包含矽、SiC、SiCP、SíP、或類似物。磊晶的源極/汲極區54可具有自鰭狀物之外側表面隆起的表面,且可具有晶面。接著可移除遮罩,其移除方法可採 用選擇性蝕刻遮罩材料的蝕刻製程。
第三區300與第四區400中,磊晶的源極/汲極區56可用於p型裝置,且其形成方法可為以硬遮罩遮罩第一區100與第二區200。接著蝕刻第三區300與第四區400中鰭狀物42的源極/汲極區,以形成凹陷。蝕刻可為選擇性蝕刻鰭狀物42的任何合適蝕刻,且可為非等向。接著可磊晶成長第三區300與第四區400中磊晶的源極/汲極區56於凹陷中。磊晶成長可採用有機金屬化學氣相沉積、原子束磊晶、液相磊晶、氣相磊晶、類似方法、或上述之組合。磊晶的源極/汲極區56可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,磊晶的源極/汲極區56可包含SiGe、SiGeB、Ge、GeSn、或類似物。磊晶的源極/汲極區56可具有自鰭狀物之外側表面隆起的表面,且可具有晶面。接著可移除遮罩,其移除方法可採用選擇性蝕刻遮罩材料的蝕刻製程。
磊晶的源極/汲極區54與56可佈植雜質,其與前述形成輕摻雜源極/汲極區的製程(對應第5圖)類似,之後可進行回火。磊晶的源極/汲極區54與56的雜質濃度可介於約1019cm-3至約1021cm-3之間。用於第一區100與第二區200中的源極/汲極區(如用於n型裝置)的n型雜質可為任何前述的n型雜質,而用於第三區300與第四區400中的源極/汲極區(如用於p型裝置)的p型雜質可為任何前述的p型雜質。在其他實施例中,可在成長磊晶的源極/汲極區54與56時,進行臨場摻雜。
如第6圖所示,蝕刻停止層58形成於磊晶的源極/汲極區54與56、閘極間隔物52、遮罩50、與隔離區44上。在一 些實施例中,蝕刻停止層58可包含氮化矽、氮碳化矽、或類似物,其形成方法可採用原子層沉積、化學氣相沉積、類似方法、或上述之組合。底層間介電層60沉積於蝕刻停止層58上。底層間介電層60可為最靠近鰭狀物42的介電層,且可包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、可流動的化學氣相沉積、類似方法、或上述之組合。
如第7圖所示,進行平坦化製程如化學機械研磨,使底層間介電層60之上表面60a與虛置閘極48的上表面齊平。底層間介電層60的上表面60a可為遠離基板40的主要表面。化學機械研磨亦可移除虛置閘極48上的遮罩50與蝕刻停止層58。綜上所述,可自底層間介電層60露出虛置閘極48的上表面。藉由一或多道蝕刻步驟,可移除虛置閘極48與虛置閘極介電物46,以形成閘極間隔物52(如閘極間隔物52之內側壁)與鰭狀物42的上表面42a所定義的凹陷(穿過底層間介電層60)。由於凹陷係由移除的虛置閘極48與虛置閘極介電物46所定義,因此閘極間隔物52與鰭狀物42的上表面42a所定義的每一凹陷,其深寬比對應第5圖中的高度H與寬度W。每一通道區位於個別鰭狀物42其相鄰之一對磊晶的源極/汲極區54與56之間。一或多道選擇性蝕刻虛置閘極48與虛置閘極介電物46的蝕刻步驟,可為乾蝕刻或濕蝕刻。在蝕刻步驟中,虛置閘極介電物46可作為蝕刻虛置閘極48時的蝕刻停止層。在移除虛置閘極48後,接著可蝕刻虛置閘極介電物46。雖然未特別圖示,但用於底層間介 電層60與虛置閘極介電物46的材料類似,因此移除虛置介電物46時亦會回蝕刻底層間介電層60或使其凹陷,使蝕刻停止層58與閘極間隔物52中至少一者自底層間介電層60的上表面60a凸起。
界面層62形成於每一凹陷中,以及鰭狀物42的上表面42a上。舉例來說,界面層62可為熱氧化或類似方法形成的氧化物或類似物。界面層62的厚度可介於約10Å至約100Å之間,比如約40Å。接著形成閘極介電層64於底層間介電層60的上表面上,其亦沿著閘極間隔物52的側壁(如內側壁)形成,且位於界面層62上。在一些實施例中,閘極介電層64包含高介電常數介電材料。在這些實施例中,閘極介電層64的介電常數可大於約7.0,且可包含下述金屬之金屬氧化物或矽酸鹽:Hf、Al、Zr、La、Ma、Ba、Ti、Pb、與上述之組合。閘極介電層64的形成方法可採用原子層沉積、化學氣相沉積、原子束沉積、類似方法、或上述之組合。閘極介電層64的厚度可介於約10Å至約100Å之間,比如約30Å。
接著形成蓋層於閘極介電層64上。在此實施例中,蓋層包含第一子層66與第二子層68。然而在其他實施例中,蓋層可為單層或包含額外子層。蓋層可作為阻障層,以避免後續沉積的含金屬材料擴散至閘極介電層64或底層間介電層60中。此外,若第一子層66之組成與功函數調整層之材料相同時,第二子層68可在形成功函數調整層(又稱作功函數金屬層)於第一區100、第二區200、第三區300、與第四區400中時作為蝕刻停止層,此部份將詳述於下。
第一子層66可包含氮化鈦或類似物,其沉積於閘極介電層64上的方法可為原子層沉積、化學氣相沉積、或類似方法。第二子層68可包含氮化鉭或類似物,其沉積於第一子層66上的方法可為原子層沉積、化學氣相沉積、或類似方法。蓋層的厚度可介於約5Å至約50Å之間,比如約10Å。在此實施例中,第一子層66的厚度可介於約5Å至約50Å之間,比如約20Å。第二子層68的厚度可介於約5Å至約50Å之間,比如約20Å。在第7圖所示的例子中,形成界面層62、閘極介電層64、與蓋層(如第一子層66與第二子層68)後的結構中,蓋層(如第二子層68)定義之開口59穿過底層間介電層60,並形成於每一鰭狀物42上。
如第8圖所示,第一功函數調整層70形成於蓋層上,比如形成於第二子層68上。第一功函數調整層70可襯墊開口59(如開口59的側壁與下表面),亦可形成於開口59以外的部份第二子層68上。第一功函數調整層70可為任何可接受的材料,以調整裝置的功函數至本發明實施例之裝置所需的數值。第一功函數調整層70的沉積方法可為任何可接受的沉積製程。在一些實施例中,第一功函數調整層70可包含原子層沉積、化學氣相沉積、或類似方法沉積之鈦、鈦鋁、碳化鉭、氮化鈦鋁、氮化碳鉭、氮化鉭矽、或類似物。第一功函數調整層70的厚度可介於約10Å至約100Å之間,比如約30Å。
如第9圖所示,第一遮罩72形成於第一功函數調整層70上。在第9圖所示的一些實施例中,第一遮罩72填入第一功函數調整層70襯墊的開口59中。第一遮罩72亦形成於開口59 以外的部份第一功函數調整層70上。舉例來說,第一遮罩72形成於第一功函數調整層70其遠離基板40的表面70a上。第一功函數調整層70的表面70a,可為第一功函數調整層遠離基板40的主要表面。在一些實施例中,第一遮罩72可為底抗反射塗層。在這些實施例中,底抗反射塗層可包含原子層沉積、化學氣相沉積、或類似方法沉積的氧化矽、氮氧化矽、或類似物。在其他實施例中,第一遮罩72可為旋轉塗佈技術形成的光阻。
如第10圖所示,可使第一遮罩72凹陷至第一功函數調整層70襯墊的開口59中。上述方法可由選擇性移除第一遮罩72的製程73完成。換言之,製程73僅消耗或移除第一遮罩72的材料,而不消耗或實質上不影響第一功函數調整層70的材料。使第一遮罩72凹陷後,第一功函數調整層70襯墊的開口59其部份填有第一遮罩72。襯墊開口59的第一功函數調整層70,其具有與鰭狀物42相鄰的第一部份70-1,以及遠離鰭狀物42的第二部份70-2,因此第一部份70-1位於鰭狀物42與第二部份70-2之間。如第10圖所示,第一遮罩72覆蓋第一部份70-1而未覆蓋第二部份70-2,即露出第二部份70-2。在第一遮罩72為底抗反射塗層的實施例中,製程73可為等向蝕刻製程與濕式蝕刻製程中的至少一者。在這些實施例中,可回蝕刻第一遮罩72,且可經由製程旋鈕調整回蝕刻(如製程73)消耗第一遮罩72的速率,以控制第一遮罩72的蝕刻量。在第一遮罩72為光阻的實施例中,製程73可為灰化製程。
如第11圖所示,可進行選擇性蝕刻第一功函數調整層70的製程,以移除露出的部份第一功函數調整層70。換言 之,此蝕刻製程移除或消耗第一功函數調整層70的材料,且實質上不消耗或影響蓋層(如第二子層68)的材料。如此一來,蓋層(如第二子層68)在此蝕刻中可作為蝕刻停止層。如第11圖所示,移除襯墊開口59之第一功函數調整層70其第二部份70-2,並移除開口59以外的部份第一功函數調整層70。如此一來,保留第一功函數調整層70的第一部份70-1(因被第一遮罩72覆蓋保護而免於蝕刻)。如第12圖所示,採用與前述第10圖中的製程73類似的製程,移除第一遮罩72。如此一來,第一功函數調整層70將襯墊部份的開口59。舉例來說,第一功函數調整層70襯墊開口59的較下部份(如開口59其靠近鰭狀物42的部份),而開口59的較上部份(如開口59其遠離鰭狀物42的部份)則不具有第一功函數調整層70。開口59的較上部份,將具有蓋層(如第二子層68)定義的側壁。
如第13圖所示,接著形成第二功函數調整層74於露出的部份蓋層(如第二子層68)上,以及保留於開口59中的第一功函數調整層70上。第二功函數調整層74可為任何可接受的材料,以調整裝置的功函數至本發明實施例之裝置所需的數值。第二功函數調整層74的沉積方法可為任何可接受的沉積製程。在一些實施例中,第二功函數調整層74可包含原子層沉積、化學氣相沉積、或類似方法沉積之氮化鈦或類似物。第二功函數調整層74的厚度可介於約10Å至約50Å之間,比如約20Å。
在第二功函數調整層74形成於第一功函數調整層70及露出的部份蓋層上之後,第二功函數調整層74將具有襯墊 及懸於第一功函數調整層70的部份上。懸突75位於開口59中。第二功函數調整層74亦可襯墊開口59的側壁(由蓋層如第二子層68定義)。如此一來,位於開口59中的部份第二功函數調整層74可具有階狀,如第13圖所示。
如第14圖所示,第二遮罩76形成於第二功函數調整層74上。在第14圖所示的一些實施例中,第二遮罩76填入開口59中,亦形成於開口59以外的部份第二功函數調整層74上。舉例來說,第二遮罩76形成於第二功函數調整層74其遠離基板40的表面74a上。在一些實施例中,第二遮罩76的材料與形成方法可與前述之第一遮罩72的材料與形成方法類似。
如第15圖所示,可使第二遮罩76凹陷至第二功函數調整層74襯墊的開口59中。此凹陷化步驟可由製程77完成,其可選擇性地移除第二遮罩76。換言之,製程77消耗或移除第二遮罩76的材料,但不消耗或實質上影響第二功函數調整層74的材料。使第二遮罩76凹陷的結果是部份開口59填有第二遮罩76。第二遮罩76亦覆蓋第二功函數調整層74的懸突75。襯墊開口59的第二功函數調整層74具有與鰭狀物42相鄰的第一部份74-1,與遠離鰭狀物的第二部份74-2,因此第一部份74-1位於鰭狀物42與第二部份74-2之間。第二功函數調整層74的第一部份74-1包含襯墊與懸於第一功函數調整層70之第一部份70-1上的部份,以及襯墊開口59之較下側壁(由蓋層如第二子層68所定義)的部份。如第15圖所示,第二遮罩76仍覆蓋第一部份74-1而未覆蓋第二部份74-2,且露出第二部份74-2。在第二遮罩76為底抗反射塗層的實施例中,製程77可為等向蝕刻製程與濕式 蝕刻製程中的至少一者。在這些實施例中,可回蝕刻第二遮罩76,且可經由製程旋鈕調整回蝕刻(如製程77)消耗第二遮罩76的速率,以控制第二遮罩76的蝕刻量。在第二遮罩76為光阻的實施例中,製程77可為灰化製程。
如第16圖所示,可進行選擇性蝕刻第二功函數調整層74的製程,以移除露出的部份第二功函數調整層74。換言之,此蝕刻製程移除或消耗第二功函數調整層74的材料,且實質上不消耗或影響蓋層(如第二子層68)的材料。如此一來,蓋層(如第二子層68)在此蝕刻中可作為蝕刻停止層。如第16圖所示,移除襯墊開口59之第二功函數調整層74其第二部份74-2,並移除開口59以外的部份第二功函數調整層74。如此一來,保留第二功函數調整層74的第一部份74-1(因被第二遮罩76覆蓋保護而免於蝕刻)。如第17圖所示,採用與前述第10圖中的製程73類似的製程,移除第二遮罩76。
如第18圖所示,接著形成第三功函數調整層78於露出的部份蓋層(如第二子層68)上,以及保留於開口59中的第二功函數調整層74上。第三功函數調整層78可為任何可接受的材料,以調整裝置的功函數至本發明實施例之裝置所需的數值。第三功函數調整層78的沉積方法可為任何可接受的沉積製程。在一些實施例中,第三功函數調整層78可包含原子層沉積、化學氣相沉積、或類似方法沉積之氮化鈦或類似物。第三功函數調整層78的厚度可介於約10Å至約50Å之間,比如約20Å。
在第三功函數調整層78形成於第二功函數調整層 74及露出的部份蓋層上之後,第三功函數調整層78將具有襯墊及懸於第二功函數調整層74上的部份。懸突79位於開口59中。第三功函數調整層78亦可襯墊開口59的側壁(由蓋層如第二子層68定義)。如此一來,位於開口78中的部份第三功函數調整層78可具有階狀,如第18圖所示。
如第19圖所示,第三遮罩80形成於第三功函數調整層78上。在第19圖所示的一些實施例中,第三遮罩80填入開口59中,亦形成於開口59以外的部份第三功函數調整層78上。舉例來說,第三遮罩80形成於第三功函數調整層78其遠離基板40的表面78a上。在一些實施例中,第三遮罩80的材料與形成方法可與前述之第一遮罩72的材料與形成方法類似。
如第20圖所示,可使第三遮罩80凹陷至第三功函數調整層78襯墊的開口59中。此凹陷化步驟可由製程81完成,其可選擇性地移除第三遮罩80。換言之,製程81消耗或移除第三遮罩80的材料,但不消耗或實質上影響第三功函數調整層78的材料。使第三遮罩80凹陷的結果是部份開口59填有第三遮罩80。第三遮罩80亦覆蓋第三功函數調整層78的懸突79。襯墊開口59的第三功函數調整層78具有與鰭狀物42相鄰的第一部份78-1,與遠離鰭狀物的第二部份78-2,因此第一部份78-1位於鰭狀物42與第二部份78-2之間。第三功函數調整層78的第一部份78-1包含襯墊與懸於第二功函數調整層74之第一部份74-1上的部份,以及襯墊開口59之較下側壁(由蓋層如第二子層68所定義)的部份。如第20圖所示,第三遮罩80仍覆蓋第一部份78-1而未覆蓋第二部份78-2,且露出第二部份78-2。在第三遮罩80 為底抗反射塗層的實施例中,製程81可為等向蝕刻製程與濕式蝕刻製程中的至少一者。在這些實施例中,可回蝕刻第三遮罩80,且可經由製程旋鈕調整回蝕刻(如製程81)消耗第三遮罩80的速率,以控制第三遮罩80的蝕刻量。在第三遮罩80為光阻的實施例中,製程81可為灰化製程。
如第21圖所示,可進行選擇性蝕刻第三功函數調整層78的製程,以移除露出的部份第三功函數調整層78。換言之,此蝕刻製程移除或消耗第三功函數調整層78的材料,且實質上不消耗或影響蓋層(如第二子層68)的材料。如此一來,蓋層(如第二子層68)在此蝕刻中可作為蝕刻停止層。如第21圖所示,移除襯墊開口59之第三功函數調整層78其第二部份78-2,並移除開口59以外的部份第三功函數調整層78。如此一來,保留第三功函數調整層78的第一部份78-1(因被第三遮罩80覆蓋保護而免於蝕刻)。
如第22圖所示,蝕刻露出的部份閘極介電層64與蓋層(包含第一子層66與第二子層68),且上述蝕刻可採用第三遮罩80作為遮罩。如此一來,可形成層狀結構82於第一區100、第二區200、第三區300、與第四區400中。舉例來說,上述蝕刻可為乾蝕刻與濕蝕刻,且可選擇性地蝕刻閘極介電層64與蓋層的材料,且實質上不消耗或影響功函數調整層的第一部份70-1、74-1、與78-1。可調整製程參數以達第22圖中的結構。在移除露出的部份閘極介電層64與蓋層之後,採用與前述第10圖之製程73類似的製程移除第三遮罩80。
第23圖係形成於第四區400中的層狀結構82其放 大圖,以清楚顯示形成其中的層狀物。如第23圖所示,層狀結構82對應底層間介電層60之上表面60a,向下凹陷第一深度D1(其可小於或等於約50nm)。在第23圖所示的一些實施例中,第三功函數調整層78的第一部份78-1離基板40最遠的表面,其相對於底層間介電層60之上表面60a凹陷第一深度D1。如第23圖所示,第二功函數調整層74的第一部份74-1離基板40最遠的表面,其相對於底層間介電層60之上表面60a凹陷第二深度D2。在一些實施例中,第一深度D1可比第二深度D2少約5%至約50%。如第23圖所示,第一功函數調整層70的第一部份70-1離基板40最遠的表面,其相對於底層間介電層60之上表面60a凹陷第三深度D3。在一些實施例中,第二深度D2可比第三深度D3少約5%至約50%。
如第5至22圖所示之製作流程,在回蝕刻第一、第二、與第三功函數調整層70、74、與78之後,可進行回蝕刻使第一、第二、與第三功函數調整層70、74、與78凹陷至開口59中。藉由控制回蝕刻製程,可維持懸突75與79於開口59中,以形成層狀結構82。層狀結構82包含功函數調整層的第一部份70-1、74-1、與78-1,且其具有階狀(在製程變數中)。換言之,層狀結構82之側壁呈階狀增加。第23圖所示之層狀結構82的側壁形狀,可與用於閘極結構的功函數調整層之其他形成方法所得的結構相較。後者的層狀結構之側壁與閘極間隔物52的內側形狀類似,並不具有階狀增加的特徵(即實質上平行於閘極間隔物52的側壁)。具有階狀增加之側壁的層狀結構82的功效,在於將導電材料填入開口59時,可避免或實質上減少空洞形 成。這是因為尺寸W1(層狀結構82之頂部)比尺寸W2(層狀結構82的底部)大了約20%至50%。如此一來,階狀增加的側壁可在導電材料(如形成閘極結構)沉積至開口59中時,讓導電材料更均勻的填入開口59中。此外,由於可避免或實質上減少空洞,因此在回蝕刻導電材料時可避免或實質上減少鰭狀物42的損傷。在一些實施例中,尺寸W2可介於約5nm至約20nm之間,但亦可為其他可能尺寸。
如第24圖所示,導電材料84沉積於層狀結構82上的開口中,以及底層間介電層60上。導電材料84可包含金屬如鎢、鋁、鈷、釕、上述之組合、或類似物。在一些其他例中,導電材料84可包含多晶矽。導電材料84的沉積方法可為化學氣相沉積、物理氣相沉積、類似方法、或上述之組合。舉例來說,用以沉積導電材料84的化學氣相沉積,可為低壓化學氣相沉積或電漿增強化學氣相沉積。導電材料84至少填入層狀結構82未填滿的部份開口59。導電材料84與層狀結構82可一起形成閘極結構,其可用於鰭狀物42。
接著可進行平坦化製程如化學機械研磨,以移除底層間介電層60之上表面上的多餘部份導電材料84。藉由控制回蝕刻以選擇性地移除導電材料84(及可能選擇性地移除層間結構82),可使導電材料84自層間介電層60的上表面向下凹陷,以形成第25圖所示的閘極結構。
在第26圖中,介電蓋88形成於導電材料84與層狀結構82上。為形成介電蓋88,可沉積蓋介電層於導電材料84與層間結構82上的開口之其餘部份中,以及底層間介電層60的上 表面上。蓋介電層可包含氮化矽、氮化碳矽、或類似物,且其形成方法可採用化學氣相沉積、電漿增強化學氣相沉積、或類似方法。接著可平坦化(如化學機械研磨)蓋介電層以形成介電蓋,且介電蓋之上表面與底層間介電層60的上表面共平面。
較上層間介電層90可沉積於底層間介電層60及介電蓋88上,而接點92可形成以穿過較上層間介電層90、底層間介電層60、與蝕刻停止層58以達磊晶的源極/汲極區54與56。較上層間介電層90之組成可為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿增強化學氣相沉積。用於接點92的開口可形成穿過較上層間介電層90、底層間介電層60、與蝕刻停止層58。開口的形成方法可採用可接受的光微影與蝕刻技術。襯墊如擴散阻障層、黏著層、或類似物,以及導電材料可形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自較上層間介電層90的表面移除多餘材料。保留的襯墊與導電材料,即形成接點92於開口中。可進行回火以分別形成矽化物於磊晶的源極/汲極區54與56以及接點92之間的界面處。
雖然未特別圖示,但本技術領域中具有通常知識者應理解,可在第26圖中的結構上進行後續製程。舉例來說,可形成多種金屬間介電層與對應之金屬化層於較上層間介電層90上。
前述圖式中的製作流程,僅為形成鰭狀場效電晶體之中間階段之一例。形成鰭狀場效電晶體之中間階段的另一例如第27至30圖所示。舉例來說,第27圖與第18圖相同,且第27圖中的結構其形成方法可採用前述第2、3B、4B、與5至18圖中的一些或全部步驟。如第27圖所示,第三功函數調整層78可形成於露出的部份蓋層上(如形成於第二子層68上),且形成於保留於開口59中的第二功函數調整層74其第一部份74-1上。
如第28圖所示,導電材料84沉積於開口59中(比如填入開口59)。如第28圖所示,導電材料84亦可位於底層間介電層60上,因此第三功函數調整層78、蓋層(如第一子層66與第二子層68)、以及閘極介電層64位於導電材料84與底層間介電層60之間。換言之,導電材料84可具有一部份位於開口中,以及另一部份位於開口59以外且位於第三功函數調整層78上。
如第29圖所示,接著可進行平坦化製程如化學機械研磨,以移除開口59以外及底層間介電層60上部份的導電材料84、第三功函數調整層78、蓋層(第一子層66與第二子層68)、以及閘極介電層64。如此一來,層狀結構82與導電材料84一起形成閘極結構,且其表面與底層間介電層60的上表面共平面。
第30圖係第29圖中的閘極結構其放大圖。在第30圖中的例子,層狀結構82相對於底層間介電層60之上表面60a凹陷的第一深度D1可為約0nm(因為層狀結構82的上表面與底層間介電層60之上表面實質上共平面)。在第30圖所示的例子中,第二深度D2可介於約100nm至約1000nm之間,而第三深度D3可介於約200nm至約2000nm之間。自第29圖開始的製作流 程,可與前述第26圖中的製作流程類似。特別的是,介電蓋88可形成於閘極結構(包含導電材料84與層狀結構82)上,而較上層間介電層90可形成於底層間介電層60上。之後可形成接點92穿過較上層間介電層90、底層間介電層60、與蝕刻停止層58,以達磊晶的源極/汲極區54與56。
一些實施例可具有優點。藉由形成具有階狀增加之側壁的功函數調整層,在將導電材料填入開口時可避免或減少產生空洞。如此一來,階狀增加的功函數調整層可讓導電材料(用以形成閘極結構)沉積至開口中時,可均勻的填入開口。此外,避免或實質上減少空洞,在回蝕刻導電材料時可避免或減少損傷通道區(比如鰭狀物中的通道區)。
在一實施例中,方法可包括:形成開口穿過絕緣層,以及形成第一功函數金屬層於開口中。此方法亦包括使第一功函數金屬層凹陷至開口中,以形成凹陷的第一功函數金屬層。第二功函數金屬層形成於開口中及凹陷的第一功函數金屬層上。第二功函數調整層襯墊並懸於凹陷的第一功函數金屬層上。
在一些實施例中,上述方法之開口定義電晶體之閘極結構的位置。
在一些實施例中,上述方法更包括:使第二功函數金屬層凹陷至開口中,以形成凹陷的第二功函數金屬層,且包陷的第二功函數金屬層襯墊並懸於凹陷的第一功函數金屬層上。
在一些實施例中,上述方法更包括:將一導電材 料填入開口中,且該導電材料覆蓋凹陷的第一功函數金屬層與凹陷的第二功函數金屬層。
在一些實施例中,上述方法形成開口穿過絕緣層之步驟包括:形成虛置閘極結構於基板上;沿著虛置閘極結構的相反兩側形成閘極間隔物;移除虛置閘極結構以形成凹陷;形成閘極介電物於凹陷中;以及形成阻障層於閘極介電物上,且阻障層定義開口的側壁。
在一些實施例中,上述方法使第一功函數金屬層凹陷至開口中的步驟包括:以阻障層作為蝕刻停止層,蝕刻第一功函數金屬層。
在一些實施例中,上述方法使第一功函數金屬層凹陷至開口中,以形成凹陷的第一功函數金屬層之步驟包括:將遮罩填入開口,且遮罩位於絕緣層上;使遮罩凹陷至開口中,以露出與開口嘴部相鄰之部份的第一功函數金屬層,且該遮罩覆蓋遠離開口嘴部之部份的第一功函數金屬層;以及移除露出的部份第一功函數金屬層。
在一些實施例中,上述方法移除露出的部份第一功函數金屬層之步驟,包括選擇性移除第一功函數金屬層之材料的蝕刻製程。
在一實施例中,方法可包括形成開口於層間介電物中,其可位於基板上。上述方法亦包括形成第一功函數調整層於開口中,以及蝕刻第一功函數調整層,以形成凹陷的第一功函數調整層於開口中。上述方法亦包括沿著開口中凹陷的第一功函數調整層與開口之露出表面,形成第二功函數調整層。 上述方法亦包括蝕刻第二功函數調整層,以形成凹陷的第二功函數調整層,且凹陷的第二功函數調整層襯墊並懸於凹陷的第一功函數調整層上,且凹陷的第二功函數調整層更襯墊與基板相鄰之部份開口。上述方法亦包括形成電極於凹陷的第二功函數調整層上及開口中。
在一些實施例中,上述方法之電極包含金屬閘極。
在一些實施例中,上述方法更包括:沿著開口中凹陷的第二功函數調整層以及開口之露出表面,形成第三功函數調整層;以及蝕刻第三功函數調整層,以形成凹陷的第三功函數調整層,其中凹陷的第三功函數調整層襯墊且懸於凹陷的第二功函數調整層上,且凹陷的第三功函數調整層亦襯墊與基板相鄰之部份開口。
在一些實施例中,上述方法形成開口於層間介電物中的步驟包括:形成虛置閘極結構於基板上;形成第一源極/汲極區與第二源極/汲極區於基板中及虛置閘極結構的相反兩側上;形成層間介電物於基板上並圍繞虛置閘極結構;移除虛置閘極結構,以形成穿過層間介電物的凹陷;以及形成層狀結構於凹陷中,且層狀結構包含沿著凹陷之側壁與底部的閘極介電物,以及沿著閘極介電物的蓋層,且蓋層定義開口。
在一些實施例中,上述形成第一功函數調整層的步驟包括沉積製程。
在一些實施例中,上述方法蝕刻第二功函數調整層以形成凹陷的功函數調整層之步驟包括:沉積蝕刻遮罩於開口中及層間介電物其遠離基板的主要表面上;使蝕遮罩凹陷至 開口中以形成凹陷的蝕刻遮罩,其中凹陷的蝕刻遮罩露出開口中的部份第二功函數調整層,並覆蓋第二功函數調整層的懸突,以及以蝕刻遮罩作為遮罩,蝕刻露出的第二功函數調整層。
在一實施例中,結構可包括第一源極/汲極區與第二源極/汲極區位於基板中。結構亦包括閘極結構位於基板上且位於第一源極/汲極區與第二源極/汲極區之間。閘極結構包括:閘極介電物;閘極;與功函數調整結構位於閘極介電物與閘極之間。功函數調整結構之側壁分為第一尺寸部份與第二尺寸部份,遠離基板的第一尺寸部份與基板之間隔有第二尺寸部份,且靠近基板的第二尺寸部份小於第一尺寸部份。
在一些實施例中,上述結構之功函數調整結構包括多個功函數調整層。
在一些實施例中,功函數調整結構的側壁具有實質上階狀增加的形狀。
在一些實施例中,上述結構之功函數調整結構包括第一功函數調整層形成於閘極介電物上;第二功函數調整層襯墊且懸於第一功函數調整層上;以及第三功函數調整層襯墊且懸於第二功函數調整層上。
在一些實施例中,上述方法之功函數調整結構其遠離基板的表面,相對於閘極介電物為凹陷。
在一些實施例中,上述方法之第一尺寸部份比第二尺寸部份大了約20%至約50%。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採 用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種半導體結構的形成方法,包括:形成一開口穿過一絕緣層;形成一第一功函數金屬層於該開口中;使該第一功函數金屬層凹陷至該開口中,以形成一凹陷的第一功函數金屬層;以及形成一第二功函數金屬層於該開口中及該凹陷的第一功函數金屬層上,且該第二功函數調整層襯墊並懸於該凹陷的第一功函數金屬層上。
TW106110752A 2016-10-19 2017-03-30 半導體結構與其形成方法 TWI760330B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/297,850 2016-10-19
US15/297,850 US10269917B2 (en) 2016-10-19 2016-10-19 Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls

Publications (2)

Publication Number Publication Date
TW201816895A true TW201816895A (zh) 2018-05-01
TWI760330B TWI760330B (zh) 2022-04-11

Family

ID=61904127

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110752A TWI760330B (zh) 2016-10-19 2017-03-30 半導體結構與其形成方法

Country Status (3)

Country Link
US (3) US10269917B2 (zh)
CN (1) CN107968054A (zh)
TW (1) TWI760330B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US11114347B2 (en) 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10283417B1 (en) * 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
CN107464758A (zh) * 2017-09-07 2017-12-12 上海华力微电子有限公司 一种半导体器件的形成方法
US10636890B2 (en) * 2018-05-08 2020-04-28 Globalfoundries Inc. Chamfered replacement gate structures
US10833169B1 (en) * 2019-04-22 2020-11-10 Globalfoundries Inc. Metal gate for a field effect transistor and method
US11404327B2 (en) * 2019-09-09 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure and method of forming same
US11289579B2 (en) * 2019-09-29 2022-03-29 Applied Materials, Inc. P-type dipole for p-FET
US11251092B2 (en) * 2020-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of a semiconductor device and method of forming same
US11456384B2 (en) 2020-07-06 2022-09-27 Globalfoundries U.S. Inc. Fin-based laterally diffused structure having a gate with two adjacent metal layers and method for manufacturing the same
US11640983B2 (en) * 2020-08-14 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100517592C (zh) * 2006-04-30 2009-07-22 中芯国际集成电路制造(上海)有限公司 改进浅沟槽隔离间隙填充工艺的方法
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
JP5106060B2 (ja) * 2007-11-16 2012-12-26 キヤノン株式会社 撮像装置
US8129280B2 (en) * 2009-07-24 2012-03-06 Applied Materials, Inc. Substrate device having a tuned work function and methods of forming thereof
US8227890B2 (en) * 2009-12-18 2012-07-24 United Microelectronics Corporation Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US8466502B2 (en) * 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8580625B2 (en) * 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
CN103378008B (zh) * 2012-04-27 2015-10-14 中国科学院微电子研究所 双金属栅极cmos器件及其制造方法
US8679909B2 (en) 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
CN103579111B (zh) * 2012-07-26 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
US9059308B2 (en) * 2012-08-02 2015-06-16 International Business Machines Corporation Method of manufacturing dummy gates of a different material as insulation between adjacent devices
US20150021681A1 (en) * 2013-07-16 2015-01-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9147680B2 (en) * 2013-07-17 2015-09-29 GlobalFoundries, Inc. Integrated circuits having replacement metal gates with improved threshold voltage performance and methods for fabricating the same
KR20150040544A (ko) * 2013-10-07 2015-04-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9362180B2 (en) * 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US9196612B2 (en) * 2014-03-26 2015-11-24 International Business Machines Corporation Semiconductor device including merged-unmerged work function metal and variable fin pitch
US9922880B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Method and apparatus of multi threshold voltage CMOS
US9484346B2 (en) * 2014-10-15 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
US9455330B2 (en) * 2014-11-21 2016-09-27 International Business Machines Corporation Recessing RMG metal gate stack for forming self-aligned contact
US20160204218A1 (en) * 2015-01-12 2016-07-14 Globalfoundries Inc. Semiconductor structure comprising an aluminum gate electrode portion and method for the formation thereof
US9418899B1 (en) * 2015-02-02 2016-08-16 Globalfoundries Inc. Method of multi-WF for multi-Vt and thin sidewall deposition by implantation for gate-last planar CMOS and FinFET technology
KR102271239B1 (ko) * 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US10804161B2 (en) 2016-12-15 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS FinFET structures including work-function materials having different proportions of crystalline orientations and methods of forming the same

Also Published As

Publication number Publication date
US11437484B2 (en) 2022-09-06
US20220367664A1 (en) 2022-11-17
TWI760330B (zh) 2022-04-11
US20190245055A1 (en) 2019-08-08
US20180108748A1 (en) 2018-04-19
CN107968054A (zh) 2018-04-27
US10269917B2 (en) 2019-04-23

Similar Documents

Publication Publication Date Title
US11854811B2 (en) FinFET device and method of forming
TW201816895A (zh) 半導體結構的形成方法
TWI828806B (zh) 半導體裝置與其形成方法
KR102341589B1 (ko) 반도체 디바이스 및 방법
US9318384B2 (en) Dielectric liner for a self-aligned contact via structure
TW202002173A (zh) 半導體裝置與其形成方法
US20180145131A1 (en) Semiconductor Device and Method
TW201806155A (zh) 半導體結構及其製造方法
TWI509710B (zh) 具有改良之閘極高度均勻性的半導體裝置及其製造方法
TW202011458A (zh) 半導體裝置的形成方法
TWI731468B (zh) 半導體裝置及其製造方法
TW202011518A (zh) 半導體裝置的形成方法
TW201913881A (zh) 閘極介電材料的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW201729418A (zh) 通過閘極自對準接面改進接面分佈的取代體鰭式場效電晶體
TW201913748A (zh) 半導體裝置的形成方法
KR102234118B1 (ko) 비등각성 산화물 라이너 및 그 제조 방법
TW202109680A (zh) 半導體裝置及其形成方法
CN110957226A (zh) 半导体装置的形成方法
KR20170108515A (ko) 반도체 소자 및 그 제조 방법
TW202109623A (zh) 形成半導體裝置的方法
TWI821698B (zh) 半導體元件及其製造方法
TWI751763B (zh) 半導體裝置及其形成方法
US11804487B2 (en) Source/drain regions of semiconductor devices and methods of forming the same
US11557518B2 (en) Gapfill structure and manufacturing methods thereof