TW202002173A - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TW202002173A
TW202002173A TW108122499A TW108122499A TW202002173A TW 202002173 A TW202002173 A TW 202002173A TW 108122499 A TW108122499 A TW 108122499A TW 108122499 A TW108122499 A TW 108122499A TW 202002173 A TW202002173 A TW 202002173A
Authority
TW
Taiwan
Prior art keywords
forming
dielectric material
fin
recess
layer
Prior art date
Application number
TW108122499A
Other languages
English (en)
Other versions
TWI719518B (zh
Inventor
薛仁智
洪志昌
尹宗凡
邱意為
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202002173A publication Critical patent/TW202002173A/zh
Application granted granted Critical
Publication of TWI719518B publication Critical patent/TWI719518B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

方法包括形成第一半導體鰭狀物與第二半導體鰭狀物於基板中,且第一半導體鰭狀物與第二半導體鰭狀物相鄰;形成虛置閘極結構,以延伸於第一半導體鰭狀物與第二半導體鰭狀物上;沉積第一介電材料,以圍繞虛置閘極結構;將虛置閘極結構置換成第一金屬閘極結構;進行蝕刻製程於第一金屬閘極結構及第一介電材料上,以形成第一凹陷於第一金屬閘極結構中,並形成第二凹陷於第一介電材料中,其中第一凹陷延伸至基板中,且其中第二凹陷位於第一半導體鰭狀物與第二半導體鰭狀物之間;以及沉積第二介電材料於第一凹陷中。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置,更特別關於金屬閘極切點與其形成方法。
由於多種電子構件如電晶體、二極體、電阻、電容、或類似物的積體密度持續改良,半導體產業已經歷快速成長。積體密度改良的主要部份來自於持續減少最小結構尺寸,以讓更多構件整合至給定面積中。
鰭狀場效電晶體裝置常用於積體電路中。鰭狀場效電晶體裝置具有三維結構,其包括自基板凸起的半導體鰭狀物。閘極結構設置為控制鰭狀場效電晶體裝置的導電通道中的電荷載子流,且閘極結構可包覆半導體鰭狀物。舉例來說,三閘極鰭狀場效電晶體裝置中的閘極結構包覆半導體鰭狀物的三側,以形成導電通道於半導體鰭狀物的三側上。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一半導體鰭狀物與第二半導體鰭狀物於基板中,且第一半導體鰭狀物與第二半導體鰭狀物相鄰;形成虛置閘極結構,以延伸於第一半導體鰭狀物與第二半導體鰭狀物上;沉積第一介電材料,以圍繞虛置閘極結構;將虛置閘極結構置換成第一金屬閘極結構;進行蝕刻製程於第一金屬閘極結構及第一介電材料上,以形成第一凹陷於第一金屬閘極結構中,並形成第二凹陷於第一介電材料中,其中第一凹陷延伸至基板中,且其中第二凹陷位於第一半導體鰭狀物與第二半導體鰭狀物之間;以及沉積第二介電材料於第一凹陷中。
本發明一實施例提供之半導體裝置的形成方法,包括:形成鰭狀物於半導體基板上;形成金屬閘極結構,以延伸於鰭狀物上,其中金屬閘極結構被第一介電材料圍繞;形成圖案化的硬遮罩層於金屬閘極結構及第一介電材料上,其中圖案化的硬遮罩層的開口自直接位於金屬閘極結構上的第一區延伸至直接位於第一介電材料上的第二區;採用相同的蝕刻製程蝕刻第一區中的金屬閘極結構的一部份與第二區中的第一介電材料的一部份,其中蝕刻製程形成凹陷於金屬閘極結構與第一介電材料中,其中凹陷在第一區中具有第一深度,凹陷在第二區中具有第二深度,且第一深度大於第二深度,其中蝕刻第一區中的金屬閘極結構的一部份之步驟露出半導體基板;以及將絕緣材料填入凹陷。
本發明一實施例提供之半導體裝置,包括:半導體基板;第一鰭狀物,位於半導體基板上;第二鰭狀物,位於半導體基板上並與第一鰭狀物相鄰;層間介電層,包含第一介電材料並圍繞第一鰭狀物與第二鰭狀物;第一閘極結構,延伸於第一鰭狀物上,其中第一閘極結構包括第一閘極介電材料與第一閘極填充材料;第二閘極結構,延伸於第二鰭狀物上,其中第二閘極結構包括第二閘極介電材料與第二閘極填充材料;以及第二隔離區,位於第一閘極結構與第二閘極構之間,其中第二隔離區延伸至半導體基板中,其中第一閘極介電材料與第一閘極填充材料物理接觸第二隔離區的第一側壁,其中第二閘極介電材料與第二閘極填充材料物理接觸第二隔離區的第二側壁,且第二隔離區的第一側壁與第二側壁相對,其中第二隔離區延伸至層間介電層中,且其中第二隔離區包括第二介電材料。
下述揭露內容提供的不同實施例或實例可實施本揭露的不同結構。下述特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本揭露之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或組態中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處所述的實施例關於隔離鰭狀場效電晶體裝置的金屬閘極所用的技術。此處所述的多種實施例內容為採用閘極後製製程所形成的鰭狀場效電晶體。在其他實施例中,可採用閘極優先製程。可由合適方法圖案化鰭狀場效電晶體裝置的鰭狀物。舉例來說,可採用一或多道的光微影製程如雙重圖案化或多重圖案化製程,以圖案化鰭狀物。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程,以沿著圖案化的犧牲層的側部形成間隔物。接著移除犧牲層,而保留的間隔物或芯之後可用於圖案化鰭狀物。
圖1顯示鰭狀場效電晶體30的一例之三維圖。鰭狀場效電晶體30包括鰭狀物36於半導體基板32上。鰭狀物36自相鄰的隔離區34之間向上凸起,而隔離區34位於半導體基板32的部份上。閘極介電層38沿著鰭狀物36的側壁並位於鰭狀物36的上表面上,而閘極填充層40位於閘極介電層38上。源極/汲極區42與44相對於閘極介電層38與閘極填充層40,位於鰭狀物36的兩側中。圖1更顯示後續圖式所用的參考剖面。剖面B-B沿著鰭狀場效電晶體30的閘極填充層40的縱軸。剖面A-A垂直於剖面B-B,且沿著鰭狀物36的縱軸並位於源極/汲極區42與44之間的電流方向。後續圖式參考這些參考剖面以清楚說明本發明實施例。
圖2至19顯示一些實施例中,鰭狀場效電晶體裝置100於多種製作階段的多種圖式(如剖視圖或平面圖)。鰭狀場效電晶體裝置100與圖1中的鰭狀場效電晶體30類似,差別在於其具有多個鰭狀物與多個閘極結構。圖2至19的每一者顯示的剖視圖均標出對應的剖面以作為參考。
圖2係基板50沿著剖面B-B的剖視圖。基板50可為半導體基板如基體半導體,絕緣層上半導體基板、或類似物,且可摻雜(如摻雜p型或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板包括半導體材料層形成於絕緣層上。絕緣層可為埋置氧化物層、氧化矽層、或類似物。可提供絕緣層於基板上,且基板通常為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽、鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、或類似物)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、或類似物)、另一種類的半導體材料、或上述之組合。
如圖3A所示,可採用光微影與蝕刻技術圖案化圖2所示的基板50。舉例來說,遮罩層如墊氧化物層52與上方的墊氮化物層56可形成於基板50上。墊氧化物層52可為含氧化矽的薄膜,且其形成方法可採用熱氧化製程。墊氧化物層52可作為基板50與上方的墊氮化物層56之間的黏著層,且可作為蝕刻墊氮化物層56所用的蝕刻停止層。在一些實施例中,墊氮化物層56的組成為氮化矽、氮氧化矽、碳化矽、碳氮氧化矽、類似物、或上述之組合。墊氮化物層56的形成方法可採用低壓化學氣相沉積製程、電漿輔助化學氣相沉積製程、或另一製程。
可採用光微影技術圖案化遮罩層。一般而言,光微影技術沉積光阻材料(未圖示)、照射曝光光阻材料、以及顯影光阻材料以移除光阻材料的一部份。保留的光阻材料可保護下方材料(如此例中的遮罩層)免於後續製程步驟(如蝕刻)的影響。在此例中,採用光阻材料圖案化墊氧化物層52與墊氮化物層56,以形成圖案化遮罩58。如圖3A所示的例子,圖案化遮罩58包括圖案化的墊氧化物層52與圖案化的墊氮化物層56。
接著採用圖案化遮罩58以圖案化基板50的露出部份,可形成溝槽61。上述步驟可定義半導體帶60於相鄰的溝槽61之間,如圖3A所示。在一些實施例中,半導體帶60的形成方法為蝕刻溝槽61於基板50中,且蝕刻方法可採用反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻可為非等向。在一些實施例中,溝槽61可為帶狀物(在平面圖中),其彼此平行且彼此緊密排列。在一些實施例中,溝槽61可連續並圍繞半導體帶60。在形成半導體帶60之後,可由蝕刻或任何合適方法移除圖案化遮罩58。在一些實施例中,相鄰的半導體帶60的頂部可隔有寬度W1,且寬度W1可介於約30nm至約150nm之間,比如介於約15nm至20nm之間。
在圖3B所示的例示性實施例中,半導體帶60形成於自基板50凸起的冠狀結構51上。冠狀結構51的形成方法可為採用光微影技術使基板50凹陷。在一些實施例中,不同組的鰭狀物64之間的冠狀結構51可具有不同厚度。舉例來說,圖3B所示的厚度T2可與厚度T1不同。在一些實施例中,厚度T1介於約1nm至約30nm之間,而厚度T2介於約1nm至約30nm之間。在一些實施例中,厚度T1可與厚度T2大致相同。在一些實施例中,自凹陷的基板50量測的半導體帶60的高度,可大於或大致等於自具有厚度T2的冠狀結構51的一部份量測的半導體帶60的高度;及/或自具有厚度T2的冠狀結構51的一部份量測的半導體帶60的高度,可大於或大致等於自具有厚度T1的冠狀結構51的一部份量測的半導體帶60的高度。在一些實施例中,自凹陷的基板50量測的半導體帶60的高度,可大於或大致等於約100nm。在一些實施例中,自具有厚度T1的冠狀結構51的一部份量測的半導體帶60的高度,可大於或大致等於約100nm;而自具有厚度T2的冠狀結構51的一部份量測的半導體帶60的高度,可大於或大致等於約110nm。雖然圖3B顯示四個半導體帶60形成於冠狀結構51上,但形成於冠狀結構51上的半導體帶60的數目可更多或更少。在一些例子中,金屬閘極切點可位於冠狀結構51上,其將搭配圖19進一步詳述於下。
如圖4所示,形成絕緣材料於相鄰的半導體帶60之間,以形成隔離區62。絕緣材料可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後硬化材料使其轉變成另一材料如氧化物)、類似方法、或上述之組合。亦可採用其他絕緣材料及/或其他形成製程。一旦形成絕緣材料,可進行退火製程。平坦化製程如化學機械研磨製程,可移除任何多餘的絕緣材料(以及視情況採用的圖案化遮罩58),並使隔離區62的上表面與半導體帶60的上表面共平面(未圖示)。
在一些實施例中,隔離區62包括襯墊層如襯墊氧化物(未圖示)於隔離區62與基板50(或半導體帶60)之間。在一些實施例中,形成襯墊氧化物以減少基板50與隔離區62之間的界面的結晶缺陷。襯墊氧化物亦可用於減少半導體帶60與隔離區62之間的界面的結晶缺陷。襯墊氧化物(如氧化矽)可為熱氧化基板50的表面層所形成的熱氧化物,但亦可採用其他合適方法以形成襯墊氧化物。
接著使隔離區62凹陷,使半導體帶60的上側部份自相鄰的隔離區62之間凸起,並形成半導體鰭狀物64。在一些實施例中,凹陷的隔離區62可為淺溝槽隔離區。隔離區62的上表面可為平坦表面如圖示、上凸表面、下凹表面(如碟化)、或上述之組合。可由合適蝕刻方法使隔離區62的上表面平坦、上凸、及/或下凹。在一些例子中,可採用乾蝕刻使隔離區62凹陷,且乾蝕刻可採用蝕刻氣體如氨、氫氟酸、另一蝕刻氣體、或蝕刻氣體的組合。亦可採用其他合適的蝕刻製程使隔離區62凹陷。
圖2至4係一實施例中,形成半導體鰭狀物64的方法。但可由多種不同製程形成鰭狀物。在一例中,可形成介電層於基板的上表面上,並蝕穿介電層以形成溝槽。可磊晶成長同質磊晶結構於溝槽中,或磊晶成長異質磊晶結構於溝槽中(比如採用不同於基板的材料)。接著可使介電層凹陷,使同質磊晶結構或異質磊晶結構自介電層凸起以形成鰭狀物。在其他實施例中,異質磊晶結構可作為鰭狀物。舉例來說,可使半導體帶凹陷,並磊晶成長與半導體帶不同的材料於凹陷中。
在一些實施例中,在磊晶成長同質磊晶結構或異質磊晶結構時,可在成長時原位摻雜磊晶成長的材料,因此可省略之前或之後的佈植。不過可搭配採用原位摻雜與佈植摻雜。此外,磊晶成長於n型金氧半區中的材料不同於磊晶成長於p型金氧半區中的材料具有優點。在多種實施例中,鰭狀物可包含矽鍺(Six Ge1-x ,其中x可介於近似0至1之間)、碳化矽、純鍺或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,形成III-V族半導體化合物的可行材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化鎵銦、砷化鋁銦、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
如圖5A與5B及圖6A與6B所示,形成虛置閘極結構75於半導體鰭狀物64上。在一例中,虛置閘極結構75包括虛置閘極介電層66、虛置閘極填充層68、與遮罩70。為形成虛置閘極結構75,可先形成介電材料於半導體鰭狀物64與隔離區62上。接著可自介電材料形成虛置閘極介電層66。舉例來說,介電材料可為氧化矽、氮化矽、上述之多層、或類似物,且其形成方法可為依據可接受的技術進行的沉積或熱成長。在一些實施例中,介電材料可為高介電常數的材料。在這些實施例中,介電材料的介電常數可大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、或鉛的金屬氧化物或矽酸鹽、上述之多層、或上述之組合。介電材料的形成方法可包含分子束沉積、原子層沉積、電漿輔助化學氣相沉積、或類似方法。
接著形成虛置閘極材料於虛置閘極介電材料上,並形成遮罩層於虛置閘極材料上。接著分別自虛置閘極材料與遮罩層,形成虛置閘極填充層68與遮罩78。可沉積虛置閘極材料於介電材料上,接著以化學機械研磨製程等方法平坦化虛置閘極材料。接著可沉積遮罩層於平坦化的虛置閘極材料上。在一些實施例中,虛置閘極材料的組成可為多晶矽,但亦可採用其他材料。在一些實施例中,虛置閘極材料可包括含金屬材料如氮化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、上述之組合、或上述之多層。在一些實施例中,遮罩層可為硬遮罩,其組成可為氮化矽,但亦可採用其他材料。
在形成介電材料、虛置閘極材料、與遮罩層之後,可採用可接受的光微影與蝕刻技術圖案化遮罩層以形成遮罩70。舉例來說,可形成光阻72於遮罩層上,並採用光微影技術圖案化光阻72,以形成圖5A至5B所示的結構。接著以合適的蝕刻技術,將光阻72的圖案轉移至遮罩層,以形成遮罩70。接著以合適的蝕刻技術將遮罩70的圖案轉移至虛置閘極材料與介電層,以分別形成虛置閘極填充層68與虛置閘極介電層66。上述步驟形成的結構如圖6A與6B所示。虛置閘極填充層68與虛置閘極介電層66覆蓋半導體鰭狀物64的個別通道區。虛置閘極填充層68的長度方向亦可實質上垂直於個別半導體鰭狀物64的長度方向。雖然圖6的剖視圖顯示三個虛置閘極結構75位於半導體鰭狀物64上,但可形成較少或較多的虛置閘極結構75於半導體鰭狀物64上。
如圖7A與7B所示,形成輕摻雜源極/汲極區65於半導體鰭狀物64中。輕摻雜源極/汲極區65的形成方法可為佈植製程。佈植製程可佈植n型或p型雜質至半導體鰭狀物64中,以形成輕摻雜源極/汲極區65。在一些實施例中,輕摻雜源極/汲極區65鄰接鰭狀場效電晶體裝置100的通道區。輕摻雜源極/汲極區65的部份可延伸至虛置閘極填充層68下,並延伸至鰭狀場效電晶體裝置100的通道區中。圖7A顯示輕摻雜源極/汲極區65的非侷限性例子。輕摻雜源極/汲極區65的其他設置、形狀、與形成方法亦屬可能,且完全包含於本發明實施例的範疇中。舉例來說,其他實施例可在形成間隔物87之後,形成輕摻雜源極/汲極區65。
在形成輕摻雜源極/汲極區65之後,形成間隔物87於虛置閘極結構75上。在圖7A與7B的例子中,形成間隔物87於虛置閘極填充層68的兩側側壁上與虛置閘極介電層66的兩側側壁上。間隔物87的組成可為氮化物如氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合,且其形成方法可採用熱氧化、化學氣相沉積、或其他合適的沉積製程。間隔物87亦可延伸於半導體鰭狀物64的上側表面與隔離區62的上側表面上。間隔物87亦可形成於虛置閘極結構75的末端側壁上,且圖7B所示的這種間隔物標示為末端間隔物88。一些後續圖式省略末端間隔物88以使圖式清楚,但這些圖式的結構中可存在末端間隔物88。
圖7A所示的間隔物87之形成與形成方法僅為非侷限性的例子,而間隔物87可能具有其他形狀或由其他形成方法所形成。舉例來說,間隔物87可包含第一間隔物(未圖示)與第二間隔物(未圖示)。第一間隔物可形成於虛置閘極結構75的兩側側壁上。第二間隔物可形成於第一間隔物上,而第一間隔物位於個別的虛置閘極結構75與個別的第二間隔物之間。在一些例子中,第一間隔物可具有「L狀」的剖面形狀。在另一例中,可在形成磊晶的源極/汲極區80(見圖8A至8D)之後,形成間隔物87。在一些實施例中,在形成圖8A至8D所示之磊晶的源極/汲極區80之前,形成虛置間隔物於第一間隔物(未圖示)上。在形成磊晶的源極/汲極區80之後,移除虛置間隔物並取代為第二間隔物。所有這些實施例完全包含於本發明的範疇中。
接著如圖8A至8D所示,形成源極/汲極區80。源極/汲極區80的形成方法可為蝕刻半導體鰭狀物64以形成凹陷,再磊晶成長材料於凹陷中。源極/汲極區80的磊晶材料的成長方法,可採用合適方法如有機金屬化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、另一製程、或上述之組合。如圖8C與8D所示,間隔物87的材料可與源極/汲極區80相鄰。
如圖8A所示,源極/汲極區80可凸起高於半導體鰭狀物64的上側表面。在一些例子中,源極/汲極區80可具有晶面或不規則的形狀。如圖8C與圖9C至17C所示的一些實施例,相鄰的半導體鰭狀物64的源極/汲極區80不會合併在一起,並維持分開的源極/汲極區80。如圖8D所示的例示性實施例,相鄰的半導體鰭狀物64的源極/汲極區80可合併形成連續的磊晶的源極/汲極區80。在一些實施例中,最終的鰭狀場效電晶體為n型鰭狀場效電晶體,而源極/汲極區80可包含碳化矽、磷化矽、碳磷化矽、或類似物。在一些實施例中,最終的鰭狀場效電晶體為p型鰭狀場效電晶體,而源極/汲極區80可包含矽鍺與p型雜質如硼或銦。
在一些實施例中,可佈植摻質至磊晶的源極/汲極區80。佈植製程可包含形成並圖案化遮罩(如光阻)以覆蓋鰭狀場效電晶體的部份區域,以保護這些區域免於佈植製程。在一些實施例中,源極/汲極區80的摻雜部份之摻質濃度可介於約1E19cm-3 至約1E21cm-3 之間。在一些實施例中,可在磊晶成長時原位摻雜磊晶的源極/汲極區80。
接著如圖9A至11C所示,形成第一層間介電層90於圖8A至8C所示的結構上,並進行閘極後製製程(有時稱作置換閘極製程)。在置極後製製程中,移除虛置結構如虛置閘極填充層68與虛置閘極介電層66,並置換成主動閘極與主動閘極介電層(其統稱為置換閘極或金屬閘極結構,如圖11A與11B所示的置換的金屬閘極97)。
在圖9A至9C中,形成第一層間介電層90。在一些實施例中,第一層間介電層90的組成為介電材料如氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。可進行平坦化製程如化學機械研磨製程,以平坦化第一層間介電層90的上表面,如圖9A至9C所示。在一些實施例中,化學機械研磨製程可移除一些或全部的遮罩70。在一些實施例中,化學機械研磨製程之後可露出虛置閘極填充層68的上表面。
在圖10A至10C中,接著以一或多道蝕刻步驟移除遮罩70(若存在)、虛置閘極填充層68、與虛置閘極介電層66,以形成凹陷89於個別的間隔物87之間。每一凹陷89露出個別半導體鰭狀物64的通道區。每一通道區可位於相鄰的一對磊晶的源極/汲極區80之間。在一些例子中,虛置閘極介電層66可作為蝕刻虛置閘極填充層68時的蝕刻停止層。在移除虛置閘極填充層68之後,接著可移除虛置閘極介電層66。
在圖11A至11C中,依序形成閘極介電層96、功函數層94、與閘極填充層98於每一凹陷89中。如圖11A與11B所示,順應性地沉積閘極介電層96於凹陷89中。順應性地沉積功函數層94於閘極介電層96上,而閘極填充層98填充凹陷89的其餘部份。雖然未圖示,但可形成阻障層於閘極介電層96與功函數層94之間。如圖11B所示,閘極介電層96、功函數層94、與閘極填充層98亦可形成於末端間隔物88的側壁上。
在一些實施例中,閘極介電層96包括氧化矽、氮化矽、或上述之多層。在其他實施例中,閘極介電層96包括高介電常數的介電材料。在這些實施例中,閘極介電層96的介電常數可大於約7.0,其可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、或鉛的金屬氧化物或矽酸鹽、其他材料、或上述之組合。閘極介電層96的形成方法可包括分子束沉積、原子層沉積、電漿輔助化學氣相沉積、或其他製程。
接著可順應性地形成阻障層於閘極介電層96上。阻障層可包含導電材料如氮化鈦,但亦可採用其他材料如氮化鉭、鈦、鉭、類似物、或上述之組合。阻障層的形成方法可採用化學氣相沉積製程,比如電漿輔助化學氣相沉積。然而亦可採用其他製程如濺鍍、有機金屬化學氣相沉積、原子層沉積、或其他製程。
功函數層94可順應性地形成於阻障層上。功函數層94可包含一或多層,且可包含一或多種合適的材料。可由預定方式選擇功函數層94的材料與厚度,以調整最終鰭狀場效電晶體的臨界電壓。金屬閘極97中可包含的例示性p型功函數金屬包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、氮化鎢、其他合適的p型功函數材料、或上述之組合。金屬閘極97中可包含的例示性n型功函數金屬包括鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的n型功函數材料、或上述之組合。功函數值與功函數層94的材料組成相關,因此可選擇功函數層94的材料以調整其功函數值,以達即將形成於個別區域中的裝置之臨界電壓目標值。功函數層94的沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、及/或其他合適製程。n型裝置與p型裝置可具有相同數目或不同數目的功函數層94。
接著形成閘極填充層98於功函數層94上。閘極填充層98的組成可為含金屬材料如銅、鋁、鎢、類似物、上述之組合、或上述之多層,且其形成方法可為電鍍、無電鍍、物理氣相沉積、化學氣相沉積、或其他合適方法。可進行平坦化製程如化學機械研磨,以移除閘極介電層96、功函數層94、與閘極填充層98位於第一層間介電層90上的多餘部份。因此閘極填充層98、功函數層94、與閘極介電層96的保留部份形成鰭狀場效電晶體裝置100的金屬閘極97。
在一實施例中,金屬閘極切割與鰭狀物隔離製程如圖12A至18B所示。圖12A顯示鰭狀場效電晶體裝置100沿著剖面B-B的剖視圖,圖12B顯示鰭狀場效電晶體裝置100沿著剖面C-C的剖視圖,且圖12C顯示鰭狀場效電晶體裝置100的代表平面圖。在圖12A中,連續地形成第一硬遮罩層122與第二硬遮罩層124於鰭狀場效電晶體裝置100上。之後形成三層結構133於第二硬遮罩層124上。在一些實施例中,三層結構133包括頂光阻層136、中間層134、與底抗反射塗層132,如圖12A所示。
在一些實施例中,第一硬遮罩層122為金屬硬遮罩層,而第二硬遮罩層124為介電硬遮罩層。在後續製程步驟中,採用多種光微影與蝕刻技術,將圖案轉移至第一硬遮罩層122。第一硬遮罩層122之後可作為蝕刻下方結構(如金屬閘極97或第一層間介電層90)所用的圖案化遮罩。第一硬遮罩層122可為遮罩材料如氮化鈦、氧化鈦、類似物、或上述之組合。第一硬遮罩層122的形成製程可採用原子層沉積、化學氣相沉積、物理氣相沉積、類似方法、或上述之組合。在一些實施例中,第一硬遮罩層122的厚度可介於約1nm至約10nm之間。
沉積第二硬遮罩層124於第一硬遮罩層122上。第二硬遮罩層124可作為第一硬遮罩層122所用的遮罩圖案。在後續的製程步驟中可圖案化第二硬遮罩層124,以形成之後轉移至第一硬遮罩層122的圖案。第二硬遮罩層124可為遮罩材料,比如氮化矽、氧化矽、碳化矽、碳氧化矽、氮氧化矽、類似物、或上述之組合。第二硬遮罩層124的形成製程可為化學氣相沉積、原子層沉積、類似方法、或上述之組合。在例示性的實施例中,第一硬遮罩層122包含氮化鈦,而第二硬遮罩層124包含氮化矽。在一些實施例中,第二硬遮罩層124的厚度可介於約35nm至約80nm之間,比如約68nm。
形成三層結構133於第二硬遮罩層124上。三層結構133的底抗反射塗層132可包含有機或無機材料。中間層134可包含氮化矽、氮氧化矽、或類似物。中間層134與頂光阻層136之間可具有蝕刻選擇性,使頂光阻層136可作為圖案化中間層134時的遮罩層。頂光阻層136可包含光敏材料。可採用任何合適的沉積方法如物理氣相沉積、化學氣相沉積、旋轉塗佈、類似方法、或上述之組合,以形成三層結構133的層狀物。
如圖12A至12C所示,一旦形成三層結構133,即形成圖案於頂光阻層136中。圖12A至12C所示的圖案為開口137的一例。在圖12A至12C中,開口137可位於相鄰的半導體鰭狀物64之間,並可延伸越過一或多個金屬閘極97。可採用合適的光微影技術圖案化頂光阻層136。在一些實施例中,開口137的寬度W2可介於約20nm至約35nm之間,比如約27nm。
如圖13A與13B所示,之後延伸頂光阻層136中開口137的圖案穿過中間層134與底抗反射塗層132,亦轉移圖案至第二硬遮罩層124。可採用合適技術如採用一或多道非等向蝕刻製程,以此種方式轉移開口139的圖案。如此一來,開口139形成於第二硬遮罩層124中。如圖13A與13B所示,可視情況形成順應層125於第二硬遮罩層124上及開口139中。順應層125可形成於開口139的側壁上,以保護開口139的側壁及/或減少開口139的寬度。順應層125可包含材料如氮化矽或類似物,且其形成方法可採用合適技術如原子層沉積或類似方法。在一些實施例中,順應層125的厚度可介於約1nn至約10nm之間。在一些實施例中,開口139(具有或不具有視情況存在的順應層125)的寬度W3介於約7nm至約12nm之間,比如約10nm。
接著如圖14A至14C所示,進行蝕刻製程以延伸開口139至金屬閘極97中,以形成金屬閘極切割區。圖14A顯示鰭狀場效電晶體裝置100沿著剖面B-B的剖視圖,圖14B顯示鰭狀場效電晶體裝置100沿著剖面C-C的剖視圖,而圖14C險示鰭狀場效電晶體裝置100的代表平面圖。蝕刻製程之後的開口139,在圖14A至14C中標示為蝕刻開口141。蝕刻開口141位於之前金屬閘極97所在處的部份,標示為蝕刻開口部份141A。蝕刻開口141位於之前無金屬閘極97所在處的部份,標示為蝕刻開口部份141B。蝕刻開口部份141A延伸至金屬閘極97中,如圖14A所示。蝕刻開口部份141B延伸至第一層間介電層90中,如圖14B所示。蝕刻開口部份141A與141B的例子,如圖14C的平面圖所標示。
如圖14A所示,蝕刻製程延伸開口139以完全穿過金屬閘極97,以形成蝕刻開口141。蝕刻開口141可延伸至金屬閘極97下的隔離區62中。在一些實施例中,蝕刻開口141可延伸穿過金屬閘極97下的隔離區62至基板50中,如圖14A所示。可採用蝕刻製程(如非等向蝕刻製程或其他蝕刻製程)移除金屬閘極97的部份,以形成蝕刻開口141。在一些實施例中,蝕刻製程亦可移除第一硬遮罩層122的部份。金屬閘極97的部份的移除方法,可包含移除閘極介電層96、功函數層94、及/或閘極填充層98的部份。在一些例子中,蝕刻製程可移除一些或全部的順應層125,如圖14A與14B所示。
在一些實施例中,蝕刻製程包含電漿蝕刻製程。舉例來說,電漿蝕刻製程可為原子層蝕刻製程、反應性離子蝕刻製程、或另一製程。在一些實施例中,在製程腔室中進行電漿蝕刻製程,並供應製程氣體至製程腔室中。製程氣體可包含四氟化碳、六氟乙烷、氟化甲烷、氟仿、氯氣、丁二烯、三氯化硼、四氯化矽、溴化氫、氧氣、其他氣體、或上述氣體之組合。在一些實施例中,電漿蝕刻製程包括多個蝕刻循環,且在每一循環時沉積保護膜(未圖示)於開口139的側壁上。舉例來說,保護膜的材料可為碳氟聚合物或氧化矽,其可覆蓋側壁表面以抑制覆蓋的側壁表面被蝕刻。蝕刻開口139至更深處,接著沉積保護膜於開口139的側壁上,並交錯進行上述蝕刻與沉積步驟,可使蝕刻開口139的輪廓具有筆直側壁。控制蝕刻循環的每一部份所用的不同製程氣體比例,即可控制蝕刻循環的每一部份所沉積的保護膜的相對量。在一些例子中,在每一蝕刻循環的第一部份中,製程氣體四氯化矽與溴化氫的比例介於約1:1至約1:2之間;且在每一蝕刻循環的第二部份中,製程氣體四氯化矽與溴化氫的比例介於約0.2:1至約2:1之間。製程氣體流入製程腔室的流速,可介於約5sccm至約950sccm之間。可採用載氣如氮氣、氬氣、氦氣、氙氣、或類似物,以承載製程氣體至製程腔室中。電漿蝕刻製程採用的偏壓可介於約0伏特至約500伏特之間,且功率可介於約100瓦至約3000瓦之間。電漿蝕刻製程的溫度可介於約50℃至約200℃之間。製程腔室中的壓力可介於約3mTorr至約5Torr之間。在一些實施例中,電漿為直接電漿。其他實施例中的電漿,係在連接至製程腔室的分離電漿產生腔室中產生的遠端電漿。可由產生電漿的任何合適方法將製程氣體活化成電漿,比如採用變壓器耦合電漿產生器、誘導耦合電漿系統、磁增強反應性離子技術、電子迴旋共振技術、或類似方法。
一些實施例在蝕刻製程之後,可採用清潔製程移除保護膜的殘餘物或蝕刻製程的其他副產品。清潔製程可包含濕式清潔製程、電漿製程、或上述之組合。在一些實施例中,電漿製程可包含氧電漿(如灰化製程),或暴露至另一種電漿。在一實施例中,濕式清潔製程可包含濕蝕刻如非等向濕蝕刻。濕式清潔製程可包含採用蝕刻劑如氫氟酸、氫氧化銨、氯化氫、過氧化氫、硫酸、上述之組合、或類似物。濕式清潔製程的溫度可介於約0℃至約100℃之間,比如約70℃。在一些實施例中,清潔製程包括採用連接至製程腔室的泵浦,自製程腔室抽出殘留的材料。在一些實施例中,完整的清潔製程可降低保留導電殘餘物的機會(比如來自金屬閘極97的蝕刻部份)。這些導電殘餘物會形成不想要的電性連接於金屬閘極97的區域之間並越過蝕刻開口141。
如圖14A所示的一些實施例,蝕刻開口141的總深度D1(自金屬閘極97的頂部至蝕刻開口141的底部)可介於約150nm至約250nm之間。在一些實施例中,蝕刻開口141延伸至基板50中的距離D2可介於約1nm至約50nm之間。蝕刻開口141的側壁與一或多個相鄰的半導體鰭狀物64之間的距離W4,可介於約5nm至約25nm之間。蝕刻開口141可具有近似錐形的形狀,其中靠近金屬閘極97的頂部的蝕刻開口141最寬。在一些例子中,遠離金屬閘極97的頂部的蝕刻開口141的寬度,可小於靠近金屬閘極97的頂部的蝕刻開口141的寬度。在一些例子中,靠近金屬閘極97之蝕刻開口141的寬度W5,可介於約15nm至約28nm之間。在一些例子中,大於約22nm的寬度W5可讓蝕刻開口141具有較大的總深度D1,比如總深度D1可大於約200nm。在一些實施例中,靠近金屬閘極97的頂部之蝕刻開口141的寬度(如寬度W5)可大於遠離金屬閘極97的底部之蝕刻開口141的寬度(如寬度W6)。在一些實施例中,靠近隔離區62之頂部的蝕刻開口141的寬度W6,可介於約9nm至約25nm之間。在一些例子中,寬度W6可與寬度W5大致相同。在一些實施例中,蝕刻開口141的高寬比可介於約7:1至約18:1之間。如圖14B所示的一些實施例,蝕刻開口141延伸至第一層間介電層90中的深度D3,可介於約100nm至約250nm之間。在一些實施例中,蝕刻製程蝕刻至蝕刻開口部份141B中的深度,不會像蝕刻至蝕刻開口部份141A中的深度一樣深。舉例來說,蝕刻開口部份141A的深度D1,可大於蝕刻開口部份141B的深度D3。在一些例子中,蝕刻製程對蝕刻開口部份141B中的材料(如第一層間介電層90的材料或其他材料)的選擇性,大於對蝕刻開口部份141A中的材料(如閘極填充層98的材料或其他材料)的選擇性。在此方式中,蝕刻開口141在不同位置可具有不同深度。在一些實施例中,蝕刻開口部份141B完全延伸穿過第一層間介電層90,並延伸至隔離區62中。
如圖15A與15B所示,將介電材料140填入蝕刻開口141,以形成閘極隔離區(如金屬閘極切點)於金屬閘極97中。介電材料140可包含氮化矽、氧化矽、氮氧化矽、碳化矽、其他絕緣材料、或類似物。在一些實施例中,介電材料可包含多種材料或多層。在一些實施例中,介電材料的形成方法可為物理氣相沉積、電漿輔助化學氣相沉積、化學氣相沉積、原子層沉積、或另一合適的沉積方法。
如圖16A與16B所示,可進行平坦化製程如化學機械研磨製程,以移除第一硬遮罩層122、第二硬遮罩層124、與介電材料140的多餘部份。平坦化製程亦可移除閘極填充層98或第一層間介電層90的部份。接著如圖17A與17B所示,接點102形成於金屬閘極97上並與其電性連接,且接點112形成於磊晶的源極/汲極區80上並與其電性連接。為了形成接點102與接點112,可先形成第二層間介電層95於第一層間介電層90上。在一些實施例中,第二層間介電層95的組成為磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿輔助化學氣相沉積。在一些實施例中,第二層間介電層95為可流動的化學氣相沉積法所形成的可流動膜,但亦可採用其他技術所形成的膜狀物。形成接點開口穿過第一層間介電層90及/或第二層間介電層95,以露出源極/汲極區80與金屬閘極97。接點開口的形成方法可採用任何合適的光微影或蝕刻技術。接著將導電材料填充接點開口,以形成接點102與接點112。在一些實施例中,在填充接點開口之前可形成矽化物區(未圖示)於源極/汲極區80上,以形成接點112。
在一些實施例中,接點102的形成方法包括形成阻障層104於接點開口中。阻障層104可包含氮化鈦、氮化鉭、鈦、鉭、或類似物,且其形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、或其他合適的沉積法。接著形成晶種層109於阻障層104上。晶種層109的沉積方法可為物理氣相沉積、原子層沉積、或化學氣相沉積,且其組成可為鎢、銅、或銅合金,但亦可改用其他合適方法與材料。一旦形成晶種層109,即可形成導電材料110至晶種層109上以填入接點開口並超填接點開口。導電材料110可包含鎢,但亦可改用其他合適材料如鋁、銅、氮化鎢、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅、上述之合金、上述之組合、或類似物。導電材料110的形成方法可採用任何合適的沉積法,比如物理氣相沉積、化學氣相沉積、原子層沉積、鍍製法(如電鍍)、或再流動。
一旦填充接點開口,即可採用平坦化製程(如化學機械研磨)移除接點開口之外的多餘阻障層104、晶種層109、與導電材料110。除了平坦化製程,亦可採用任何合適的移除製程。因此形成接點102於接點開口中。在未偏離本發明實施例的精神下,接點102或接點112的數目或位置可不同,且這些調整或其他調整完全包含於本發明實施例的範疇中。在一些實施例中,採用與形成接點102的方法類似的技術,以形成接點112。接點112可包含阻障層或晶種層(未圖示)。可在相同步驟或不同步驟中,形成接點102與接點112。舉例來說,可在形成接點112之前或之後形成接點102。
圖18係一實施例中,鰭狀場效電晶體裝置100沿著剖面C-C的剖視圖,其中兩個相鄰的磊晶的源極/汲極區80共用接點113。接點113延伸於磊晶的源極/汲極區80之間的介電材料140的一部份上。採用蝕刻製程形成接點開口於第二層間介電層95與第一層間介電層90中,以露出磊晶的源極/汲極區80。上述步驟亦蝕刻介電材料140的部份。接著將導電材料填入接點開口以形成接點113。在一些例子中,蝕刻製程移除所有的介電材料140。在其他例子中,蝕刻接點開口時的蝕刻製程未移除所有的介電材料140,如圖18所示。在一些例子中,介電材料140延伸至第一層間介電層90中的深度可比接點開口深,以在蝕刻製程後保留介電材料140的一部份於接點開口下。在一些例子中,形成接點開口的蝕刻製程可對介電材料140上的第一層間介電層90的材料具有選擇性,且不蝕刻保留於接點開口中的介電材料140的一些部份。舉例來說,採用六丁二烯、氟化甲烷、八氟環丁烷、六氟化硫、或其他氣體作為製程氣體的電漿蝕刻製程,可選擇性地蝕刻氮化矽材料上的氧化矽或第一層間介電層90。在一些實施例中,介電材料140的保留部份頂部,與接點113的頂部之間的距離D4介於約30nm至約80nm之間。在一些實施例中,介電材料140的保留部份延伸高於接點113的底部之距離D5,介於約0nm至約20nm之間。在一些實施例中,介電材料140的保留部份延伸低於接點113的底部之距離D6,介於約0nm至約70nm之間。在一些例子中,保留介電材料140的一部份,可減少接點113與金屬閘極97之間不想要的電性短路機會。
圖19係一實施例中,包括冠狀結構51的鰭狀場效電晶體裝置100沿著剖面B-B的剖視圖。冠狀結構51可與圖3B所示的前述冠狀結構51類似。在一些實施例中,介電材料140形成於相鄰的半導體鰭狀物64之間的冠狀結構51上,並延伸至冠狀結構51中。在一些實施例中,介電材料140可延伸至低於冠狀結構51,如圖19所示。在一些實施例中,介電材料140延伸低於冠狀結構51的上表面之距離D7,可介於約0nm至約50nm之間。在一些實施例中,凹陷的基板50的一部份上的隔離區62的厚度,可大於或大致等於具有厚度T2的冠狀結構51的一部份上的隔離區62的厚度(見圖3B);及/或具有厚度T2的冠狀結構51的一部份上的隔離區62的厚度,可大於或大致等於具有厚度T1的冠狀結構51的一部份上的隔離區62的厚度(見圖3B)。在一些例子中,凹陷的基板50之一部份上的隔離區62的厚度,可大於或大致等於約60nm。在一些實施例中,具有厚度T1的冠狀結構51的一部份上的隔離區62的厚度可大於或大致等於約50nm。在一些實施例中,具有厚度T2的冠狀結構51的一部份上的隔離區62的厚度(見圖3B)可大於或大致等於約40nm。在一些實施例中,介電材料140的底部與相鄰的隔離區62的頂部之間的距離,可大致等於或大於相鄰的隔離區62的厚度。
上述實施例可達一些優點。在形成金屬閘極切點之前形成置換的金屬閘極,可改善金屬閘極的沉積。金屬閘極切點可能產生窄區(比如產生於鰭狀物與金屬閘極切點之間),其可能在後續填充或覆蓋的沉積製程造成問題。因此在形成金屬閘極之後形成金屬閘極切點,可減少這些問題區域的數目。舉例來說,採用此處所述的技術可沉積更一致且填充效率更高的功函數層、阻障層、或閘極填充層,特別是在金屬閘極切點的區域中。在此方式中,可減少製程缺陷並增進裝置效能。
在一實施例中,方法包括:形成第一半導體鰭狀物與第二半導體鰭狀物於基板中,且第一半導體鰭狀物與第二半導體鰭狀物相鄰;形成虛置閘極結構,以延伸於第一半導體鰭狀物與第二半導體鰭狀物上;沉積第一介電材料,以圍繞虛置閘極結構;將虛置閘極結構置換成第一金屬閘極結構;進行蝕刻製程於第一金屬閘極結構及第一介電材料上,以形成第一凹陷於第一金屬閘極結構中,並形成第二凹陷於第一介電材料中,其中第一凹陷延伸至基板中,且其中第二凹陷位於第一半導體鰭狀物與第二半導體鰭狀物之間;以及沉積第二介電材料於第一凹陷中。在一實施例中,蝕刻製程形成含有第一凹陷與第二凹陷的凹陷。在一實施例中,第一凹陷的深度大於第二凹陷的深度。在一實施例中,第二凹陷的深度小於第一介電材料的厚度。在一實施例中,蝕刻製程包括原子層蝕刻製程。在一實施例中,第二介電材料包括氮化矽。在一實施例中,方法更包括沉積第二介電材料於第二凹陷中。在一實施例中,方法更包括形成第三介電材料於第一介電材料上,且在形成第三介電材料之後,保留第二介電材料的一部份於第二凹陷中。在一實施例中,第一凹陷的頂部寬度大於第一凹陷的底部寬度。
在一實施例中,半導體裝置的形成方法包括:形成鰭狀物於半導體基板上;形成金屬閘極結構,以延伸於鰭狀物上,其中金屬閘極結構被第一介電材料圍繞;形成圖案化的硬遮罩層於金屬閘極結構及第一介電材料上,其中圖案化的硬遮罩層的開口自直接位於金屬閘極結構上的第一區延伸至直接位於第一介電材料上的第二區;採用相同的蝕刻製程蝕刻第一區中的金屬閘極結構的一部份與第二區中的第一介電材料的一部份,其中蝕刻製程形成凹陷於金屬閘極結構與第一介電材料中,其中凹陷在第一區中具有第一深度,凹陷在第二區中具有第二深度,且第一深度大於第二深度,其中蝕刻第一區中的金屬閘極結構的一部份之步驟露出半導體基板;以及將絕緣材料填入凹陷。在一實施例中,方法更包括形成第二介電材料於第一介電材料及凹陷中的絕緣材料上。在一實施例中,絕緣材料為氮化矽。在一實施例中,形成金屬閘極結構的步驟包括形成閘極介電層、功函數層、與閘極填充材料,其中閘極介電層、功函數層、與閘極填充材料物理接觸絕緣材料。在一實施例中,方法更包括進行蝕刻製程以蝕刻接點開口至第二區中的第一介電材料與絕緣材料中,且在蝕刻製程之後保留絕緣材料的一部份於第二區中。在一實施例中,凹陷的高寬比介於7:1至18:1之間。在一實施例中,方法更包括形成第三介電材料於半導體基板上,其中金屬閘極結構形成於第三介電材料上,且凹陷延伸穿過第三介電材料。
在一實施例中,裝置包括:半導體基板;第一鰭狀物,位於半導體基板上;第二鰭狀物,位於半導體基板上並與第一鰭狀物相鄰;層間介電層,包含第一介電材料並圍繞第一鰭狀物與第二鰭狀物;第一閘極結構,延伸於第一鰭狀物上,其中第一閘極結構包括第一閘極介電材料與第一閘極填充材料;第二閘極結構,延伸於第二鰭狀物上,其中第二閘極結構包括第二閘極介電材料與第二閘極填充材料;以及第二隔離區,位於第一閘極結構與第二閘極構之間,其中第二隔離區延伸至半導體基板中,其中第一閘極介電材料與第一閘極填充材料物理接觸第二隔離區的第一側壁,其中第二閘極介電材料與第二閘極填充材料物理接觸第二隔離區的第二側壁,且第二隔離區的第一側壁與第二側壁相對,其中第二隔離區延伸至層間介電層中,且其中第二隔離區包括第二介電材料。在一實施例中,半導體基板包括隆起部份,且第一鰭狀物與第二鰭狀物位於半導體基板的隆起部份上。在一實施例中,第二隔離區的下表面比半導體基板的上表面低0nm至30nm。在一實施例中,第二隔離區的高寬比介於7:1至18:1之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A、B-B、C-C‧‧‧剖面 D1、D3‧‧‧深度 D2、D4、D5、D5、D7、W4‧‧‧距離 T1、T2‧‧‧厚度 W1、W2、W3、W5、W6‧‧‧寬度 30‧‧‧鰭狀場效電晶體 32‧‧‧半導體基板 34、62‧‧‧隔離區 36‧‧‧鰭狀物 38‧‧‧閘極介電層 40‧‧‧閘極填充層 42、44、80‧‧‧源極/汲極區 50‧‧‧基板 51‧‧‧冠狀結構 52‧‧‧墊氧化物層 56‧‧‧墊氮化物層 58‧‧‧圖案化遮罩 60‧‧‧半導體帶 61‧‧‧溝槽 64‧‧‧半導體鰭狀物 65‧‧‧輕摻雜源極/汲極區 66‧‧‧虛置閘極介電層 68‧‧‧虛置閘極填充層 70、78‧‧‧遮罩 72‧‧‧光阻 75‧‧‧虛置閘極結構 87‧‧‧間隔物 88‧‧‧末端間隔物 89‧‧‧凹陷 90‧‧‧第一層間介電層 94‧‧‧功函數層 95‧‧‧第二層間介電層 96‧‧‧閘極介電層 97‧‧‧金屬閘極 98‧‧‧閘極填充層 100‧‧‧鰭狀場效電晶體裝置 102、112、113‧‧‧接點 104‧‧‧阻障層 109‧‧‧晶種層 110‧‧‧導電材料 122‧‧‧第一硬遮罩層 124‧‧‧第二硬遮罩層 125‧‧‧順應層 132‧‧‧底抗反射塗層 133‧‧‧三層結構 134‧‧‧中間層 136‧‧‧頂光阻層 137、139‧‧‧開口 140‧‧‧介電材料 141‧‧‧蝕刻開口 141A、141B‧‧‧蝕刻開口部份
圖1係一些實施例中,鰭狀場效電晶體的透視圖。 圖2、3A-3B、4、5A-7A、5B-7B、8A-8D、9A-11A、9B-11B、9C-11C係一實施例中,多種製作階段形成鰭狀場效電晶體裝置時的剖視圖或平面圖。 圖12A-12C、13A-13B、14A-14C、15A-15B、16A-16B係一實施例中,多種製作階段形成鰭狀場效電晶體裝置中的金屬閘極切點時的剖視圖或平面圖。 圖17A與17B係一實施例中,製作鰭狀場效電晶體裝置時的剖視圖。 圖18係一實施例中,製作鰭狀場效電晶體的接點時的剖視圖。 圖19係一實施例中,製作具有冠狀結構的鰭狀場效電晶體的金屬閘極切點時的剖視圖。
B-B‧‧‧剖面
D7‧‧‧距離
50‧‧‧基板
60‧‧‧半導體帶
62‧‧‧隔離區
64‧‧‧半導體鰭狀物
94‧‧‧功函數層
95‧‧‧第二層間介電層
96‧‧‧閘極介電層
97‧‧‧金屬閘極
98‧‧‧閘極填充層
100‧‧‧鰭狀場效電晶體裝置
102‧‧‧接點
104‧‧‧阻障層
109‧‧‧晶種層
110‧‧‧導電材料
140‧‧‧介電材料

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 形成一第一半導體鰭狀物與一第二半導體鰭狀物於一基板中,且該第一半導體鰭狀物與該第二半導體鰭狀物相鄰; 形成一虛置閘極結構,以延伸於該第一半導體鰭狀物與該第二半導體鰭狀物上; 沉積一第一介電材料,以圍繞該虛置閘極結構; 將該虛置閘極結構置換成一第一金屬閘極結構; 進行一蝕刻製程於該第一金屬閘極結構及該第一介電材料上,以形成一第一凹陷於該第一金屬閘極結構中,並形成一第二凹陷於該第一介電材料中,其中該第一凹陷延伸至該基板中,且其中該第二凹陷位於該第一半導體鰭狀物與該第二半導體鰭狀物之間;以及 沉積一第二介電材料於該第一凹陷中。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中蝕刻製程形成含有該第一凹陷與該第二凹陷的一凹陷。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一凹陷的深度大於該第二凹陷的深度。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二凹陷的深度小於該第一介電材料的厚度。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該蝕刻製程包括原子層蝕刻製程。
  6. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二介電材料包括氮化矽。
  7. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括沉積該第二介電材料於該第二凹陷中。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,更包括形成一第三介電材料於該第一介電材料上,且在形成該第三介電材料之後,保留該第二介電材料的一部份於該第二凹陷中。
  9. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一凹陷的頂部寬度大於該第一凹陷的底部寬度。
  10. 一種半導體裝置的形成方法,包括: 形成一鰭狀物於一半導體基板上; 形成一金屬閘極結構,以延伸於該鰭狀物上,其中該金屬閘極結構被一第一介電材料圍繞; 形成一圖案化的硬遮罩層於該金屬閘極結構及該第一介電材料上,其中該圖案化的硬遮罩層的一開口自直接位於該金屬閘極結構上的一第一區延伸至直接位於該第一介電材料上的一第二區; 採用相同的一蝕刻製程蝕刻該第一區中的該金屬閘極結構的一部份與該第二區中的該第一介電材料的一部份,其中該蝕刻製程形成一凹陷於該金屬閘極結構與該第一介電材料中,其中該凹陷在該第一區中具有一第一深度,該凹陷在該第二區中具有一第二深度,且該第一深度大於該第二深度,其中蝕刻該第一區中的該金屬閘極結構的一部份之步驟露出該半導體基板;以及 將一絕緣材料填入該凹陷。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括形成一第二介電材料於該第一介電材料及該凹陷中的該絕緣材料上。
  12. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該絕緣材料為氮化矽。
  13. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中形成該金屬閘極結構的步驟包括形成一閘極介電層、一功函數層、與一閘極填充材料,其中該閘極介電層、該功函數層、與該閘極填充材料物理接觸該絕緣材料。
  14. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括進行另一蝕刻製程以蝕刻一接點開口至該第二區中的該第一介電材料與該絕緣材料中,且在該另一蝕刻製程之後保留該絕緣材料的一部份於該第二區中。
  15. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該凹陷的高寬比介於7:1至18:1之間。
  16. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括形成一第三介電材料於該半導體基板上,其中該金屬閘極結構形成於該第三介電材料上,且該凹陷延伸穿過該第三介電材料。
  17. 一種半導體裝置,包括: 一半導體基板; 一第一鰭狀物,位於該半導體基板上; 一第二鰭狀物,位於該半導體基板上並與該第一鰭狀物相鄰; 一層間介電層,包含一第一介電材料並圍繞該第一鰭狀物與該第二鰭狀物; 一第一閘極結構,延伸於該第一鰭狀物上,其中該第一閘極結構包括一第一閘極介電材料與一第一閘極填充材料; 一第二閘極結構,延伸於該第二鰭狀物上,其中該第二閘極結構包括一第二閘極介電材料與一第二閘極填充材料;以及 一第二隔離區,位於該第一閘極結構與該第二閘極構之間,其中該第二隔離區延伸至該半導體基板中,其中該第一閘極介電材料與該第一閘極填充材料物理接觸該第二隔離區的第一側壁,其中該第二閘極介電材料與該第二閘極填充材料物理接觸該第二隔離區的第二側壁,且該第二隔離區的第一側壁與第二側壁相對,其中該第二隔離區延伸至該層間介電層中,且其中該第二隔離區包括一第二介電材料。
  18. 如申請專利範圍第17項所述之半導體裝置,其中該半導體基板包括一隆起部份,且該第一鰭狀物與該第二鰭狀物位於該半導體基板的該隆起部份上。
  19. 如申請專利範圍第17項所述之半導體裝置,其中該第二隔離區的下表面比該半導體基板的上表面低0nm至30nm。
  20. 如申請專利範圍第17項所述之半導體裝置,其中該第二隔離區的高寬比介於7:1至18:1之間。
TW108122499A 2018-06-29 2019-06-27 半導體裝置與其形成方法 TWI719518B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862692385P 2018-06-29 2018-06-29
US62/692,385 2018-06-29
US16/424,865 US10854603B2 (en) 2018-06-29 2019-05-29 Semiconductor device and method
US16/424,865 2019-05-29

Publications (2)

Publication Number Publication Date
TW202002173A true TW202002173A (zh) 2020-01-01
TWI719518B TWI719518B (zh) 2021-02-21

Family

ID=68886179

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122499A TWI719518B (zh) 2018-06-29 2019-06-27 半導體裝置與其形成方法

Country Status (5)

Country Link
US (3) US10854603B2 (zh)
KR (1) KR102233079B1 (zh)
CN (1) CN110660743B (zh)
DE (1) DE102019115481A1 (zh)
TW (1) TWI719518B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777493B (zh) * 2020-04-30 2022-09-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI780799B (zh) * 2020-07-08 2022-10-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI792170B (zh) * 2020-02-11 2023-02-11 台灣積體電路製造股份有限公司 半導體裝置與其形成方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10854603B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10797049B2 (en) * 2018-10-25 2020-10-06 Globalfoundries Inc. FinFET structure with dielectric bar containing gate to reduce effective capacitance, and method of forming same
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same
US11532479B2 (en) 2020-04-01 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate refill with void
CN113555285A (zh) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11562909B2 (en) * 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
CN113871352B (zh) * 2020-06-30 2024-03-08 中芯国际集成电路制造(上海)有限公司 半导体结构的制作方法
US11532579B2 (en) * 2020-07-13 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation structure with increased thickness for metal pads
US11664423B2 (en) * 2020-08-18 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a source/drain of a semiconductor device having an insulating stack in a recess structure
US20220157722A1 (en) * 2020-11-17 2022-05-19 Intel Corporation Buried power rails with self-aligned vias to trench contacts
US20240105453A1 (en) * 2022-09-27 2024-03-28 Intel Corporation High aspect ratio metal gate cuts

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8513078B2 (en) * 2011-12-22 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for fabricating fin devices
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9553171B2 (en) * 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105321820B (zh) 2014-07-08 2018-09-14 台湾积体电路制造股份有限公司 通过opc修改布局设计以降低拐角圆化效应
US9331074B1 (en) 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9553090B2 (en) 2015-05-29 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10062695B2 (en) * 2015-12-08 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10050043B2 (en) * 2016-01-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory (SRAM) using FinFETs with varying widths of fin structures
US10366989B2 (en) * 2016-02-10 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a contact bar over an S/D structure
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
TWI707473B (zh) 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US10177006B2 (en) * 2016-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for making multi-gate transistors and resulting structures
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10535654B2 (en) * 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10529713B2 (en) * 2018-06-08 2020-01-07 International Business Machines Corporation Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
US10854603B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792170B (zh) * 2020-02-11 2023-02-11 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
US11652005B2 (en) 2020-02-11 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
TWI777493B (zh) * 2020-04-30 2022-09-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI780799B (zh) * 2020-07-08 2022-10-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11495464B2 (en) 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
CN110660743A (zh) 2020-01-07
DE102019115481A1 (de) 2020-01-02
US20230387112A1 (en) 2023-11-30
TWI719518B (zh) 2021-02-21
KR20200002696A (ko) 2020-01-08
CN110660743B (zh) 2022-03-22
US11804488B2 (en) 2023-10-31
US10854603B2 (en) 2020-12-01
US20200006334A1 (en) 2020-01-02
KR102233079B1 (ko) 2021-03-30
US20220359505A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI719518B (zh) 半導體裝置與其形成方法
US11495501B2 (en) Fin field-effect transistor devices and methods of forming the same
TWI710060B (zh) 半導體裝置與其形成方法
TWI682443B (zh) 半導體結構與其形成方法
TW202011458A (zh) 半導體裝置的形成方法
TW201816895A (zh) 半導體結構的形成方法
TW202011518A (zh) 半導體裝置的形成方法
TW201833989A (zh) 半導體結構及其製造方法
TW202008433A (zh) 半導體裝置的形成方法
TW202145358A (zh) 半導體裝置與其形成方法
TW202038315A (zh) 半導體裝置的形成方法
TW202117855A (zh) 半導體裝置的形成方法
US20220384271A1 (en) Fin Field-Effect Transistor Devices and Methods of Forming the Same
TW202125708A (zh) 半導體裝置的製造方法
TWI765591B (zh) 半導體元件及其製造方法
TW201916116A (zh) 半導體裝置及其製造方法
US20220384617A1 (en) Semiconductor Device and Method
TW201916121A (zh) 半導體裝置的形成方法
US11769821B2 (en) Semiconductor device having a corner spacer
US11398477B2 (en) Semiconductor device and method
CN115249703A (zh) 半导体装置
TW202137292A (zh) 半導體裝置的形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI815432B (zh) 半導體裝置結構與其形成方法
TWI786704B (zh) 半導體裝置及其製造方法