TW201802987A - 具有電漿圍束特徵的基板支撐托架 - Google Patents

具有電漿圍束特徵的基板支撐托架

Info

Publication number
TW201802987A
TW201802987A TW106108302A TW106108302A TW201802987A TW 201802987 A TW201802987 A TW 201802987A TW 106108302 A TW106108302 A TW 106108302A TW 106108302 A TW106108302 A TW 106108302A TW 201802987 A TW201802987 A TW 201802987A
Authority
TW
Taiwan
Prior art keywords
disposed
heater
electrode
ground
coupled
Prior art date
Application number
TW106108302A
Other languages
English (en)
Other versions
TWI673812B (zh
Inventor
林興
周建華
愛德華P 韓蒙得五世
正約翰 葉
蘇宗輝
趙在龍
君卡洛斯 羅莎亞凡利斯
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201802987A publication Critical patent/TW201802987A/zh
Application granted granted Critical
Publication of TWI673812B publication Critical patent/TWI673812B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

提供了一種用於一加熱的基板支撐托架的方法及裝置。在一個實施例中,該加熱的基板支撐托架包括:一主體,包括一陶瓷材料;複數個加熱構件,封裝在該主體內。一幹耦合至該主體的一底面。複數個加熱器構件、一頂電極及一屏蔽電極安置在該主體內。該頂電極安置在該主體的一頂面附近,而該屏蔽電極安置在該主體的該底面附近。一導電桿被安置透過該幹且耦合至該頂電極。

Description

具有電漿圍束特徵的基板支撐托架
本文中所揭露的實施例大致關於具有電漿圍束特徵的基板支撐托架。
半導體處理涉及允許在基板上產生精密積體電路的許多不同的化學及物理程序。構成積體電路的材料層是由化學氣相沉積、物理氣相沉積、磊晶成長等等所產生的。使用光阻遮罩及濕或乾蝕刻技術來圖樣化材料層的某些部分。用以形成積體電路的基板可為矽、砷化鎵、磷化銦、玻璃或其他適當材料。
在製造積體電路時,電漿程序通常用於沉積或蝕刻各種材料層。電漿處理相對於熱處理提供了許多優點。例如,電漿強化的化學氣相沉積(PECVD)相較於在類同的熱程序所可能達成的,允許在較低溫下及以較高的沉積速率執行沉積程序。因此,PECVD對於具有嚴格熱預算的積體電路製造而言(例如對於非常大規模或超大規模積體電路(VLSI或ULSI)設備製造而言)是有利的。
用在這些程序中的處理腔室一般包括安置於其中以在處理期間支撐基板的基板支架或托架,及具有用於將處理氣體引進處理腔室之面板的蓮蓬頭。電漿是由兩個RF電極所產生的,其中面板用作頂電極。在某些程序中,托架可包括嵌式加熱器及嵌式金屬網以充當底電極。處理氣體流過蓮蓬頭,且電漿產生於兩個電極之間。在傳統的系統中,RF電流透過電漿從蓮蓬頭頂電極流至加熱器底電極。RF電流將經過托架中的鎳RF桿,且透過托架結構在內腔室壁中回傳回來。長的RF路徑導致RF功率損失。然而,更重要的是,長的鎳RF桿具有高電感,這造成高的底電極電勢,該高的底電極電勢反過來可能促進底腔室點亮(亦即寄生電漿產生)。
因此,存在改良電漿處理腔室中之RF回傳路徑的需要。
提供了一種用於一加熱的基板支撐托架的方法及裝置。在一個實施例中,該加熱的基板支撐托架包括:一主體,包括一陶瓷材料;複數個加熱構件,封裝在該主體內。一幹耦合至該主體的一底面。複數個加熱器構件、一頂電極及一屏蔽電極安置在該主體內。該頂電極安置在該主體的一頂面附近,而該屏蔽電極安置在該主體的該底面附近。一導電桿被安置透過該幹且耦合至該頂電極。
本揭示案的實施例參照電漿腔室而說明性地描述於下文,儘管本文中所述的實施例可用在其他腔室類型中及多重程序中。在一個實施例中,電漿腔室用在電漿強化的化學氣相沉積(PECVD)系統中。儘管示例性實施例包括兩個處理區域,設想的是,本文中所揭露的實施例可用以在具有單一處理區域或多於兩個處理區域的系統中得利。亦設想的是,本文中所揭露的實施例可用以在其他電漿腔室中得利,除其他腔室以外包括物理氣相沉積(PVD)腔室、原子層沉積(ALD)腔室、蝕刻腔室。
圖1為處理腔室100的部分橫截面圖。處理腔室100一般包括處理腔室主體102,該處理腔室主體具有定義處理區域120A及120B之對偶的腔室側壁112、底壁116及共享的內側壁101。處理區域120A-B中的各者被類似地配置,且為了簡要起見,僅將描述處理區域120B中的元件。
托架128透過通路122安置在處理區域120B中,該通路形成於處理腔室100中的底壁116中。托架128提供加熱器,該加熱器被調適為將基板(未圖示)支撐在其上表面上。托架128可包括加熱構件(例如電阻式加熱構件)以將基板溫度加熱及控制到需要的程序溫度。或者,可由遠端加熱構件(例如燈組件)加熱托架128。
托架128由凸緣133耦合至幹126。幹126將托架128耦合至電力出口或電箱103。電箱103可包括驅動系統,該驅動系統控制處理區域120B內之托架128的高度及移動。幹126亦包含電力介面以向托架128提供電力。例如,幹126可具有用於從電箱103向安置在托架128中的一或更多個加熱器提供電力的電氣介面。幹126亦可包括被調適為可分離地耦合至電箱103的基底組件129。周緣環135被圖示為在電箱103上方。在一個實施例中,周緣環135為被調適作為機械制動器或連接盤(land)的肩部,該機械制動器或連接盤被配置為提供基底組件129及電箱103的上表面之間的機械式介面。
桿130被安置透過形成於處理區域120B之底壁116中的通路124,且用以定位被安置透過托架128的基板升降銷161。基板升降銷161選擇性地將基板與托架隔開,以促進以自動機(未圖示)交換基板,該自動機用於透過基板傳輸端口160將基板傳輸進及出處理區域120B。
腔室帽104耦合至腔室主體102的頂端部分。帽104接納耦合至其的一或更多個氣體分佈系統108。氣體分佈系統108包括氣體入口通路140,該氣體入口通路透過蓮蓬頭組件142將反應物及清潔氣體供應進處理區域120B。蓮蓬頭組件142包括環狀基底板148,該環狀基底板具有相對於面板146中間地安置的阻斷板144。
射頻(RF)源165耦合至蓮蓬頭組件142。此配置稱為RF饋送路徑的頂部饋件。面板146可充當RF源165的頂電極。RF源165將蓮蓬頭組件142通電以促進在蓮蓬頭組件142的面板146及加熱的托架128之間產生電漿。在一個實施例中,RF源165可為高頻射頻(HFRF)電源,例如13.56 MHz RF產生器。在另一實施例中,RF源165可包括HFRF電源及低頻射頻(LFRF)電源,例如300kHz RF產生器。或者,RF源可耦合至處理腔室主體102的其他部分(例如托架128)以促進電漿產生。
介電絕緣體158安置在帽104及蓮蓬頭組件142之間,以防止將RF電力傳導至帽104。遮蔽環106可安置在托架128在托架128的所需高度接合基板的周邊上。
可選地,冷卻通道147形成於氣體分佈系統108的環狀基底板148中以在操作期間冷卻環狀基底板148。熱傳輸流體(例如水、乙烯二醇、氣體等等)可循環透過冷卻通道147,使得基底板148被維持在預定義的溫度下。
腔室襯墊組件127與腔室主體102的腔室側壁101、112非常緊鄰地安置在處理區域120B內,以防止將腔室側壁101、112暴露於處理區域120B內的處理環境。襯墊組件127包括周緣泵送腔125,該周緣泵送腔耦合至泵送系統164,該泵送系統被配置為從處理區域120B排出氣體及副產物及控制處理區域120B內的壓力。複數個排氣口131可形成於腔室襯墊組件127上。排氣口131被配置為以促進處理腔室100內之處理的方式允許氣體從處理區域120B流至周緣泵送腔125。
圖2為多區加熱器(亦即托架200)之一個實施例的示意頂視圖,該多區加熱器可用作圖1之處理腔室100中的托架128。托架200可具有外周邊284及中心202。托架200包括複數個區,該複數個區可被個別加熱,使得托架200之各區的溫度可被獨立控制。在一個實施例中,可依需要針對溫度度量個別監控及/或調整托架200的多個加熱區,以獲取需要的溫度分佈。
形成於托架200中之區的數量可依需要而變化。在圖2中所描繪的實施例中,托架200具有六個區,例如內區210、中間區220及外區280,外區280更被分成四個外區230、240、250、260。在一個實施例中,區210、220及280中的各者為同心的。作為一實例,內區210可包括從托架200的中心202延伸之從約0到約85毫米(mm)的內半徑204。中間區220可包括裡半徑,該裡半徑實質上與內區210的內半徑204類似,例如約從0至約85毫米。中間區220可從內半徑204延伸至約123 mm的外半徑206。外區280可包括實質上與中間區220的外半徑206相同的內周邊。外區280可從外半徑206延伸至約150 mm或更大的外周邊半徑208,例如約170 mm,例如約165 mm。
儘管托架200的外區280被圖示為分成四個外區230、240、250、260,區的數量可大或小於四。在一個實施例中,托架200具有四個外區230、240、250、260。因此,使得托架200成為六加熱器區托架。外區230、240、250、260可被調整形狀為環狀區段,且被分佈在內區210及中間區220周圍。四個外區230、240、250、260中的各者可實質上在形狀及尺寸上彼此類似。或者,四個外區230、240、250、260中的各者的形狀尺寸可被配置為與腔室100之處理環境中的不對稱對準。或者,四個外區230、240、250、260在形狀上可為圓形,且從中間區220向外周邊284同心地佈置。
為了控制托架200之各區210、220、230、240、250、260中的溫度,各區與一或更多個可獨立控制的加熱器相關聯。於下文進一步論述可獨立控制的加熱器。
圖3為接地之一個實施例的示意側視圖,該接地可用在圖1之電漿系統中的托架中。接地可適用於包含RF能量或允許RF能量穿過該接地。接地可為導電板、網或其他合適電極的形式,於下文中稱為接地網320。接地網320可安置在托128內的各種位置下,且將參照以下圖式來論述接地網320的若干示例性位置。接地額外具有接地塊331。接地塊331可耦合至直接接地,或透過RF源165的RF匹配來耦合至接地。接地塊331、接地網320可以鋁、鉬、鎢或其他適當導電的材料形成。
接地網320可藉由接地管375耦合至接地塊331。或者,接地網320可具有複數個傳導線,例如安置在接地塊331及接地網320之間的第一傳導線370及第二傳導線371。接地網320可包括用於允許RF傳導桿372穿過接地網320的通路。接地管375、傳導線370、371及RF傳導桿372可以鋁、鈦、鎳或其他適當導電的材料形成,且將接地網320電耦合至接地塊331。接地管375在形狀上可為具有內中空部分的圓柱形,腔室元件(例如RF陽極、陰極、加熱器電源、冷卻線路等等)可穿過該內中空部分。傳導線370可以圍繞上述腔室元件的方式類似地佈置。
圖4A為依據一個實施例之多區加熱器(亦即托架128)的橫截面示意圖,該多區加熱器可用在圖1的電漿系統中。圖4A中所繪示的托架128具有底部RF饋件。然而,應理解的是,可針對頂部RF饋件托架128輕易地重新配置,而頂及底部RF饋件之間的差異繪示於圖6及7中。托架128具有介電主體415。介電主體415可以陶瓷材料(例如AlN或其他合適的陶瓷)形成。介電主體415具有頂面482,該頂面被配置為將基板支撐在其上。介電主體415具有與頂面482相對的底面484。托架128包括附接至介電主體415之底面484的幹126。幹126被配置為管狀構件,例如中空介電軸417。幹126將托架128耦合至處理腔室100。
托架128被配置為多區加熱器,具有中心加熱器400A、中間加熱器400B及一或更多個外加熱器(說明性地在圖4A中繪示為400C-F)。中心加熱器400A、中間加熱器400B及外加熱器400C-F可用以提供托架128內的多個獨立控制的加熱區。例如,托架128可包括被配置為具有中心加熱器400A的中心區、被配置為具有中間加熱器400B的中間區及被配置為具有外加熱器400C-F的一或更多個外區,使得各加熱器與托架的加熱區(例如圖2中所示之托架200的區210、220、230、240、250、260)對準且定義該等加熱區。
介電主體415亦可在其中包括電極410,以供在托架128上方的相鄰處理區域中進行電漿產生時使用。電極410可為嵌在托架128的介電主體415中的導電板或網材料。同樣地,加熱器400A、400B、400C-F中的各者可為導線或嵌在托架128之介電主體415中的其他導電體。介電主體415可額外包括接地網320。接地網320可提供加熱器400A-F的接地罩。
可透過幹126提供加熱器400A、400B、400C-F的電導線(例如導線)以及電極410及接地網320。溫度監控設備(未圖示)(例如可撓的熱電耦)可路由透過幹126至介電主體415,以監控托架128的各種區。電源464可透過濾波器462耦合至電導線。電源464可向托架128提供交流電。濾波器462可為用於過濾來自電源464之腔室100中的RF頻率的單一頻率(例如約13.56 MHz)或其他合適的濾波器。可以光通訊控制加熱器400A-F以防止RF電力透過光連接而洩出及損傷腔室100外面的裝備。
接地網320用以減少或防止寄生電漿在托架128的底面484下方形成。接地管375亦可被配置為沿托架128的幹126抑制寄生電漿形成。例如,電漿產生時所使用的電極410可具有在幹126中心的電源導線412。RF電源導線412延伸透過腔室的接地塊331而透過匹配電路414來到RF電源416。電源416可提供用於驅動電漿的直流電。接地網320提供接地板,且將電源416及電極410與托架128之底面484下方之腔室100的部分隔離,藉此減少托架128下方之電漿形成的電勢,該電勢可能對於腔室元件造成不想要的沉積或損傷。
RF電源導線412安置在接地管375之間以防止耦合至與托架128的幹126相鄰的電漿。電導線額外包括複數個加熱器電力供應線路450A-F及加熱器電力回傳線路451A-F。加熱器電力線路450A-F提供來自電源464的電力以供加熱區中的一或更多者中的托架128。例如,加熱器電力供應線路450A及加熱器電力回傳線路451A(統稱加熱器傳導線路450、451)將中心加熱器400A連接至電源464。同樣地,加熱器電力供應線路450B、450C-F及加熱器電力回傳線路451B、451C-F可向中間加熱器400B及外加熱器400C-F提供來自電源464的電力。傳導線370或接地管375可安置在RF電源導線412(例如圖3中所繪示的桿372)及加熱器電力線路450A-F兩者之間。因此,加熱器電力線路陰極450A-F可與RF電源導線412隔離。
用以製造先進圖樣薄膜(APF)的許多材料對於基板的溫度分佈是非常敏感的,且來自需要的造成溫度分佈的偏差可能造成沉積的薄膜之屬性及效能的歪斜及其他不均勻性。為了強化溫度分佈的控制,托架128可被配置為具有六或更多個加熱器400A-F,各加熱器關聯且定義托架168的各別的加熱區,以針對托架128的頂面482提供高度彈性且可調的溫度分佈控制,且因此允許卓越地控制跨基板的程序結果,藉此控制程序歪斜。接地網320以及接地管375提供接地罩以屏蔽RF能量及圍束基板平面上方的電漿,實質上沿托架128的底面484及相鄰的幹126防止了寄生電漿形成。
圖4B為依據第二實施例之多區加熱器(亦即托架128)的橫截面示意圖,該多區加熱器可用在圖1的電漿系統中。托架128被配置為具有安置在介電主體415中的第一區加熱器401A、第二區加熱器401B及第三區加熱器401C-F。托架128額外具有電耦合至介電主體415中之電極310的RF管413(安置在幹126中)。接地管375及接地網320亦安置在托架128中。可光學地控制加熱器401A-F。溫度探針(未圖示)亦可安置在介電主體415中以提供反饋以供控制加熱器401A-F。
第一區加熱器401A被配置為向托架128的整個頂面482提供加熱源。第一區加熱器401A可用以將托架從約室溫或室溫以下加熱至約攝氏400°或更多,例如攝氏450°。第一區加熱器401A可為電阻式加熱器。第一區加熱器401A的電阻可為取決於溫度的,且隨著溫度增加而增加。第一區加熱器401A可具有大於約2 Ω(歐姆)的電阻,例如在約6 Ω至約7 Ω之間。電源464透過電源導線452A、453A而耦合以將第一區加熱器401A通電。例如,電源464可向第一區加熱器401A中的電阻提供208伏特以產生熱。
第二區加熱器401B與介電主體415中的第一區加熱器401A隔開。在一個實施例中,第二區加熱器401B被隔在第一區加熱器401A上方。第二區加熱器401B可為電阻加熱器,且具有大於約2 Ω(歐姆)的電阻,例如在約5 Ω至約6 Ω之間。第二區加熱器401B可以一方式延伸自及透過介電主體415,使得從第二區加熱器401B提供的熱沿托架128的整個頂面482傳輸。電源464透過電源導線452B、453B而耦合以將第二區加熱器401B通電。電源464可向第二區加熱器401B中的電阻提供208伏特以產生額外的熱,以將介電主體415的溫度升高至攝氏450°以上,例如攝氏550°或更多。第二區加熱器401B可在第一區加熱器401A或介電主體415達到預定溫度之後開始運作。例如,第二區加熱器401B可在介電主體415達到大於約攝氏400°或更多的溫度(例如攝氏450°)之後開啟。
第三區加熱器401C-F與介電主體415中的第二區加熱器401B隔開,例如隔在第一及第二區加熱器401A、401B上方。第三區加熱器401C-F可實質上與圖4A中的外加熱器400C-F類似,且被配置為在圖2中所描繪之介電主體415的四個外區230、240、250、260中運作。第三區加熱器401C-F可為電阻加熱器,且具有大於約2 Ω(歐姆)的電阻,例如在約5 Ω至約6 Ω之間。第三區加熱器401C-F在介電主體415的周邊上運作,且可調諧托架128之頂面482的溫度分佈。電源464透過電源導線452C-F、453C-F而耦合以將第二區加熱器401B通電。電源464可向第三區加熱器401C-F中的電阻提供208伏特以產生額外的熱,以調整介電主體415之頂面482的溫度分佈。加熱器401A-F的運作有利地利用較少的電力來加熱托架的頂面482。
RF電源導線412(耦合至電極310)被縮短了,且不延伸透過幹126。RF管413耦合至RF電源導線412。例如,RF管413可藉由硬焊、焊接、壓接及3D列印或透過其他適當導電的技術耦合至RF電源導線412。RF管413可形成自鋁、不銹鋼、鎳或其他適當導電的材料,且將電極310電耦合至RF電源416。
RF管413在形狀上可為圓柱形的。RF管413具有內區域431及外區域432。腔室元件、電源導線452A-F、453A-F等等可在從RF管413向腔室元件進行最小RF能量傳導的情況下穿過RF管413的內區域431。RF管413的外區域431可以接地管475為界。安置在電源導線452A-F、453A-F附近的RF管413防止加熱器401A-F及它們各別的電源導線452A-F、453A-F變成RF天線。接地管475防止來自RF管413的RF能量點燃與幹相鄰之托架外面的電漿。有利地,RF管413在最小寄生功率損失的情況下針對RF能量提供短的傳導路徑,同時防止加熱器變成RF天線及點燃與托架128相鄰的電漿。
圖5為多區加熱器托架128(繪示於圖2及4中)之一個實施例的橫截面示意圖,該多區加熱器托架具有較傳統系統中所使用的為短的RF桿512。RF桿512可形成自鎳或其他適當導電的材料。RF桿512具有末端514。可選電容540可安置在RF桿512的末端514附近或處。電容540可替代性地定位在不同位置下。電容540用以與加熱器電感有效地產生共振以最小化基板處的電勢因此形成虛接地以供減少底部寄生電漿。
RF電流從蓮蓬頭頂電極(亦即圖1中的面板146)向安置在托架128中的電極510流過電漿。RF電流將從電極510通向RF桿512。RF桿512將RF能量傳回RF陽極(亦即腔室側壁112、襯墊組件127或接地)。RF能量可從RF桿512穿過托架伸縮囊、接地片或其他導電路徑到達RF陽極。其為長的RF路徑,導致RF功率損失、與不同RF頻率相關聯的傳導線路損耗。長的傳統RF桿在高頻RF電漿中形成高電感,這造成導致底部腔室點亮及寄生電漿產生的高的底部電極電勢。RF桿512相較於較長的傳統RF桿被縮短了。例如,RF桿512可被縮短至傳統RF桿之長度的約1/2至約1/3之間。例如,RF桿512可具有約2吋及約5吋之間的長度,例如約2.85吋。縮短RF桿512的效果是,相較於傳統的RF桿戲劇性地減少了RF桿512的阻抗。例如,RF桿512的阻抗可為約3歐姆(Ω)至約7.5 Ω,例如約4.5 Ω。接地網320的電勢可被控制為具有非常低的電勢,這針對腔室100的底部產生了虛接地。幹126可被額外冷卻以允許在高溫應用期間由O形環進行真空密封。
圖6為具有頂部RF饋送路徑之多區加熱器之一個實施例的橫截面示意圖。腔室600繪示頂部RF饋送路徑。在RF電路中,蓮蓬頭組件142是熱點(hot)(亦即陰極),而電極510是接地(亦即陽極)。托架128被提供在處理腔室600中。處理腔室600可實質上在用途及配置上與腔室100類似或甚至相同。托架128被提供為具有接地蓋626。托架128可可選地具有電漿屏624。在存在電漿屏624的實施例中,間隙625可形成在電漿屏624及腔室側壁112之間。電漿611可被圍束在安置在托架128上的基板618上方以供處理基板618。
電漿屏624具有開口或孔洞,該等開口或孔洞允許處理氣體供應同時提供RF接地路徑流以防止電漿穿透至底部腔室環境650。其結果是,電漿611被圍束於基板618的頂部且改良了基板618之位準上方的薄膜沉積。電漿屏624可以與下文論述的接地蓋626類似的材料(例如Al)形成以提供導電性。電漿屏624可電耦合至腔室陽極,例如接地蓋626或腔室側壁112。電漿屏624可以接地板或由其他合適的技術(例如將間隙625最小化至約零)來電耦合至腔室側壁112。在一個實施例中,電漿屏距腔室側壁112約10密耳(mil)。在另一實施例中,電漿屏624觸碰腔室側壁112,亦即間隙為0.0密耳。
接地蓋626藉由產生短的RF流動路徑來最佳化回傳的RF流。接地蓋626將嵌式RF電極510與處理腔室600的底部腔室環境650屏蔽。接地蓋626為覆蓋陶瓷加熱器(亦即托架128)的導電罩。接地蓋626可以不銹鋼、鋁、導電陶瓷(像是碳化矽(SiC))或適用於高溫的其他導電材料形成。接地蓋626在RF回傳迴路的情況下充當RF接地。接地蓋626可額外連接至電漿屏624,相較於路由透過托架及處理腔室的底部而言形成有益地短的RF流動路徑。
接地蓋626可形成自適用於高溫環境中的厚的Al層。此外,接地蓋626可可選地具有嵌在其中的冷卻劑通道(未圖示)。或者,接地蓋626可形成自適用於非常高溫中的碳化矽(SiC)(非常導電的陶瓷)。在某些實施例中,接地蓋626的表面可塗以高氟耐腐蝕材料,像是釔鋁石榴石(YAG)、氧化鋁/矽/鎂/釔(AsMy)等等。接地蓋626可觸碰托架128或在其間具有小的間隙,例如約5密耳至約30密耳。在接地蓋626及托架128之間維持實質小的間隙防止間隙裡面的電漿產生。在一個實施例中,整體底部加熱器表面塗以金屬層,例如鎳。有利地,接地蓋626提供短的RF回傳路徑,且實質上消除了底部及側寄生電漿兩者。與接地蓋626結合使用的電漿屏624進一步縮短了RF回傳路徑,且將電漿圍束在托架128上方。
圖7為具有底部RF饋送路徑之多區加熱器之一個實施例的橫截面示意圖。腔室700實質上與腔室600類似,除了RF饋送位置以外。腔室700繪示頂部RF饋送路徑。托架128中的電極410由電源導線412耦合透過匹配電路414到達RF電源416。電極410向電漿611提供RF能量以供維持電漿611。從電極410處的陰極透過電漿611到蓮蓬頭組件142處的陽極形成RF電路。在RF電路中,蓮蓬頭組件142為接地(亦即陽極),而電極410為RF熱點(亦即陰極)。圖7的RF電路是圖6中所揭露之RF電路的倒轉。
托架128可在其他方面類似地被配置為具有接地蓋626及電漿屏624。電漿屏624將電漿維持在托架128上方。接地蓋626防止來自電源導線412及電極410的RF能量點燃與幹126相鄰的氣體及形成寄生電漿。圖6及7繪示以有成本效益的方式有利地抑制寄生電漿之形成的實施例,該方式並不涉及添加(亦即改變)托架128之介電主體415中的接地。
圖8A-8D繪示頂電極多區加熱器托架的各種實施例。圖8A繪示具有嵌在托架128A中之電極510的頂部受驅動RF電路。電極510由接地桿512直接耦合至接地塊331。圖8B繪示具有嵌在托架128B中之電極510的頂部受驅動RF電路。電極510耦合至接地桿512,該接地桿具有電容540以供變化阻抗。其他電路構件(例如電感)可放置在電極510及接地之間以供控制阻抗以調諧電極510的效能。圖8C繪示具有嵌在托架128C中之電極410的底部受驅動RF電路。圖8D繪示具有嵌在托架128D中之電極510的頂部受驅動RF電路。電極510具有桿512,該桿穿過接地塊331。第二RF接地網320嵌在托架128D中。終端可被焊進第二RF接地網320。安置在幹126中的中空套管812可連接至第二RF接地網320。套管812可形成自鋁(Al)或其他合適的導電材料。套管812圍繞RF桿512,且因此將屏蔽高壓RF應用中的電場。如此,可實質防止寄生電漿形成在幹126周圍。此外,接地管375在不連接至接地網320的情況下從接地塊332延伸。此配置允許將沿幹126的接地與耦合至桿512或加熱器傳導線路450、451的RF能量進一步隔離。
可關聯於圖9到11中所揭露之用於屏蔽的配置來進一步論述托架128A-128D的益處及運作。圖9為具有底部網RF路徑之多區加熱器之一個實施例的橫截面示意圖。圖10為多區加熱器之又另一實施例的橫截面示意圖,該多區加熱器具有底部網RF路徑的第二實施例。圖11為多區加熱器之又另一實施例的橫截面示意圖,該多區加熱器具有底部網RF路徑的第三實施例。圖9到圖11繪示包含RF傳導線路結構及由接地網320所提供之底部罩之替代實施例的托架928、1028、1128(亦即加熱器)。托架928、1028、1128具有複數個加熱器400且此外還配備有電極410。在一個實施例中,是針對9個加熱區而配置加熱器400,如圖2及4中所繪示。然而,應理解的是,加熱器400的配置可具有一個加熱構件、兩個加熱構件或多個加熱構件。這些配置導致了允許高度彈性的溫度控制的單區加熱器、雙區加熱器及多區加熱器。並且,以RF可為頂部驅動或底部驅動的方式繪示托架928、1028、1128。因此,儘管實施例的論述是針對底部驅動的RF,圖9-11中所揭露的實施例同等適用於頂部或底部驅動的RF電漿系統兩者。
以下論述是針對圖9中所示的托架928。托架928具有第二層的金屬網920。金屬網920安置在托架928之介電主體415之加熱器400及電極410之間。金屬網920具有傳導線路970、971。傳導線路970、971可為連接至金屬網920的金屬套管(例如導電圓柱)。傳導線路970、971安置在RF電源導線412及加熱器陽極451及陰極450之間。金屬套管(亦即傳導線路970、971)可圍繞RF電源導線412。在金屬網920上方,電極410(第一層的金屬網)充當RF熱點。此雙層的RF網(金屬網920及電極410)形成RF訊號的傳導線路結構。傳導線路的長度可用以調整基板處的電壓駐波比(VSWR)及/或電勢。傳導線路970、971充當RF接地罩以有利地控制與幹126相鄰的寄生電漿形成。
以下論述是針對圖10中所示的托架1028。托架1028具有第二層的金屬網1020。金屬網1020具有傳導線路1070、1071。金屬網1020安置在托架1028之介電主體415的加熱器400及電極410兩者下方。此金屬網1020可燒結在介電主體415的底部中。傳導線路1070、1071可為連接至金屬網1020的金屬套管(例如導電圓柱)。傳導線路1070、1071安置RF電源導線412及加熱器陽極451及陰極450(亦即加熱器傳導線路)兩者外面。金屬套管(亦即傳導線路1070、1071)可圍繞RF電源導線412及加熱器陽極451及陰極450兩者。因此,來自RF電源導線412及電極410的RF能量由金屬網1020及傳導線路1070、1071兩者所包含。此外,將RF能量耦合至加熱器陽極451及陰極450以及加熱器400的任何耦合行為被包含在金屬網1020及傳導線路1070、1071。此配置允許傳導線路的長度可用以調整基板處的電壓駐波比及/或電勢同時防止寄生電漿。
以下論述是針對圖11中所示的托架1128。托架1128具有第二層的金屬網1120。金屬網1120具有傳導線路1170、1171。金屬網1120安置在托架1128之介電主體415的加熱器400及電極410兩者下方。傳導線路1170、1171可為連接至金屬網1120的金屬套管(例如導電圓柱)。傳導線路1170、1171安置在RF電源導線412及加熱器陽極451及陰極450之間。金屬套管(亦即傳導線路1170、1171)可圍繞RF電源導線412且防止RF電源導線412與加熱器陽極451及陰極450耦合或在幹126附近形成寄生電漿。RF能量由金屬網1020及傳導線路1070、1071兩者所包含。再次地,傳導線路的長度可用以調整基板處的電壓駐波比及/或電勢同時防止寄生電漿。此外,製造了可用於加熱器400控制器佈線的空間。
本文中所揭露的實施例揭露了用以將RF電漿圍束在處理腔室(例如PECVD腔室)中之基板上方的方法及裝置。該裝置包括加熱器托架及其允許最佳化RF效能及RF一致性的RF罩配置及RF回傳迴路。在某些實施例中,RF電流透過電漿從蓮蓬頭頂電極流至加熱器底電極,其中底電極耦合至縮短的鎳RF桿以完成RF電路且在內腔室壁中將RF回傳回來。所揭露之用於縮短RF接地路徑的技術(例如短的RF桿、導電塗層、電漿罩)實質上防止了RF功率損失。此外,所揭露的技術形成較低的底電極電勢,防止了底部腔室點亮及寄生電漿產生。因此,方法及裝置將電漿圍束在面板及基板之間,消除了底部的寄生電漿。
儘管以上所述是針對本揭示案的實施例,可自行設計本揭示案之其他的及進一步的實施例而不脫離本揭示案的基本範圍,且本揭示案的範圍是由隨後的請求項所決定的。
100‧‧‧腔室
101‧‧‧腔室側壁
102‧‧‧腔室主體
103‧‧‧電箱
104‧‧‧腔室帽
106‧‧‧遮蔽環
108‧‧‧氣體分佈系統
112‧‧‧腔室側壁
116‧‧‧底壁
120A‧‧‧處理區域
120B‧‧‧處理區域
122‧‧‧通路
124‧‧‧通路
125‧‧‧周緣泵送腔
126‧‧‧幹
127‧‧‧腔室襯墊組件
128A‧‧‧托架
128B‧‧‧托架
128C‧‧‧托架
128D‧‧‧托架
128‧‧‧托架
129‧‧‧基底組件
130‧‧‧桿
131‧‧‧排氣口
133‧‧‧凸緣
135‧‧‧周緣環
140‧‧‧氣體入口通路
142‧‧‧蓮蓬頭組件
144‧‧‧阻斷板
146‧‧‧面板
147‧‧‧冷卻通道
148‧‧‧基底板
158‧‧‧介電絕緣體
160‧‧‧端口
161‧‧‧基板升降銷
164‧‧‧泵送系統
165‧‧‧RF源
168‧‧‧托架
200‧‧‧托架
202‧‧‧中心
204‧‧‧內半徑
206‧‧‧外半徑
208‧‧‧外周邊半徑
210‧‧‧內區
220‧‧‧中間區
230‧‧‧外區
240‧‧‧外區
250‧‧‧外區
260‧‧‧外區
280‧‧‧外區
284‧‧‧外周邊
310‧‧‧電極
320‧‧‧接地網
331‧‧‧接地塊
332‧‧‧接地塊
370‧‧‧第一傳導線
371‧‧‧第二傳導線
372‧‧‧RF傳導桿
375‧‧‧接地管
400A‧‧‧中心加熱器
400B‧‧‧加熱器
400C‧‧‧加熱器
400‧‧‧加熱器
401A‧‧‧第一區加熱器
401B‧‧‧第二區加熱器
401C‧‧‧第三區加熱器
410‧‧‧電極
412‧‧‧電源導線
413‧‧‧RF管
414‧‧‧匹配電路
415‧‧‧介電主體
416‧‧‧RF電源
417‧‧‧中空介電軸
431‧‧‧內區域
432‧‧‧外區域
450A‧‧‧加熱器電力線路
450B‧‧‧加熱器電力供應線路
450C‧‧‧加熱器電力供應線路
450‧‧‧加熱器傳導線路
451A‧‧‧加熱器電力回傳線路
451B‧‧‧加熱器電力回傳線路
451C‧‧‧加熱器電力回傳線路
451‧‧‧加熱器傳導線路
452A‧‧‧電源導線
452B‧‧‧電源導線
452C‧‧‧電源導線
453A‧‧‧電源導線
453B‧‧‧電源導線
462‧‧‧濾波器
464‧‧‧電源
475‧‧‧接地管
482‧‧‧頂面
484‧‧‧底面
510‧‧‧RF電極
512‧‧‧接地桿
514‧‧‧末端
540‧‧‧電容
600‧‧‧處理腔室
611‧‧‧電漿
618‧‧‧基板
624‧‧‧電漿屏
625‧‧‧間隙
626‧‧‧接地蓋
650‧‧‧底部腔室環境
700‧‧‧腔室
812‧‧‧套管
920‧‧‧金屬網
928‧‧‧托架
970‧‧‧傳導線路
971‧‧‧傳導線路
1020‧‧‧金屬網
1028‧‧‧托架
1070‧‧‧傳導線路
1071‧‧‧傳導線路
1120‧‧‧金屬網
1128‧‧‧托架
1170‧‧‧傳導線路
1171‧‧‧傳導線路
可藉由參照實施例來獲得可詳細瞭解本揭示案的以上所載特徵的方式以及更具體的說明(簡短概述於上文),該等實施例的某些部分繪示於隨附的繪圖中。然而,要注意的是,隨附繪圖僅繪示一般實施例,且因此不要被視為其範圍的限制,因為本文中所揭露的實施例可接納其他同等有效的實施例。
圖1為電漿系統之一個實施例的部分橫截面圖。
圖2為多區加熱器之一個實施例的示意頂視圖,該多區加熱器可用作圖1之電漿系統中的托架。
圖3為接地之一個實施例的示意側視圖,該接地可用在圖1之電漿系統中的托架中。
圖4A為多區加熱器之一個實施例的橫截面示意圖,該多區加熱器可用在圖1的電漿系統中。
圖4B為多區加熱器之第二實施例的橫截面示意圖,該多區加熱器可用在圖1的電漿系統中。
圖5為多區加熱器之一個實施例的橫截面示意圖,該多區加熱器具有用於電漿系統的縮短的RF桿,該電漿系統具有頂部RF饋件。
圖6為具有頂部RF饋送路徑之多區加熱器之一個實施例的橫截面示意圖。
圖7為具有底部RF饋送路徑之多區加熱器之一個實施例的橫截面示意圖。
圖8A-8D繪示頂電極多區加熱器的各種實施例。
圖9為具有底部網RF路徑之多區加熱器之一個實施例的橫截面示意圖。
圖10為多區加熱器之又另一實施例的橫截面示意圖,該多區加熱器具有底部網RF路徑的第二實施例。
圖11為多區加熱器之又另一實施例的橫截面示意圖,該多區加熱器具有底部網RF路徑的第三實施例。
為了促進瞭解,已使用了相同參考標號(於可能處)以指定普遍用於該等圖式的相同構件。可以預期的是,於一個實施例中所揭露的構件可有益地利用在其他實施例上而不用特別記載。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200‧‧‧托架
202‧‧‧中心
204‧‧‧內半徑
206‧‧‧外半徑
208‧‧‧外周邊半徑
210‧‧‧內區
220‧‧‧中間區
230‧‧‧外區
240‧‧‧外區
250‧‧‧外區
260‧‧‧外區
280‧‧‧外區
284‧‧‧外周邊

Claims (20)

  1. 一種基板支撐托架,包括: 一陶瓷主體,具有一頂面及一底面; 一幹,耦合至該主體的該底面; 一頂電極,安置在該主體內,該頂電極安置在該主體的該頂面附近; 一屏蔽電極,安置在該主體內,該屏蔽電極安置在該主體的該底面附近; 一導電桿,被安置透過該幹且耦合至該頂電極;及 複數個加熱器構件,安置在該主體內。
  2. 如請求項1所述之基板支撐托架,更包括: 一接地網,安置在該主體內,該接地網安置在該主體的該底面附近;及 一接地管,被安置透過該幹且耦合至該接地網,該接地管具有一內中空部分。
  3. 如請求項2所述之基板支撐托架,其中該導電桿被安置透過該接地管的該內中空部分。
  4. 如請求項2所述之基板支撐托架,更包括: 加熱器電力供應線路,耦合至該等加熱器構件,其中該等加熱器電力線路被安置透過該幹。
  5. 如請求項4所述之基板支撐托架,其中該加熱器電力供應線路被安置透過該接地管的該內中空部分。
  6. 如請求項4所述之基板支撐托架,其中該加熱器電力供應線路被安置在該接地管的該內中空部分外面。
  7. 如請求項4所述之基板支撐托架,其中該桿為具有一圓柱形形狀的一RF管。
  8. 如請求項7所述之基板支撐托架,其中該加熱器電力供應線路被安置在該RF管裡面。
  9. 如請求項1所述之基板支撐托架,其中該桿具有安置在與該頂電極相對之一末端處的一電容。
  10. 如請求項9所述之基板支撐托架,其中該桿透過該電容耦合至一接地,其中該電容被配置為用於變化該桿的阻抗。
  11. 一種半導體處理腔室,包括: 一主體,具有側壁、一帽及一底部,其中該等側壁、帽及底部定義一內部處理環境; 一蓮蓬頭組件,具有一面板,該面板向一RF源提供一陰極;及 一托架,安置在該處理環境中,該托架包括: 一幹; 一主體,包括一陶瓷材料,該主體具有一頂面及一底面,其中該底面耦合至該幹; 一電極,封裝在該主體內,該電極安置在該頂面附近,且具有被安置透過該幹的一中心電極; 複數個加熱器構件,封裝在該主體內,該複數個加熱器構件具有被安置透過該幹的加熱器電極;及 一底部網,封裝在該主體內,其中該中心電極安置在該底部網的一傳導及回傳電極之間。
  12. 如請求項11所述之半導體處理腔室,更包括: 一接地管,被安置透過該幹且耦合至該底部網,該接地管具有一內中空部分,其中該中心電極被安置透過該內中空部分。
  13. 如請求項12所述之基板支撐托架,其中該等加熱器電極被安置透過該接地管的該內中空部分。
  14. 如請求項12所述之基板支撐托架,其中該等加熱器電極被安置在該接地管的該內中空部分外面。
  15. 如請求項12所述之半導體處理腔室,其中該中心電極為具有一圓柱形形狀的一RF管。
  16. 如請求項15所述之半導體處理腔室,其中該加熱器電力供應線路被安置在該RF管裡面。
  17. 如請求項15所述之半導體處理腔室,其中該加熱器電力供應線路被安置在該RF管外面。
  18. 如請求項11所述之半導體處理腔室,其中該中心電極具有一電容,該電容安置在與該電極相對的一末端處而形成一虛接地。
  19. 如請求項18所述之半導體處理腔室,其中該中心電極透過該電容耦合至一接地桿,其中該電容被配置為用於變化該中心電極的阻抗。
  20. 一種基板支撐托架,包括: 一陶瓷主體,具有一頂面及一底面; 一幹,耦合至該主體的該底面; 一頂電極,安置在該主體內,該頂電極安置在該主體的該頂面附近; 複數個加熱器構件,安置在該頂電極之間的該主體內; 一屏蔽電極,安置在該主體內,該屏蔽電極安置在該主體的該底面附近; 一接地管,安置在該幹中且耦合至該屏蔽電極,其中該接地管在形狀上為一圓柱體; 複數個加熱器傳導線路,耦合至該複數個加熱器構件且安置在該接地管的該圓柱體內; 一RF管,安置在該幹中的該接地管內,且電耦合至該頂電極,其中該RF管子在形狀上是圓柱形的,且具有安置在其中的該等加熱器傳導線路。
TW106108302A 2016-04-22 2017-03-14 具有電漿圍束特徵的基板支撐托架 TWI673812B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662326588P 2016-04-22 2016-04-22
US62/326,588 2016-04-22

Publications (2)

Publication Number Publication Date
TW201802987A true TW201802987A (zh) 2018-01-16
TWI673812B TWI673812B (zh) 2019-10-01

Family

ID=60090012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106108302A TWI673812B (zh) 2016-04-22 2017-03-14 具有電漿圍束特徵的基板支撐托架

Country Status (5)

Country Link
US (2) US20170306494A1 (zh)
KR (2) KR102457649B1 (zh)
CN (1) CN109314039B (zh)
TW (1) TWI673812B (zh)
WO (1) WO2017184223A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112771654A (zh) * 2018-09-14 2021-05-07 应用材料公司 具有嵌入式rf屏蔽件的半导体基板支撑件

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US20190115246A1 (en) * 2017-10-16 2019-04-18 Applied Materials, Inc. Methods and apparatus for shielding substrate supports
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP7333346B2 (ja) * 2018-06-08 2023-08-24 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11640917B2 (en) 2018-12-07 2023-05-02 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021041002A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220073831A (ko) * 2019-10-07 2022-06-03 어플라이드 머티어리얼스, 인코포레이티드 기판 지지부를 위한 통합 전극 및 접지 평면
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
TW202125689A (zh) 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN111001503B (zh) * 2019-12-04 2021-07-09 拓荆科技股份有限公司 加热装置及温度控制喷淋组件
JP7214843B2 (ja) * 2019-12-04 2023-01-30 日本碍子株式会社 セラミックヒータ
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11450546B2 (en) 2020-04-09 2022-09-20 Applied Materials, Inc. Semiconductor substrate support with internal channels
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113823582A (zh) * 2020-06-21 2021-12-21 拓荆科技股份有限公司 用于处理站阻抗调节的装置、系统和方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220028710A1 (en) * 2020-07-21 2022-01-27 Applied Materials, Inc. Distribution components for semiconductor processing systems
EP3958297B1 (de) * 2020-08-18 2023-10-04 Siltronic AG Verfahren zum herstellen eines vakuumgreifers für halbleiterwerkstücke und vakuumgreifer
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20240098847A1 (en) 2021-01-26 2024-03-21 Sumitomo Electric Industries, Ltd. Heater
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JPWO2022264922A1 (zh) * 2021-06-15 2022-12-22
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5068061A (en) * 1989-12-08 1991-11-26 The Dow Chemical Company Electroconductive polymers containing carbonaceous fibers
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
GB2329515B (en) * 1997-09-18 2002-03-13 Trikon Equip Ltd Platen for semiconductor workpieces
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
KR20030077883A (ko) * 2002-03-27 2003-10-04 주성엔지니어링(주) 반도체 제조장치용 접지케이블
US20050224722A1 (en) * 2004-03-30 2005-10-13 Applied Materials, Inc. Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
KR101342989B1 (ko) * 2007-05-03 2013-12-18 (주)소슬 기판 에지 식각 장치
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
KR101151419B1 (ko) * 2010-07-30 2012-06-01 주식회사 플라즈마트 Rf 전력 분배 장치 및 rf 전력 분배 방법
US9123762B2 (en) * 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
WO2013130918A1 (en) * 2012-02-29 2013-09-06 Harris, Jonathan, H. Transient liquid phase, pressureless joining of aluminum nitride components
US9404176B2 (en) * 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
US9478447B2 (en) * 2012-11-26 2016-10-25 Applied Materials, Inc. Substrate support with wire mesh plasma containment
US10351956B2 (en) * 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
TWI665328B (zh) * 2014-07-02 2019-07-11 美商應用材料股份有限公司 用於電漿處理的多區域基座

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112771654A (zh) * 2018-09-14 2021-05-07 应用材料公司 具有嵌入式rf屏蔽件的半导体基板支撑件

Also Published As

Publication number Publication date
CN109314039A (zh) 2019-02-05
US20210296144A1 (en) 2021-09-23
KR102457649B1 (ko) 2022-10-20
US20170306494A1 (en) 2017-10-26
CN109314039B (zh) 2023-10-24
TWI673812B (zh) 2019-10-01
KR102158668B1 (ko) 2020-09-22
KR20200109394A (ko) 2020-09-22
KR20180127535A (ko) 2018-11-28
WO2017184223A1 (en) 2017-10-26

Similar Documents

Publication Publication Date Title
TWI673812B (zh) 具有電漿圍束特徵的基板支撐托架
JP7250098B2 (ja) 対称プラズマ処理チャンバ
CN108281342B (zh) 等离子体处理装置
JP6913761B2 (ja) 改善された電極アセンブリ
KR101881332B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
US20170040198A1 (en) Ceramic heater and esc with enhanced wafer edge performance
US11532497B2 (en) High power electrostatic chuck design with radio frequency coupling
KR20180080996A (ko) 플라즈마 처리 장치
KR20210089787A (ko) 온도에 민감한 프로세스들을 위해 열적 커플링이 개선된 정전 척
CN100477091C (zh) 处理装置
US20220364233A1 (en) Magnetically coupled rf filter for substrate processing chambers