US20170040198A1 - Ceramic heater and esc with enhanced wafer edge performance - Google Patents

Ceramic heater and esc with enhanced wafer edge performance Download PDF

Info

Publication number
US20170040198A1
US20170040198A1 US15/212,695 US201615212695A US2017040198A1 US 20170040198 A1 US20170040198 A1 US 20170040198A1 US 201615212695 A US201615212695 A US 201615212695A US 2017040198 A1 US2017040198 A1 US 2017040198A1
Authority
US
United States
Prior art keywords
electrode
electrostatic chuck
inner electrode
substrate
chuck
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/212,695
Other versions
US10950477B2 (en
Inventor
Xing Lin
Jianhua Zhou
Zheng John Ye
Jian Chen
Juan Carlos Rocha-Alvarez
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/212,695 priority Critical patent/US10950477B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ROCHA-ALVAREZ, JUAN CARLOS, YE, ZHENG JOHN, CHEN, JIAN, ZHOU, JIANHUA, LIN, Xing
Publication of US20170040198A1 publication Critical patent/US20170040198A1/en
Application granted granted Critical
Publication of US10950477B2 publication Critical patent/US10950477B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Definitions

  • Embodiments of the present disclosure generally relate to an apparatus for processing semiconductor substrates. More particularly, embodiments of the present disclosure relate to an electrostatic chuck used in a plasma chamber.
  • Plasma enhance processes such as plasma enhanced chemical vapor deposition (PECVD) process, high density plasma chemical vapor deposition (HDPCVD) process, plasma immersion ion implantation process (P3I), and plasma etch process, have become essential in semiconductor processing.
  • Plasma provides many advantages in manufacturing semiconductor devices. For example, using plasma enables a wide range of applications due to lowered processing temperature, plasma enhanced deposition has excellent gap-fill for high aspect ratio gaps and high deposition rates.
  • One problem that occurs during plasma processing is process non-uniformities proximate an edge of a substrate due to the differing electrical and thermal properties of the materials used to fabricate components of the electrostatic chuck and the substrate.
  • the electromagnetic field above the substrate is not uniform, resulting in a plasma to be formed having a plasma sheath that bends towards the substrate proximate the edge of the substrate.
  • Such bending of the plasma sheath leads to differences in the ion trajectories bombarding the substrate proximate the edge of the substrate as compared to the center of the substrate, thereby causing a non-uniform processing of the substrate and thus affecting overall critical dimension uniformity.
  • the electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, a plurality of tabs projecting from the substrate supporting surface of the chuck body, wherein the tabs are disposed around the circumference of the chuck body, an electrode embedded within the chuck body, the electrode extending radially from a center of the chuck body to a region beyond the plurality of tabs, and an RF power source coupled to the electrode through a first electrical connection.
  • the electrostatic chuck comprises a body coupled to a support stem, the body having a substrate supporting surface, an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the body, an inner electrode embedded within the body, the inner electrode extending radially from a center of the body to a region adjacent to the annular shoulder, an outer electrode embedded within the body, the outer electrode is disposed radially external to the inner electrode, the outer electrode extending radially beneath the annular shoulder, wherein the outer electrode is disposed relatively below the inner electrode, a conductive connection connecting the inner electrode and the outer electrode, and an RF power source coupled to the inner electrode through a first electrical connection.
  • the electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the chuck body, an inner electrode embedded within the chuck body, the inner electrode extending radially from a center of the chuck body to a region adjacent to the annular shoulder, an outer electrode embedded within the chuck body, the outer electrode is disposed radially external to the inner electrode, the outer electrode extending radially beneath the annular shoulder, and a first power source coupled to the outer electrode through a first variable capacitor, the first power source provides an RF bias voltage to the outer electrode via a first electrical connection.
  • FIG. 1 illustrates a cross sectional view of an exemplary PECVD system that may be used to practice various embodiments of the present disclosure.
  • FIG. 2A is a schematic cross-sectional view of an electrostatic chuck according to one embodiment of the present disclosure.
  • FIG. 2B illustrates a schematic cross-sectional view of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIG. 2C illustrates a schematic cross-sectional view of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIGS. 3A to 3C illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • FIGS. 4A to 4B illustrate schematic cross-sectional views of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIGS. 5A and 5B illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • FIG. 1 illustrates a cross sectional view of an exemplary PECVD system 100 that may be used to practice various embodiments of the present disclosure.
  • the PECVD system 100 generally includes a chamber body 102 supporting a chamber lid 104 .
  • the chamber lid 104 may be attached to the chamber body 102 by a hinge (not shown).
  • the chamber body 102 includes sidewalls 112 and a bottom wall 116 defining a processing region 120 .
  • the chamber lid 104 may include one or more gas distribution systems 108 disposed therethrough for delivering reactant and cleaning gases into the processing region 120 .
  • a circumferential pumping channel 125 formed in the sidewalls 112 and coupled to a pumping system 164 is configured for exhausting gases from the processing region 120 and controlling the pressure within the processing region 120 .
  • Two passages 122 and 124 are formed in the bottom wall 116 .
  • a stem 126 of an electrostatic chuck passes through the passage 122 .
  • a rod 130 configured to activate substrate lift pins 161 passes through the passage 124 .
  • a chamber liner 127 made of ceramic or the like is disposed in the processing region 120 to protect the sidewalls 112 from the corrosive processing environment.
  • the chamber liner 127 may be supported by a ledge 129 formed in the sidewalls 112 .
  • a plurality of exhaust ports 131 may be formed on the chamber liner 127 .
  • the plurality of exhaust ports 131 is configured to connect the processing region 120 to the pumping channel 125 .
  • the gas distribution system 108 is configured to deliver reactant and cleaning gases and is disposed through the chamber lid 104 to deliver gases into the processing region 120 .
  • the gas distribution system 108 includes a gas inlet passage 140 which delivers gas into a shower head assembly 142 .
  • the showerhead assembly 142 is comprised of an annular base plate 148 having a blocker plate 144 disposed intermediate to a faceplate 146 .
  • a cooling channel 147 is formed in the base plate 148 of the gas distribution system 108 to cool the base plate 148 during operation.
  • a cooling inlet 145 delivers a coolant fluid, such as water or the like, into the cooling channel 147 .
  • the coolant fluid exits the cooling channel 147 through a coolant outlet 149 .
  • the chamber lid 104 has matching passages to deliver gases from one or more gas inlets 168 , 163 , 169 through a remote plasma source 162 to a gas inlet manifold 167 positioned on top of the chamber lid 104 .
  • the PECVD system 100 may include one or more liquid delivery sources 150 and one or more gas sources 172 configured to provide a carrier gas and/or a precursor gas.
  • the electrostatic chuck 128 is configured for supporting and holding a substrate being processed.
  • the electrostatic chuck 128 may comprise at least one electrode 123 to which a voltage is applied to electrostatically secure a substrate thereon.
  • the electrode 123 is powered by a direct current (DC) power supply 176 connected to the electrode 123 via a low pass filter 177 .
  • the electrostatic chuck 128 may be monopolar, bipolar, tri-polar, DC, interdigitated, zonal, and the like.
  • the electrostatic chuck 128 is movably disposed in the processing region 120 driven by a drive system 103 coupled to the stem 126 .
  • the electrostatic chuck 128 may comprise heating elements, for example resistive elements, to heat a substrate positioned thereon to a desired process temperature.
  • the electrostatic chuck 128 may be heated by an outside heating element such as a lamp assembly.
  • the drive system 103 may include linear actuators, or a motor and reduction gearing assembly, to lower or raise the electrostatic chuck 128 within the processing region 120 .
  • An RF source 165 may be coupled to the shower head assembly 142 and the electrode 123 through an impedance matching circuit 173 .
  • the faceplate 146 of the showerhead assembly 142 and the electrode 123 which may be grounded via a high pass filter, such as a capacitor 178 , form a capacitive plasma generator.
  • the RF source 165 provides RF energy to the showerhead assembly 142 to facilitate generation of a capacitive plasma between the faceplate 146 of the showerhead assembly 142 and the electrostatic chuck 128 .
  • the electrode 123 provides both a ground path for the RF source 165 and an electric bias from the DC source 176 to enable electrostatic clamping of the substrate.
  • the RF source 165 may comprise a high frequency radio frequency (HFRF) power source, e.g., a 13.56 MHz RF generator, and a low frequency radio frequency (LFRF) power source, e.g., a 300 kHz RF generator.
  • HFRF high frequency radio frequency
  • LFRF low frequency radio frequency
  • the LFRF power source provides both low frequency generation and fixed match elements.
  • the HFRF power source is designed for use with a fixed match and regulates the power delivered to the load, eliminating concerns about forward and reflected power.
  • properties of a substrate secured on the electrostatic chuck 128 may be monitored during a plasma process.
  • flatness of a substrate secured on the electrostatic chuck 128 may be monitored during a plasma process.
  • flatness of a substrate secured on the electrostatic chuck 128 may be monitored by measuring characteristics of the electrostatic chuck 128 with the substrate secured thereon. Characteristics of the electrostatic chuck 128 may be measured by a sensor 174 connected with the faceplate 146 .
  • the sensor 174 may be a VI probe connected between the faceplate 146 and the impedance matching circuit 173 .
  • the senor 174 may be configured to measure capacitance between the faceplate 146 and the electrode 123 since capacitance between the faceplate 146 and the electrode 123 is effected by the flatness of a substrate 121 positioned between the faceplate 146 and the electrode 123 .
  • An electrostatic chuck such as the electrostatic chuck 128 , may have an increased capacitive reactance when a substrate disposed thereon becomes less flat.
  • a substrate is not flat, for example deformed from the heat of the plasma, there is non uniform distribution of air gap between the substrate and the electrostatic chuck 128 . Therefore, variation in flatness of the substrate in an electrostatic chuck results in variation of capacitance of the plasma reactor, which may be measured by variation of imaginary impedance of the electrostatic chuck.
  • the sensor 174 may be configured to measure impedance of the electrostatic chuck 128 by measuring voltage and current of the capacitor formed by the faceplate 146 and the electrode 123 , thereby monitoring the flatness of a substrate secured thereon.
  • the sensor 174 may be connected to a system controller 175 .
  • the system controller 175 may be configured to calculate and adjust the flatness of the substrate 121 being processed in the PECVD system 100 .
  • the system controller 175 may calculate flatness or chucking status of the substrate 121 by monitoring characteristics, such as imaginary impedance, of the electrostatic chuck 128 .
  • the system controller 175 may increase chucking power by adjusting the DC source 176 .
  • decreased flatness of the substrate 121 may be indicated by negatively increased imaginary impedance of the electrostatic chuck 128 .
  • FIG. 2A is a schematic cross-sectional view of an electrostatic chuck 208 according to one embodiment of the present disclosure.
  • the electrostatic chuck 208 may be used to replace the electrostatic chuck 128 of FIG. 1 .
  • the electrostatic chuck 208 comprises a chuck body 228 coupled to a support stem 226 .
  • the chuck body 228 has a top surface 202 configured to provide support and clamp the substrate 121 during processing.
  • the chuck body 228 of the electrostatic chuck 208 has an annular shoulder 236 disposed around the periphery of the top surface 202 .
  • the annular shoulder 236 is disposed at the periphery of the electrostatic chuck 208 .
  • the annular shoulder 236 projects from the top surface 202 and is configured to laterally confine the movement of the substrate 121 in a predetermined position.
  • the height of the annular shoulder 236 may be relatively lower or greater than the thickness of the substrate 121 .
  • the chuck body 228 comprises a single electrode 223 coupled to a conductive member 286 .
  • the electrode 223 may be a conductive mesh in substantially parallel to the substrate 121 .
  • the electrode 223 may be arranged in any configuration or pattern such that the electrodes are evenly distributed across the top surface 202 .
  • the electrode 223 may be arranged in a grid-like, a pixel-like or dot-like configuration.
  • the conductive member 286 may be a rod, a tube, wires, or the like, and be made of a conductive material, such as molybdenum (Mo), tungsten (W), or other material with a substantially similar coefficient of expansion with other materials comprising the body 228 .
  • the electrode 223 may be made from an electrically conductive material, for example, metals such as copper, nickel, chromium, aluminum, and alloys thereof.
  • the electrostatic chuck 208 uses a single piece of the electrode 223 to maintain substantially uniform voltage between the electrode 223 and the substrate 121 .
  • the electrode 223 extends from a center of the electrostatic chuck 208 to an area beyond the edge of the substrate 121 .
  • the electrode 223 may extend radially beyond the edge of the substrate 121 any distance that is suitable to provide a more uniform electromagnetic field.
  • the electrode 223 extends radially from the center of the electrostatic chuck 208 to the annular shoulder 236 .
  • the electrode 223 extends radially from the center of the electrostatic chuck 208 to a region beneath the annular shoulder 236 .
  • a more uniform electromagnetic field 237 can be produced above the substrate 121 , which in turn extends the plasma beyond the edge of the substrate.
  • a bending of the plasma sheath towards the substrate proximate the edge of the substrate is reduced or eliminated.
  • the electrode 223 may be coupled to one or more power sources.
  • the electrode 223 may be coupled to a chucking power 278 (via an electrical connection 281 ), such as a DC or AC power supply, to facilitate securing the substrate 121 on the electrostatic chuck 208 .
  • the electrode 223 may be coupled to an RF power source 276 through a matching network 277 .
  • the RF power may provide a processing power, for example a bias power to the substrate 121 to facilitate directing plasma species towards the substrate 121 .
  • the RF power source 276 may provide power up to about 12000 W at a frequency of up to about 60 MHz, or in some embodiments, about 400 kHz, or in some embodiments, about 2 MHz, or in some embodiments, about 13.56 MHz.
  • the electrode 223 may also function as an RF ground, wherein RF power is coupled to ground by a electrical connection 282 .
  • the chuck body 228 comprises, or is composed of, a dielectric material capable of providing sufficient chucking force to the substrate in a temperature range of about ⁇ 20° C. to about 850° C., such as about 350° C. to about 700° C., for example about 650° C.
  • the dielectric material may have a relatively low RF electrical field absorption that allows an RF electric field emanating from the electrode 223 to be capacitively coupled through the dielectric.
  • Suitable materials may include, but are not limited to aluminum nitride (AlN), aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ), silicon carbide, boron carbide, boron nitride, yttrium oxide, etc.
  • the chuck body 228 may include one or more embedded heaters 288 to provide heat to the chuck body 228 .
  • the heat from the heater 288 is then transferred to the substrate 121 to enhance a fabrication process, such as a deposition process.
  • the heater 288 may or may not be positioned in parallel to the electrode 223 . Although the heater 288 is shown in a position below the electrode 223 , the electrode may be disposed along the same plane as, or above the heater 288 .
  • the heater 288 may be a single continuous metal line or in the form of discrete metal lines.
  • the heater 288 may be any heating device that is suitable for providing inductive or resistive heating to the electrostatic chuck.
  • the heater 288 is coupled to a power supply 283 through the support stem 226 to supply power to the heater 288 .
  • the power supply 283 may include a direct current (DC) power source, an alternating current (AC) power source, or a combination of both.
  • the power supply 283 is an alternating current (AC) power source to provide AC signal to the heater 288 .
  • the heater 288 may be composed of a resistive metal, a resistive metal alloy, or a combination of the two. Suitable materials for the heating elements may include those with high thermal resistance, such as tungsten (W), molybdenum (Mo), titanium (Ti), or the like.
  • the heater 288 may also be fabricated with a material having thermal properties, e.g., coefficient of thermal expansion, substantially similar to that of the material comprising the chuck body 228 to reduce stress caused by mismatched thermal expansion.
  • FIG. 2B illustrates a schematic cross-sectional view of an electrostatic chuck 240 according to another embodiment of the present disclosure.
  • the electrostatic chuck 240 is substantially identical to the electrostatic chuck 208 except for a dual electrode design is adapted. For sake of clarity, only the arrangement of the electrodes will be discussed.
  • the electrostatic chuck 240 comprises two separate electrodes, i.e., an inner electrode 242 and an outer electrode 244 surrounding the inner electrode 242 .
  • the inner electrode 242 and the outer electrode 244 may be concentric.
  • the inner electrode 242 and the outer electrode 244 are not-coplanar and can be electrically connected to each other via an electrical connection 246 .
  • the outer electrode 244 is being arranged relatively above with respect to the inner electrode 242 .
  • the inner electrode 242 may be disposed such that a distance between the inner electrode 242 and the top surface 202 is the same, or different (greater or smaller) than that of a distance between the outer electrode 244 and a top surface of the annular shoulder 236 .
  • the inner electrode 242 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 244 . Suitable material for the inner and outer electrodes 242 , 244 may be those discussed above with respect to the electrode 223 .
  • the inner electrode 242 and the outer electrode 244 may be powered by the power source 276 , 278 in a way as discussed above with respect to FIG. 2A .
  • the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or a combination of both.
  • the inner electrode 242 may extend radially from a center of the electrostatic chuck 240 to an area beyond the edge of the substrate 121 . In one embodiment, the inner electrode 242 extends beyond the edge of the substrate 121 to the annular shoulder 236 , thereby providing a uniform electromagnetic field above the substrate 121 .
  • the outer electrode 244 may extend radially to a region beneath the annular shoulder 236 , thereby providing a uniform electromagnetic field above the annular shoulder 236 .
  • the combination of the inner and outer electrodes 242 , 244 provides a uniform electromagnetic field 239 above the substrate 121 and the annular shoulder 236 , extending the plasma beyond the edge of the substrate. As a result, a bending of the plasma sheath towards the substrate proximate the edge of the substrate (as discussed in the background) is reduced or eliminated.
  • FIG. 2C illustrates a schematic cross-sectional view of an electrostatic chuck 250 according to another embodiment of the present disclosure.
  • the electrostatic chuck 250 is substantially identical to the electrostatic chuck 240 except that the outer electrode is being arranged relatively below with respect to the inner electrode.
  • the electrostatic chuck 250 comprises two separate electrodes, i.e., an inner electrode 252 and an outer electrode 254 surrounding the inner electrode 252 .
  • the inner electrode 252 and the outer electrode 254 may be concentric.
  • the inner electrode 252 and the outer electrode 254 are not-coplanar and can be electrically connected to each other via a conductive connection 256 .
  • the outer electrode 254 may be disposed such that it is relatively higher the inner electrode 252 , or relatively below the inner electrode 252 as shown (that is, the distance between the outer electrode 254 and the top surface 202 of the chuck body 228 is greater than the distance between the inner electrode 252 and the top surface 202 of the chuck body 228 ). Having the outer electrode 254 disposed at an elevation lower than that of the inner electrode 252 may result in bending of the electromagnetic field proximate the edge of the substrate and thus compensating the RF standing wave effect. As a result, a uniform electromagnetic field 241 above the substrate 121 and the annular shoulder 236 is provided.
  • the inner electrode 252 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 254 . Suitable material for the inner and outer electrodes 252 , 254 may be those discussed above with respect to the electrode 223 .
  • the inner electrode 252 and the outer electrode 254 may be powered by the power source 276 , 278 in a way as discussed above with respect to FIG. 2A .
  • the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • the chuck body 228 may include one or more embedded heaters 288 to provide heat to the chuck body 228 .
  • the heater 288 may be positioned in a position below the inner electrode 252 as shown, or the heater 288 may be disposed along the same plane as, or above the inner electrode 252 .
  • the heater 288 may be a single continuous metal line or in the form of discrete metal lines.
  • the heater 288 may be any heating device that is suitable for providing inductive or resistive heating to the electrostatic chuck.
  • FIGS. 3A to 3C illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • Embodiments shown in FIGS. 3A to 3C are substantially identical to those shown in FIGS. 2A to 2C except for the annular shoulder 236 is being replaced by multiple tabs or protrusions, which serve as a mechanism to confine the movement of the substrate 121 in a predetermined position during process.
  • a plurality of tabs 310 may be equally spaced apart around the circumference of the electrostatic chuck 308 .
  • three tabs are used.
  • four tabs are used. It is contemplated that more or less tabs are also contemplated.
  • the tabs 310 project from the top surface 202 and may have a height of about 2 mm to about 5 mm. While the tab is shown and discussed, any protrusion with a suitable shape such as rectangular, rhombus, square, hemispherical, hexagonal, or triangular, or mixtures of differently shaped protrusions may also be used to confine the movement of the substrate 121 .
  • the electrode 223 extends from a center of the electrostatic chuck 308 to an area beyond the edge of the substrate 121 .
  • the electrode 223 may extend radially beyond the edge of the substrate 121 any distance suitable to provide a more uniform electromagnetic field.
  • the electrode 223 extends radially from the center of the electrostatic chuck 308 to a region beyond the tabs 310 .
  • a more uniform electromagnetic field can be produced above the substrate 121 , which in turn extends the plasma beyond the edge of the substrate.
  • the arrangement of the tabs 310 and the electrode 223 allow a very flat and uniform plasma boundary 312 contacting the upper surface of the electrostatic chuck 308 . As a result, a uniform processing of the substrate is achieved.
  • FIG. 3B is similar to FIG. 3A except that a dual electrode design, such as one shown in FIG. 2B , is adapted.
  • the electrostatic chuck 318 comprises two separate electrodes, i.e., an inner electrode 242 and an outer electrode 244 surrounding the inner electrode 242 .
  • the inner electrode 242 and the outer electrode 244 may be concentric.
  • the inner electrode 242 and the outer electrode 244 are not-coplanar and can be electrically connected to each other via an electrical connection 246 .
  • the distance between the inner electrode 242 and the top surface 202 may be greater than the distance between the outer electrode 244 and the top surface 202 as shown, or smaller than the distance between the outer electrode 244 and the top surface 202 . These distances may vary to adjust the electromagnetic field above the substrate.
  • the non-coplanar electrode design also functions as an additional way to adjust the electromagnetic field proximate the edge of the substrate.
  • the inner electrode 242 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 244 . Suitable material for the inner and outer electrodes 242 , 244 may be those discussed above with respect to the electrode 223 .
  • the inner electrode 242 and the outer electrode 244 may be powered by the power source 276 , 278 in a way as discussed above with respect to FIG. 2A .
  • the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • the inner electrode 242 may extend radially from a center of the electrostatic chuck 318 to an area beyond the edge of the substrate 121 . In one embodiment, the inner electrode 242 extends beyond the edge of the substrate 121 to the tabs 310 , thereby providing a uniform electromagnetic field above the substrate 121 .
  • the outer electrode 244 may extend radially from the edge of the substrate 121 to the edge of the periphery of the electrostatic chuck 318 , thereby providing a uniform electromagnetic field above the tabs 310 .
  • the combination of the tabs 310 and the inner and outer electrodes 242 , 244 extends the plasma beyond the edge of the substrate, providing a very flat and uniform plasma boundary 320 contacting the upper surface of the electrostatic chuck 318 . As a result, a uniform processing of the substrate is achieved.
  • FIG. 3C is similar to FIG. 3A except that the outer electrode is being arranged relatively below the inner electrode.
  • the electrostatic chuck 328 comprises two separate electrodes, i.e., an inner electrode 252 and an outer electrode 254 surrounding the inner electrode 252 .
  • the inner electrode 252 and the outer electrode 254 may be concentric.
  • the inner electrode 252 and the outer electrode 254 are not-coplanar and can be electrically connected to each other via a conductive connection 256 .
  • the non-coplanar electrode design functions as an additional way to adjust the electromagnetic field proximate the edge of the substrate.
  • the outer electrode 254 may be disposed such that it is relatively below the inner electrode 252 as shown, or relatively higher the inner electrode 252 .
  • Having the outer electrode 254 disposed at an elevation lower than that of the inner electrode 252 may result in a very flat and uniform plasma boundary 330 contacting the upper surface of the electrostatic chuck 318 . As a result, a uniform processing of the substrate is achieved.
  • the inner electrode 252 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 254 . Suitable material for the inner and outer electrodes 252 , 254 may be those discussed above with respect to the electrode 223 .
  • the inner electrode 252 and the outer electrode 254 may be powered by the power source 276 , 278 in a way as discussed above with respect to FIG. 2A .
  • the inner electrode 252 and the outer electrode 254 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • the electrostatic chuck 308 , 318 , 328 may use a ledge ring for supporting the substrate 121 .
  • the substrate 121 may sit on bumps or projections of any suitable shape such as rectangular, rhombus, square, hemispherical, hexagonal, triangular protrusions or mixtures of differently shaped protrusions.
  • FIG. 4A illustrates a schematic cross-sectional view of an electrostatic chuck 420 according to another embodiment of the present disclosure.
  • the embodiment shown in FIG. 4A is substantially identical to FIG. 3A except that an edge ring is disposed radially external to the tabs 301 .
  • an edge ring 412 is disposed atop the top surface 202 of the electrostatic chuck 420 .
  • the tabs 301 are surrounded by the edge ring 412 .
  • FIG. 4B illustrates an enlarged cross-sectional view of a portion of the electrostatic chuck 460 according to one another embodiment of the present disclosure.
  • the electrostatic chuck 460 may be identical to FIGS. 3A-3C except that a trapezoid or wedge shaped edge ring is added.
  • the tab 462 may have a trapezoid shaped to prevent the substrate 121 from sliding out of the substrate supporting surface 461 .
  • the edge ring 464 may also have a trapezoid shaped for controlling local plasma density. It has been observed that the height “H” and inner diameter “R” of the edge ring 464 defining its gas to the substrate edge can be used to modulate local plasma especially in substrate edge area.
  • the height “H” may vary between about 0.03 inches and about 0.10 inches, for example about 0.05 inches, depending upon the process application.
  • the slope 466 of the edge ring 464 may have an angle “ ⁇ ” of about 10° to 90° with respect to the substrate supporting surface 461 .
  • Table 1 below shows some examples of the angle “ ⁇ ” and the inner diameter “R” of the edge ring 464 .
  • Embodiment 1 2 3 4 5 6 7 ⁇ (degree) 90 90 10 90 10 90 10 R (in) 6.050 6.100 6.100 6.300 6.300 6.500 6.500
  • the distance “D 1 ” between the inner diameter “R” of the edge ring 464 and the outer diameter of the tab 462 is about 0.060 inches to about 0.500 inches.
  • the distance “D 2 ” between the outer diameter of the tab 462 and the inner diameter of the tab 462 is about 0.07 inches to about 0.09 inches.
  • the distance “D 3 ” between the inner diameter of the tab 462 and the edge of the substrate 121 may be about 0.040 inches to about 0.050 inches.
  • the edge rings 412 , 464 may be made of a material having the same dielectric constant as the material of the electrostatic chuck 420 , 460 . In some embodiments, the edge rings 412 , 464 may be made of a material having a dielectric constant that is different from the material of the electrostatic chuck 420 , 460 . In such a case, the edge rings 412 , 464 may be made of a material having a dielectric constant similar to that of the substrate 121 , allowing a more uniform electromagnetic field (and thus plasma 421 ) to produce above the substrate.
  • the edge rings 412 , 464 may have a thermal conductivity similar to that of the tabs 301 and the substrate 121 , thereby providing a more uniform temperature gradient proximate the edge of the substrate 121 , thus further reducing process non-uniformities.
  • the materials of the edge rings 412 , 464 can be used to module the local EM field for compensate plasma non-uniformity.
  • the materials of edge rings 412 , 464 may have different dielectric constant than the substrate 121 .
  • Suitable materials for the edge ring 412 , 464 may include, but are not limited to quartz, aluminum nitride (AlN), aluminum oxide (Al 2 O 3 ), silicon nitride (SiN), yttrium containing materials, yttrium oxide (Y 2 O 3 ), yttrium-aluminum-garnet (YAG), titanium oxide (TiO), titanium nitride (TiN), silicon carbide (SiC), ASMY (aluminum oxide silicon magnesium yttrium), high performance material (HPM) composing of a compound of Y 4 Al 2 O 9 (YAM) and a solid solution of Y 2-x Zr x O 3 (Y 2 O 3 —ZrO 2 solid solution), magnesium oxide (MgO), zirconia (ZrO 2 ), titanium carbide (TiC), boron carbide (B x C y ), boron nitride (BN), and other similar or different properties which can satisfy the
  • the electrode 223 may be two separate electrodes, i.e., an inner electrode and an outer electrode surrounding the inner electrode, arranged in a way as shown in the embodiment of FIG. 2C .
  • the inner electrode and the outer electrode may be concentric.
  • the inner electrode and the outer electrode may be not-coplanar and can be electrically connected to each other via an electrical connection to adjust the electromagnetic field proximate the edge of the substrate.
  • the outer electrode may be disposed such that it is relatively below or above the inner electrode.
  • FIGS. 5A and 5B illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • Embodiments shown in FIGS. 5A and 5B are substantially identical to those shown in FIGS. 2A to 2C except that a dual electrode having individual adjustable capability is adapted.
  • the electrostatic chuck 540 comprises two separate electrodes, i.e., an inner electrode 542 and an outer electrode 544 surrounding the inner electrode 542 .
  • the inner electrode 542 and the outer electrode 544 may be concentric.
  • the inner electrode 542 and the outer electrode 544 are coplanar.
  • the inner electrode 542 and the outer electrode 544 are non-coplanar.
  • the inner electrode 542 may be disposed relatively below the outer electrode 544 , or vice versa.
  • the inner electrode 542 may be disposed such that a distance between the inner electrode 542 and the top surface 202 is the same, or different (greater or smaller) than that of a distance between the outer electrode 544 and a top surface of the annular shoulder 236 .
  • the inner electrode 542 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 544 .
  • Suitable material for the inner and outer electrodes 542 , 544 may be those discussed above with respect to the electrode 223 .
  • the inner electrode 542 may be made from copper, nickel, or alloys thereof, while the outer electrode 544 may be made from aluminum and alloys thereof.
  • the inner electrode 542 may extend radially from a center of the electrostatic chuck 540 to an area close to the edge of the substrate 121 .
  • inner electrode 542 may extend radially from the center of the electrostatic chuck 540 to an area beyond the edge of the substrate 121 .
  • the outer electrode 544 may extend outwardly from an area close to the edge of the substrate 121 to the annular shoulder 236 , and may extend radially to a region beneath the annular shoulder 236 .
  • the inner and outer electrodes 542 , 544 therefore form two separate electrode zones which are concentric and insulated from each other. A greater or lesser number of electrodes may be employed, depending upon the application. If desired, the inner and outer electrodes 542 , 544 may not be concentric as long as they are positioned relative to each other to create a desired, spatial profile of the electromagnetic field above the substrate.
  • the inner electrode 542 may be supplied with a DC chucking voltage to electrostatically hold the substrate 121 on the electrostatic chuck 540 .
  • the inner electrode 542 may also be configured to carry both the RF bias voltage and the DC chucking voltage, both of which may be applied by power supply 576 though an electrical connection 578 .
  • the power supply 576 may include an AC voltage source for providing a plasma generating RF voltage to the inner electrode 542 and optionally, a DC voltage source for providing a chucking voltage to the inner electrode 542 .
  • the AC voltage supply provides an RF generating voltage having one or more frequencies from, for example, 400 KHz to 60 MHz at a power level of typically from about 50 to about 3000 Watts.
  • the DC voltage of about 200 to about 2000 volts may be applied to the inner electrode 542 to provide an electrostatic charge that holds the substrate 121 to the electrostatic chuck 540 .
  • the power supply 576 can also include a system controller for controlling the operation of the inner electrode 542 by directing a DC current, and RF current, or both, to the inner electrode 542 for chucking and dechucking the substrate 121 and for sustaining or energizing a plasma above the substrate 121 .
  • a variable capacitor 577 may be optionally disposed between the inner electrode 542 and the power supply 576 .
  • the variable capacitor 577 is individually controllable or tunable by a controller 579 , which functions to individually adjust the variable capacitor 577 in order to achieve a desired spatial distribution of the plasma generated within the chamber. Control of the spatial distribution of the plasma is achieved by controlling of the RF bias voltage that is coupled by the variable capacitor 577 to the inner electrode 542 .
  • the variable capacitor 577 may be tuned by the controller 579 so as to reduce the RF bias voltage applied to the inner electrode 542 , which in turn would reduce the electromagnetic field, and thus the plasma density near the center of the substrate 121 .
  • the outer electrode 544 is coupled to an RF power source 580 via an electrical connection 582 .
  • the RF power source 580 is conditioned by a matching network 584 and capacitively coupled to the outer electrode 544 by a variable capacitor 586 .
  • the matching network 584 functions to minimize the reflection of RF back from the processing chamber which would otherwise reduce the efficiency of the generated plasma. Such power reflection is generally caused by a mismatch in the impedance of the RF power source 580 and a load which is formed by the combination of the electrostatic chuck 540 and the plasma generated within the chamber (e.g., the chamber body 102 of FIG. 1 ).
  • the variable capacitor 586 is individually controllable or tunable by a controller 588 , which functions to individually adjust the variable capacitor 586 in order to achieve a desired spatial distribution of the plasma generated within the chamber. Control of the spatial distribution of the plasma is achieved by controlling of the RF bias voltage that is coupled by the variable capacitors 586 to the outer electrode 542 . For example, if it is known that the plasma density has a tendency to be lower near the edge of the substrate 121 , then the variable capacitor 586 is adjusted by the controller 588 so as to slightly increase the RF bias voltage applied to the outer electrode 544 . As a result, a uniform electromagnetic field 541 above the substrate 121 and the annular shoulder 236 is provided.
  • FIG. 5A shows the inner and outer electrodes 542 , 544 are connected to a respective variable capacitor
  • FIG. 5B shows a schematic cross-sectional view of an electrostatic chuck 560 according to another embodiment of the present disclosure. Embodiment of FIG. 5B is similar to FIG. 5A except that the inner and outer electrodes 542 , 544 are powered by the same power source.
  • the inner and outer electrodes 542 , 544 are coupled to a common power source 550 , which may include two or more power sources, such as a DC power source and an RF power source.
  • the outer electrode 544 is coupled to the power source 550 via a variable capacitor 552 while the inner electrode 542 is coupled to the power source 550 via a capacitor 554 .
  • the variable capacitor 552 is individually controllable or tunable by a controller 556 , which functions to individually adjust the variable capacitor 552 in order to achieve a desired spatial distribution of the plasma proximate the edge of the substrate 121 .
  • ratio of each end of the capacitors 552 , 554 can be adjustable.
  • Embodiment of FIG. 5B allows for a uniform electromagnetic field 561 to be created above the substrate 121 and the annular shoulder 236 .
  • Embodiments of the present disclosure provide an improved electrostatic chuck using an extending single electrode or dual electrodes (coplanar or non-coplanar) that are capable of producing an enhanced substrate edge electromagnetic field and uniform local plasma performance above the substrate disposed atop the electrostatic chuck during plasma processing processes.
  • An electrostatic chuck with dual electrodes allows individual adjustable capability to provide a uniform electromagnetic field above the substrate reduces, or eliminates a bending of a plasma sheath of a plasma formed above the substrate, which in turn minimizes the differences in the ion trajectories bombarding the substrate proximate the edge of the substrate and the center of the substrate. As a result, a uniform processing of the substrate is achieved.

Abstract

Embodiments of the present disclosure provide an improved electrostatic chuck for supporting a substrate. The electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, a plurality of tabs projecting from the substrate supporting surface of the chuck body, wherein the tabs are disposed around the circumference of the chuck body, an electrode embedded within the chuck body, the electrode extending radially from a center of the chuck body to a region beyond the plurality of tabs, and an RF power source coupled to the electrode through a first electrical connection.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. provisional patent application Ser. No. 62/202,656, filed Aug. 7, 2015, which is herein incorporated by reference.
  • FIELD
  • Embodiments of the present disclosure generally relate to an apparatus for processing semiconductor substrates. More particularly, embodiments of the present disclosure relate to an electrostatic chuck used in a plasma chamber.
  • BACKGROUND
  • Plasma enhance processes, such as plasma enhanced chemical vapor deposition (PECVD) process, high density plasma chemical vapor deposition (HDPCVD) process, plasma immersion ion implantation process (P3I), and plasma etch process, have become essential in semiconductor processing. Plasma provides many advantages in manufacturing semiconductor devices. For example, using plasma enables a wide range of applications due to lowered processing temperature, plasma enhanced deposition has excellent gap-fill for high aspect ratio gaps and high deposition rates.
  • One problem that occurs during plasma processing is process non-uniformities proximate an edge of a substrate due to the differing electrical and thermal properties of the materials used to fabricate components of the electrostatic chuck and the substrate. In addition, due to the RF standing wave effect, the electromagnetic field above the substrate is not uniform, resulting in a plasma to be formed having a plasma sheath that bends towards the substrate proximate the edge of the substrate. Such bending of the plasma sheath leads to differences in the ion trajectories bombarding the substrate proximate the edge of the substrate as compared to the center of the substrate, thereby causing a non-uniform processing of the substrate and thus affecting overall critical dimension uniformity.
  • Therefore, there is a need for an improved electrostatic chuck that provides an enhanced substrate edge electromagnetic field and uniform plasma performance.
  • SUMMARY
  • Embodiments of the present disclosure provide an improved electrostatic chuck for supporting a substrate. In one embodiment, the electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, a plurality of tabs projecting from the substrate supporting surface of the chuck body, wherein the tabs are disposed around the circumference of the chuck body, an electrode embedded within the chuck body, the electrode extending radially from a center of the chuck body to a region beyond the plurality of tabs, and an RF power source coupled to the electrode through a first electrical connection.
  • In another embodiment, the electrostatic chuck comprises a body coupled to a support stem, the body having a substrate supporting surface, an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the body, an inner electrode embedded within the body, the inner electrode extending radially from a center of the body to a region adjacent to the annular shoulder, an outer electrode embedded within the body, the outer electrode is disposed radially external to the inner electrode, the outer electrode extending radially beneath the annular shoulder, wherein the outer electrode is disposed relatively below the inner electrode, a conductive connection connecting the inner electrode and the outer electrode, and an RF power source coupled to the inner electrode through a first electrical connection.
  • In yet another embodiment, the electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the chuck body, an inner electrode embedded within the chuck body, the inner electrode extending radially from a center of the chuck body to a region adjacent to the annular shoulder, an outer electrode embedded within the chuck body, the outer electrode is disposed radially external to the inner electrode, the outer electrode extending radially beneath the annular shoulder, and a first power source coupled to the outer electrode through a first variable capacitor, the first power source provides an RF bias voltage to the outer electrode via a first electrical connection.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present disclosure, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the disclosure depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
  • FIG. 1 illustrates a cross sectional view of an exemplary PECVD system that may be used to practice various embodiments of the present disclosure.
  • FIG. 2A is a schematic cross-sectional view of an electrostatic chuck according to one embodiment of the present disclosure.
  • FIG. 2B illustrates a schematic cross-sectional view of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIG. 2C illustrates a schematic cross-sectional view of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIGS. 3A to 3C illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • FIGS. 4A to 4B illustrate schematic cross-sectional views of an electrostatic chuck according to another embodiment of the present disclosure.
  • FIGS. 5A and 5B illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION Exemplary Chamber Hardware
  • FIG. 1 illustrates a cross sectional view of an exemplary PECVD system 100 that may be used to practice various embodiments of the present disclosure. It should be noted that although a PECVD system is described in this application, apparatus of the present disclosure may apply to any suitable plasma process using an electrostatic chuck or a substrate support. The PECVD system 100 generally includes a chamber body 102 supporting a chamber lid 104. The chamber lid 104 may be attached to the chamber body 102 by a hinge (not shown). The chamber body 102 includes sidewalls 112 and a bottom wall 116 defining a processing region 120. The chamber lid 104 may include one or more gas distribution systems 108 disposed therethrough for delivering reactant and cleaning gases into the processing region 120. A circumferential pumping channel 125 formed in the sidewalls 112 and coupled to a pumping system 164 is configured for exhausting gases from the processing region 120 and controlling the pressure within the processing region 120. Two passages 122 and 124 are formed in the bottom wall 116. A stem 126 of an electrostatic chuck passes through the passage 122. A rod 130 configured to activate substrate lift pins 161 passes through the passage 124.
  • A chamber liner 127 made of ceramic or the like is disposed in the processing region 120 to protect the sidewalls 112 from the corrosive processing environment. The chamber liner 127 may be supported by a ledge 129 formed in the sidewalls 112. A plurality of exhaust ports 131 may be formed on the chamber liner 127. The plurality of exhaust ports 131 is configured to connect the processing region 120 to the pumping channel 125.
  • The gas distribution system 108 is configured to deliver reactant and cleaning gases and is disposed through the chamber lid 104 to deliver gases into the processing region 120. The gas distribution system 108 includes a gas inlet passage 140 which delivers gas into a shower head assembly 142. The showerhead assembly 142 is comprised of an annular base plate 148 having a blocker plate 144 disposed intermediate to a faceplate 146.
  • A cooling channel 147 is formed in the base plate 148 of the gas distribution system 108 to cool the base plate 148 during operation. A cooling inlet 145 delivers a coolant fluid, such as water or the like, into the cooling channel 147. The coolant fluid exits the cooling channel 147 through a coolant outlet 149.
  • The chamber lid 104 has matching passages to deliver gases from one or more gas inlets 168, 163, 169 through a remote plasma source 162 to a gas inlet manifold 167 positioned on top of the chamber lid 104. The PECVD system 100 may include one or more liquid delivery sources 150 and one or more gas sources 172 configured to provide a carrier gas and/or a precursor gas.
  • The electrostatic chuck 128 is configured for supporting and holding a substrate being processed. The electrostatic chuck 128 may comprise at least one electrode 123 to which a voltage is applied to electrostatically secure a substrate thereon. The electrode 123 is powered by a direct current (DC) power supply 176 connected to the electrode 123 via a low pass filter 177. The electrostatic chuck 128 may be monopolar, bipolar, tri-polar, DC, interdigitated, zonal, and the like.
  • In one embodiment, the electrostatic chuck 128 is movably disposed in the processing region 120 driven by a drive system 103 coupled to the stem 126. The electrostatic chuck 128 may comprise heating elements, for example resistive elements, to heat a substrate positioned thereon to a desired process temperature. Alternatively, the electrostatic chuck 128 may be heated by an outside heating element such as a lamp assembly. The drive system 103 may include linear actuators, or a motor and reduction gearing assembly, to lower or raise the electrostatic chuck 128 within the processing region 120.
  • An RF source 165 may be coupled to the shower head assembly 142 and the electrode 123 through an impedance matching circuit 173. The faceplate 146 of the showerhead assembly 142 and the electrode 123, which may be grounded via a high pass filter, such as a capacitor 178, form a capacitive plasma generator. The RF source 165 provides RF energy to the showerhead assembly 142 to facilitate generation of a capacitive plasma between the faceplate 146 of the showerhead assembly 142 and the electrostatic chuck 128. The electrode 123 provides both a ground path for the RF source 165 and an electric bias from the DC source 176 to enable electrostatic clamping of the substrate.
  • The RF source 165 may comprise a high frequency radio frequency (HFRF) power source, e.g., a 13.56 MHz RF generator, and a low frequency radio frequency (LFRF) power source, e.g., a 300 kHz RF generator. The LFRF power source provides both low frequency generation and fixed match elements. The HFRF power source is designed for use with a fixed match and regulates the power delivered to the load, eliminating concerns about forward and reflected power.
  • In certain embodiments, properties of a substrate secured on the electrostatic chuck 128 may be monitored during a plasma process. In certain embodiments, flatness of a substrate secured on the electrostatic chuck 128 may be monitored during a plasma process. In one embodiment, flatness of a substrate secured on the electrostatic chuck 128 may be monitored by measuring characteristics of the electrostatic chuck 128 with the substrate secured thereon. Characteristics of the electrostatic chuck 128 may be measured by a sensor 174 connected with the faceplate 146. The sensor 174 may be a VI probe connected between the faceplate 146 and the impedance matching circuit 173. In some embodiments, the sensor 174 may be configured to measure capacitance between the faceplate 146 and the electrode 123 since capacitance between the faceplate 146 and the electrode 123 is effected by the flatness of a substrate 121 positioned between the faceplate 146 and the electrode 123.
  • An electrostatic chuck, such as the electrostatic chuck 128, may have an increased capacitive reactance when a substrate disposed thereon becomes less flat. When a substrate is not flat, for example deformed from the heat of the plasma, there is non uniform distribution of air gap between the substrate and the electrostatic chuck 128. Therefore, variation in flatness of the substrate in an electrostatic chuck results in variation of capacitance of the plasma reactor, which may be measured by variation of imaginary impedance of the electrostatic chuck. In such a case, the sensor 174 may be configured to measure impedance of the electrostatic chuck 128 by measuring voltage and current of the capacitor formed by the faceplate 146 and the electrode 123, thereby monitoring the flatness of a substrate secured thereon.
  • As shown in FIG. 1, the sensor 174 may be connected to a system controller 175. The system controller 175 may be configured to calculate and adjust the flatness of the substrate 121 being processed in the PECVD system 100. In one embodiment, the system controller 175 may calculate flatness or chucking status of the substrate 121 by monitoring characteristics, such as imaginary impedance, of the electrostatic chuck 128. When measurement of the imaginary impedance indicates that the substrate 121 decreases in flatness, the system controller 175 may increase chucking power by adjusting the DC source 176. In one embodiment, decreased flatness of the substrate 121 may be indicated by negatively increased imaginary impedance of the electrostatic chuck 128.
  • Exemplary Electrostatic Chuck
  • FIG. 2A is a schematic cross-sectional view of an electrostatic chuck 208 according to one embodiment of the present disclosure. The electrostatic chuck 208 may be used to replace the electrostatic chuck 128 of FIG. 1. The electrostatic chuck 208 comprises a chuck body 228 coupled to a support stem 226. The chuck body 228 has a top surface 202 configured to provide support and clamp the substrate 121 during processing. The chuck body 228 of the electrostatic chuck 208 has an annular shoulder 236 disposed around the periphery of the top surface 202. The annular shoulder 236 is disposed at the periphery of the electrostatic chuck 208. The annular shoulder 236 projects from the top surface 202 and is configured to laterally confine the movement of the substrate 121 in a predetermined position. The height of the annular shoulder 236 may be relatively lower or greater than the thickness of the substrate 121.
  • The chuck body 228 comprises a single electrode 223 coupled to a conductive member 286. The electrode 223 may be a conductive mesh in substantially parallel to the substrate 121. The electrode 223 may be arranged in any configuration or pattern such that the electrodes are evenly distributed across the top surface 202. For example, the electrode 223 may be arranged in a grid-like, a pixel-like or dot-like configuration. The conductive member 286 may be a rod, a tube, wires, or the like, and be made of a conductive material, such as molybdenum (Mo), tungsten (W), or other material with a substantially similar coefficient of expansion with other materials comprising the body 228. The electrode 223 may be made from an electrically conductive material, for example, metals such as copper, nickel, chromium, aluminum, and alloys thereof.
  • In one embodiment as shown, the electrostatic chuck 208 uses a single piece of the electrode 223 to maintain substantially uniform voltage between the electrode 223 and the substrate 121. Particularly, the electrode 223 extends from a center of the electrostatic chuck 208 to an area beyond the edge of the substrate 121. The electrode 223 may extend radially beyond the edge of the substrate 121 any distance that is suitable to provide a more uniform electromagnetic field. In one example, the electrode 223 extends radially from the center of the electrostatic chuck 208 to the annular shoulder 236. In another example, the electrode 223 extends radially from the center of the electrostatic chuck 208 to a region beneath the annular shoulder 236. By extending the electrode 223 beyond the edge of the substrate 121, a more uniform electromagnetic field 237 can be produced above the substrate 121, which in turn extends the plasma beyond the edge of the substrate. As a result, a bending of the plasma sheath towards the substrate proximate the edge of the substrate (as discussed in the background) is reduced or eliminated.
  • The electrode 223 may be coupled to one or more power sources. For example, the electrode 223 may be coupled to a chucking power 278 (via an electrical connection 281), such as a DC or AC power supply, to facilitate securing the substrate 121 on the electrostatic chuck 208. In some embodiments, the electrode 223 may be coupled to an RF power source 276 through a matching network 277. The RF power may provide a processing power, for example a bias power to the substrate 121 to facilitate directing plasma species towards the substrate 121. The RF power source 276 may provide power up to about 12000 W at a frequency of up to about 60 MHz, or in some embodiments, about 400 kHz, or in some embodiments, about 2 MHz, or in some embodiments, about 13.56 MHz. The electrode 223 may also function as an RF ground, wherein RF power is coupled to ground by a electrical connection 282.
  • The chuck body 228 comprises, or is composed of, a dielectric material capable of providing sufficient chucking force to the substrate in a temperature range of about −20° C. to about 850° C., such as about 350° C. to about 700° C., for example about 650° C. The dielectric material may have a relatively low RF electrical field absorption that allows an RF electric field emanating from the electrode 223 to be capacitively coupled through the dielectric. Suitable materials may include, but are not limited to aluminum nitride (AlN), aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon carbide, boron carbide, boron nitride, yttrium oxide, etc.
  • In some embodiments, the chuck body 228 may include one or more embedded heaters 288 to provide heat to the chuck body 228. The heat from the heater 288 is then transferred to the substrate 121 to enhance a fabrication process, such as a deposition process. The heater 288 may or may not be positioned in parallel to the electrode 223. Although the heater 288 is shown in a position below the electrode 223, the electrode may be disposed along the same plane as, or above the heater 288. The heater 288 may be a single continuous metal line or in the form of discrete metal lines. The heater 288 may be any heating device that is suitable for providing inductive or resistive heating to the electrostatic chuck.
  • The heater 288 is coupled to a power supply 283 through the support stem 226 to supply power to the heater 288. The power supply 283 may include a direct current (DC) power source, an alternating current (AC) power source, or a combination of both. In one embodiment, the power supply 283 is an alternating current (AC) power source to provide AC signal to the heater 288. The heater 288 may be composed of a resistive metal, a resistive metal alloy, or a combination of the two. Suitable materials for the heating elements may include those with high thermal resistance, such as tungsten (W), molybdenum (Mo), titanium (Ti), or the like. The heater 288 may also be fabricated with a material having thermal properties, e.g., coefficient of thermal expansion, substantially similar to that of the material comprising the chuck body 228 to reduce stress caused by mismatched thermal expansion.
  • FIG. 2B illustrates a schematic cross-sectional view of an electrostatic chuck 240 according to another embodiment of the present disclosure. The electrostatic chuck 240 is substantially identical to the electrostatic chuck 208 except for a dual electrode design is adapted. For sake of clarity, only the arrangement of the electrodes will be discussed. In this embodiment, the electrostatic chuck 240 comprises two separate electrodes, i.e., an inner electrode 242 and an outer electrode 244 surrounding the inner electrode 242. The inner electrode 242 and the outer electrode 244 may be concentric. In one embodiment, the inner electrode 242 and the outer electrode 244 are not-coplanar and can be electrically connected to each other via an electrical connection 246. In one aspect as shown, the outer electrode 244 is being arranged relatively above with respect to the inner electrode 242. The inner electrode 242 may be disposed such that a distance between the inner electrode 242 and the top surface 202 is the same, or different (greater or smaller) than that of a distance between the outer electrode 244 and a top surface of the annular shoulder 236.
  • The inner electrode 242 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 244. Suitable material for the inner and outer electrodes 242, 244 may be those discussed above with respect to the electrode 223. The inner electrode 242 and the outer electrode 244 may be powered by the power source 276, 278 in a way as discussed above with respect to FIG. 2A. Alternatively, the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or a combination of both.
  • The inner electrode 242 may extend radially from a center of the electrostatic chuck 240 to an area beyond the edge of the substrate 121. In one embodiment, the inner electrode 242 extends beyond the edge of the substrate 121 to the annular shoulder 236, thereby providing a uniform electromagnetic field above the substrate 121. The outer electrode 244 may extend radially to a region beneath the annular shoulder 236, thereby providing a uniform electromagnetic field above the annular shoulder 236. The combination of the inner and outer electrodes 242, 244 provides a uniform electromagnetic field 239 above the substrate 121 and the annular shoulder 236, extending the plasma beyond the edge of the substrate. As a result, a bending of the plasma sheath towards the substrate proximate the edge of the substrate (as discussed in the background) is reduced or eliminated.
  • FIG. 2C illustrates a schematic cross-sectional view of an electrostatic chuck 250 according to another embodiment of the present disclosure. The electrostatic chuck 250 is substantially identical to the electrostatic chuck 240 except that the outer electrode is being arranged relatively below with respect to the inner electrode. In this embodiment, the electrostatic chuck 250 comprises two separate electrodes, i.e., an inner electrode 252 and an outer electrode 254 surrounding the inner electrode 252. The inner electrode 252 and the outer electrode 254 may be concentric. In one embodiment, the inner electrode 252 and the outer electrode 254 are not-coplanar and can be electrically connected to each other via a conductive connection 256. The outer electrode 254 may be disposed such that it is relatively higher the inner electrode 252, or relatively below the inner electrode 252 as shown (that is, the distance between the outer electrode 254 and the top surface 202 of the chuck body 228 is greater than the distance between the inner electrode 252 and the top surface 202 of the chuck body 228). Having the outer electrode 254 disposed at an elevation lower than that of the inner electrode 252 may result in bending of the electromagnetic field proximate the edge of the substrate and thus compensating the RF standing wave effect. As a result, a uniform electromagnetic field 241 above the substrate 121 and the annular shoulder 236 is provided.
  • Similarly, the inner electrode 252 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 254. Suitable material for the inner and outer electrodes 252, 254 may be those discussed above with respect to the electrode 223. The inner electrode 252 and the outer electrode 254 may be powered by the power source 276, 278 in a way as discussed above with respect to FIG. 2A. Alternatively, the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • In some embodiments, the chuck body 228 may include one or more embedded heaters 288 to provide heat to the chuck body 228. The heater 288 may be positioned in a position below the inner electrode 252 as shown, or the heater 288 may be disposed along the same plane as, or above the inner electrode 252. The heater 288 may be a single continuous metal line or in the form of discrete metal lines. The heater 288 may be any heating device that is suitable for providing inductive or resistive heating to the electrostatic chuck.
  • FIGS. 3A to 3C illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure. Embodiments shown in FIGS. 3A to 3C are substantially identical to those shown in FIGS. 2A to 2C except for the annular shoulder 236 is being replaced by multiple tabs or protrusions, which serve as a mechanism to confine the movement of the substrate 121 in a predetermined position during process. In the embodiment of FIG. 3A, a plurality of tabs 310 (only two tabs are shown) may be equally spaced apart around the circumference of the electrostatic chuck 308. In one example, three tabs are used. In another example, four tabs are used. It is contemplated that more or less tabs are also contemplated. The tabs 310 project from the top surface 202 and may have a height of about 2 mm to about 5 mm. While the tab is shown and discussed, any protrusion with a suitable shape such as rectangular, rhombus, square, hemispherical, hexagonal, or triangular, or mixtures of differently shaped protrusions may also be used to confine the movement of the substrate 121.
  • Similar to the embodiment of FIG. 2A, the electrode 223 extends from a center of the electrostatic chuck 308 to an area beyond the edge of the substrate 121. The electrode 223 may extend radially beyond the edge of the substrate 121 any distance suitable to provide a more uniform electromagnetic field. In one example, the electrode 223 extends radially from the center of the electrostatic chuck 308 to a region beyond the tabs 310. By extending the electrode 223 beyond the edge of the substrate 121, a more uniform electromagnetic field can be produced above the substrate 121, which in turn extends the plasma beyond the edge of the substrate. The arrangement of the tabs 310 and the electrode 223 allow a very flat and uniform plasma boundary 312 contacting the upper surface of the electrostatic chuck 308. As a result, a uniform processing of the substrate is achieved.
  • FIG. 3B is similar to FIG. 3A except that a dual electrode design, such as one shown in FIG. 2B, is adapted. Like the embodiment of FIG. 2B, the electrostatic chuck 318 comprises two separate electrodes, i.e., an inner electrode 242 and an outer electrode 244 surrounding the inner electrode 242. The inner electrode 242 and the outer electrode 244 may be concentric. In one embodiment, the inner electrode 242 and the outer electrode 244 are not-coplanar and can be electrically connected to each other via an electrical connection 246. The distance between the inner electrode 242 and the top surface 202 may be greater than the distance between the outer electrode 244 and the top surface 202 as shown, or smaller than the distance between the outer electrode 244 and the top surface 202. These distances may vary to adjust the electromagnetic field above the substrate. The non-coplanar electrode design also functions as an additional way to adjust the electromagnetic field proximate the edge of the substrate.
  • The inner electrode 242 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 244. Suitable material for the inner and outer electrodes 242, 244 may be those discussed above with respect to the electrode 223. The inner electrode 242 and the outer electrode 244 may be powered by the power source 276, 278 in a way as discussed above with respect to FIG. 2A. Alternatively, the inner electrode 242 and the outer electrode 244 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • The inner electrode 242 may extend radially from a center of the electrostatic chuck 318 to an area beyond the edge of the substrate 121. In one embodiment, the inner electrode 242 extends beyond the edge of the substrate 121 to the tabs 310, thereby providing a uniform electromagnetic field above the substrate 121. The outer electrode 244 may extend radially from the edge of the substrate 121 to the edge of the periphery of the electrostatic chuck 318, thereby providing a uniform electromagnetic field above the tabs 310. The combination of the tabs 310 and the inner and outer electrodes 242, 244 extends the plasma beyond the edge of the substrate, providing a very flat and uniform plasma boundary 320 contacting the upper surface of the electrostatic chuck 318. As a result, a uniform processing of the substrate is achieved.
  • FIG. 3C is similar to FIG. 3A except that the outer electrode is being arranged relatively below the inner electrode. Like the embodiment of FIG. 2C, the electrostatic chuck 328 comprises two separate electrodes, i.e., an inner electrode 252 and an outer electrode 254 surrounding the inner electrode 252. The inner electrode 252 and the outer electrode 254 may be concentric. In one embodiment, the inner electrode 252 and the outer electrode 254 are not-coplanar and can be electrically connected to each other via a conductive connection 256. The non-coplanar electrode design functions as an additional way to adjust the electromagnetic field proximate the edge of the substrate. The outer electrode 254 may be disposed such that it is relatively below the inner electrode 252 as shown, or relatively higher the inner electrode 252. Having the outer electrode 254 disposed at an elevation lower than that of the inner electrode 252 may result in a very flat and uniform plasma boundary 330 contacting the upper surface of the electrostatic chuck 318. As a result, a uniform processing of the substrate is achieved.
  • The inner electrode 252 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 254. Suitable material for the inner and outer electrodes 252, 254 may be those discussed above with respect to the electrode 223. The inner electrode 252 and the outer electrode 254 may be powered by the power source 276, 278 in a way as discussed above with respect to FIG. 2A. Alternatively, the inner electrode 252 and the outer electrode 254 may be powered by respective power source, which may be an RF power source, a DC power source, or in combination.
  • In some embodiments, instead of using the tabs 310, the electrostatic chuck 308, 318, 328 may use a ledge ring for supporting the substrate 121. Alternatively, the substrate 121 may sit on bumps or projections of any suitable shape such as rectangular, rhombus, square, hemispherical, hexagonal, triangular protrusions or mixtures of differently shaped protrusions.
  • FIG. 4A illustrates a schematic cross-sectional view of an electrostatic chuck 420 according to another embodiment of the present disclosure. The embodiment shown in FIG. 4A is substantially identical to FIG. 3A except that an edge ring is disposed radially external to the tabs 301. In this embodiment, an edge ring 412 is disposed atop the top surface 202 of the electrostatic chuck 420. The tabs 301 are surrounded by the edge ring 412.
  • FIG. 4B illustrates an enlarged cross-sectional view of a portion of the electrostatic chuck 460 according to one another embodiment of the present disclosure. The electrostatic chuck 460 may be identical to FIGS. 3A-3C except that a trapezoid or wedge shaped edge ring is added. In the embodiment as shown, the tab 462 may have a trapezoid shaped to prevent the substrate 121 from sliding out of the substrate supporting surface 461. The edge ring 464 may also have a trapezoid shaped for controlling local plasma density. It has been observed that the height “H” and inner diameter “R” of the edge ring 464 defining its gas to the substrate edge can be used to modulate local plasma especially in substrate edge area. The height “H” may vary between about 0.03 inches and about 0.10 inches, for example about 0.05 inches, depending upon the process application. In some configurations, the slope 466 of the edge ring 464 may have an angle “α” of about 10° to 90° with respect to the substrate supporting surface 461.
  • Table 1 below shows some examples of the angle “α” and the inner diameter “R” of the edge ring 464.
  • TABLE 1
    Embodiment
    1 2 3 4 5 6 7
    α (degree) 90 90 10 90 10 90 10
    R (in) 6.050 6.100 6.100 6.300 6.300 6.500 6.500
  • In some embodiments, the distance “D1” between the inner diameter “R” of the edge ring 464 and the outer diameter of the tab 462 is about 0.060 inches to about 0.500 inches. The distance “D2” between the outer diameter of the tab 462 and the inner diameter of the tab 462 is about 0.07 inches to about 0.09 inches. The distance “D3” between the inner diameter of the tab 462 and the edge of the substrate 121 may be about 0.040 inches to about 0.050 inches.
  • In some embodiments, the edge rings 412, 464 may be made of a material having the same dielectric constant as the material of the electrostatic chuck 420, 460. In some embodiments, the edge rings 412, 464 may be made of a material having a dielectric constant that is different from the material of the electrostatic chuck 420, 460. In such a case, the edge rings 412, 464 may be made of a material having a dielectric constant similar to that of the substrate 121, allowing a more uniform electromagnetic field (and thus plasma 421) to produce above the substrate. The edge rings 412, 464 may have a thermal conductivity similar to that of the tabs 301 and the substrate 121, thereby providing a more uniform temperature gradient proximate the edge of the substrate 121, thus further reducing process non-uniformities.
  • In either configuration shown in FIGS. 4A or 4B, the materials of the edge rings 412, 464 can be used to module the local EM field for compensate plasma non-uniformity. The materials of edge rings 412, 464 may have different dielectric constant than the substrate 121. Suitable materials for the edge ring 412, 464 may include, but are not limited to quartz, aluminum nitride (AlN), aluminum oxide (Al2O3), silicon nitride (SiN), yttrium containing materials, yttrium oxide (Y2O3), yttrium-aluminum-garnet (YAG), titanium oxide (TiO), titanium nitride (TiN), silicon carbide (SiC), ASMY (aluminum oxide silicon magnesium yttrium), high performance material (HPM) composing of a compound of Y4Al2O9 (YAM) and a solid solution of Y2-xZrxO3(Y2O3—ZrO2 solid solution), magnesium oxide (MgO), zirconia (ZrO2), titanium carbide (TiC), boron carbide (BxCy), boron nitride (BN), and other similar or different properties which can satisfy the same requirement discussed herein.
  • In some embodiments, the electrode 223 may be two separate electrodes, i.e., an inner electrode and an outer electrode surrounding the inner electrode, arranged in a way as shown in the embodiment of FIG. 2C. The inner electrode and the outer electrode may be concentric. The inner electrode and the outer electrode may be not-coplanar and can be electrically connected to each other via an electrical connection to adjust the electromagnetic field proximate the edge of the substrate. The outer electrode may be disposed such that it is relatively below or above the inner electrode.
  • FIGS. 5A and 5B illustrate schematic cross-sectional views of an electrostatic chuck according to embodiments of the present disclosure. Embodiments shown in FIGS. 5A and 5B are substantially identical to those shown in FIGS. 2A to 2C except that a dual electrode having individual adjustable capability is adapted. In the embodiment shown in FIG. 5A, the electrostatic chuck 540 comprises two separate electrodes, i.e., an inner electrode 542 and an outer electrode 544 surrounding the inner electrode 542. The inner electrode 542 and the outer electrode 544 may be concentric. In one embodiment, the inner electrode 542 and the outer electrode 544 are coplanar. In one embodiment, the inner electrode 542 and the outer electrode 544 are non-coplanar. For example, the inner electrode 542 may be disposed relatively below the outer electrode 544, or vice versa. Alternatively, the inner electrode 542 may be disposed such that a distance between the inner electrode 542 and the top surface 202 is the same, or different (greater or smaller) than that of a distance between the outer electrode 544 and a top surface of the annular shoulder 236.
  • The inner electrode 542 may be fabricated from the same, or in some embodiments, a different material, than the outer electrode 544. Suitable material for the inner and outer electrodes 542, 544 may be those discussed above with respect to the electrode 223. For example, the inner electrode 542 may be made from copper, nickel, or alloys thereof, while the outer electrode 544 may be made from aluminum and alloys thereof.
  • The inner electrode 542 may extend radially from a center of the electrostatic chuck 540 to an area close to the edge of the substrate 121. Alternatively, inner electrode 542 may extend radially from the center of the electrostatic chuck 540 to an area beyond the edge of the substrate 121. The outer electrode 544 may extend outwardly from an area close to the edge of the substrate 121 to the annular shoulder 236, and may extend radially to a region beneath the annular shoulder 236. The inner and outer electrodes 542, 544 therefore form two separate electrode zones which are concentric and insulated from each other. A greater or lesser number of electrodes may be employed, depending upon the application. If desired, the inner and outer electrodes 542, 544 may not be concentric as long as they are positioned relative to each other to create a desired, spatial profile of the electromagnetic field above the substrate.
  • The inner electrode 542 may be supplied with a DC chucking voltage to electrostatically hold the substrate 121 on the electrostatic chuck 540. The inner electrode 542 may also be configured to carry both the RF bias voltage and the DC chucking voltage, both of which may be applied by power supply 576 though an electrical connection 578. The power supply 576 may include an AC voltage source for providing a plasma generating RF voltage to the inner electrode 542 and optionally, a DC voltage source for providing a chucking voltage to the inner electrode 542. The AC voltage supply provides an RF generating voltage having one or more frequencies from, for example, 400 KHz to 60 MHz at a power level of typically from about 50 to about 3000 Watts. The DC voltage of about 200 to about 2000 volts may be applied to the inner electrode 542 to provide an electrostatic charge that holds the substrate 121 to the electrostatic chuck 540. The power supply 576 can also include a system controller for controlling the operation of the inner electrode 542 by directing a DC current, and RF current, or both, to the inner electrode 542 for chucking and dechucking the substrate 121 and for sustaining or energizing a plasma above the substrate 121.
  • In some embodiments where the power supply 576 provides RF bias voltage, a variable capacitor 577 may be optionally disposed between the inner electrode 542 and the power supply 576. The variable capacitor 577 is individually controllable or tunable by a controller 579, which functions to individually adjust the variable capacitor 577 in order to achieve a desired spatial distribution of the plasma generated within the chamber. Control of the spatial distribution of the plasma is achieved by controlling of the RF bias voltage that is coupled by the variable capacitor 577 to the inner electrode 542. For example, the variable capacitor 577 may be tuned by the controller 579 so as to reduce the RF bias voltage applied to the inner electrode 542, which in turn would reduce the electromagnetic field, and thus the plasma density near the center of the substrate 121.
  • The outer electrode 544 is coupled to an RF power source 580 via an electrical connection 582. The RF power source 580 is conditioned by a matching network 584 and capacitively coupled to the outer electrode 544 by a variable capacitor 586. The matching network 584 functions to minimize the reflection of RF back from the processing chamber which would otherwise reduce the efficiency of the generated plasma. Such power reflection is generally caused by a mismatch in the impedance of the RF power source 580 and a load which is formed by the combination of the electrostatic chuck 540 and the plasma generated within the chamber (e.g., the chamber body 102 of FIG. 1).
  • The variable capacitor 586 is individually controllable or tunable by a controller 588, which functions to individually adjust the variable capacitor 586 in order to achieve a desired spatial distribution of the plasma generated within the chamber. Control of the spatial distribution of the plasma is achieved by controlling of the RF bias voltage that is coupled by the variable capacitors 586 to the outer electrode 542. For example, if it is known that the plasma density has a tendency to be lower near the edge of the substrate 121, then the variable capacitor 586 is adjusted by the controller 588 so as to slightly increase the RF bias voltage applied to the outer electrode 544. As a result, a uniform electromagnetic field 541 above the substrate 121 and the annular shoulder 236 is provided.
  • While FIG. 5A shows the inner and outer electrodes 542, 544 are connected to a respective variable capacitor, in some embodiments only one of the inner and outer electrodes 542, 544 is connected to a variable capacitor. FIG. 5B shows a schematic cross-sectional view of an electrostatic chuck 560 according to another embodiment of the present disclosure. Embodiment of FIG. 5B is similar to FIG. 5A except that the inner and outer electrodes 542, 544 are powered by the same power source. In this embodiment, the inner and outer electrodes 542, 544 are coupled to a common power source 550, which may include two or more power sources, such as a DC power source and an RF power source. In one embodiment, the outer electrode 544 is coupled to the power source 550 via a variable capacitor 552 while the inner electrode 542 is coupled to the power source 550 via a capacitor 554. The variable capacitor 552 is individually controllable or tunable by a controller 556, which functions to individually adjust the variable capacitor 552 in order to achieve a desired spatial distribution of the plasma proximate the edge of the substrate 121. By adjusting the position of the capacitor 554, ratio of each end of the capacitors 552, 554 can be adjustable. Embodiment of FIG. 5B allows for a uniform electromagnetic field 561 to be created above the substrate 121 and the annular shoulder 236.
  • Embodiments of the present disclosure provide an improved electrostatic chuck using an extending single electrode or dual electrodes (coplanar or non-coplanar) that are capable of producing an enhanced substrate edge electromagnetic field and uniform local plasma performance above the substrate disposed atop the electrostatic chuck during plasma processing processes. An electrostatic chuck with dual electrodes allows individual adjustable capability to provide a uniform electromagnetic field above the substrate reduces, or eliminates a bending of a plasma sheath of a plasma formed above the substrate, which in turn minimizes the differences in the ion trajectories bombarding the substrate proximate the edge of the substrate and the center of the substrate. As a result, a uniform processing of the substrate is achieved.
  • While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof.

Claims (20)

1. An electrostatic chuck for supporting a substrate, comprising:
a chuck body coupled to a support stem, the chuck body having a substrate supporting surface;
a plurality of tabs projecting from the substrate supporting surface of the chuck body, wherein the plurality of tabs are disposed around the circumference of the chuck body;
an electrode embedded within the chuck body, the electrode extending radially from a center of the chuck body to a region beyond the plurality of tabs; and
an RF power source coupled to the electrode through a first electrical connection.
2. The electrostatic chuck of claim 1, further comprising:
a chucking power source coupling to the electrode via a second electrical connection.
3. The electrostatic chuck of claim 1, further comprising:
an edge ring disposed around the plurality of tabs, wherein the edge ring is made of a material having a dielectric constant similar to that of a substrate to be disposed on the substrate supporting surface.
4. The electrostatic chuck of claim 1, wherein the electrode comprises an inner electrode and an outer electrode surrounding the inner electrode.
5. The electrostatic chuck of claim 4, wherein the inner electrode and the outer electrode are non-coplanar.
6. The electrostatic chuck of claim 5, wherein the inner electrode is disposed relatively below the outer electrode.
7. The electrostatic chuck of claim 1, wherein the chuck body comprises aluminum nitride or aluminum oxide.
8. An electrostatic chuck for supporting a substrate, comprising:
a body coupled to a support stem, the body having a substrate supporting surface;
an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the body;
an inner electrode embedded within the body, the inner electrode extending radially from a center of the body to a region adjacent to the annular shoulder;
an outer electrode embedded within the body, wherein the outer electrode is disposed radially external to the inner electrode, the outer electrode extends radially beneath the annular shoulder, and the outer electrode is disposed relatively below the inner electrode;
a conductive connection connecting the inner electrode and the outer electrode; and
an RF power source coupled to the inner electrode through a first electrical connection.
9. The electrostatic chuck of claim 8, further comprising:
a chucking power source coupling to the inner electrode via a second electrical connection.
10. The electrostatic chuck of claim 8, further comprising:
one or more heating elements embedded in the body, wherein the heating elements are disposed along the same plane as the inner electrode.
11. An electrostatic chuck for supporting a substrate, comprising:
a chuck body coupled to a support stem, the chuck body having a substrate supporting surface;
an annular shoulder projecting from the substrate supporting surface, the annular shoulder being disposed at the periphery of the chuck body;
an inner electrode embedded within the chuck body, the inner electrode extending radially from a center of the chuck body to a region adjacent to the annular shoulder;
an outer electrode embedded within the chuck body, the outer electrode is disposed radially external to the inner electrode, the outer electrode extending radially beneath the annular shoulder; and
a first power source coupled to the outer electrode through a first variable capacitor, the first power source provides an RF bias voltage to the outer electrode via a first electrical connection.
12. The electrostatic chuck of claim 11, wherein the first power source coupled to the inner electrode through a second variable capacitor.
13. The electrostatic chuck of claim 12, wherein the first power source provides a DC chucking voltage and a RF bias voltage.
14. The electrostatic chuck of claim 11, further comprising:
a second power source coupled to the inner electrode, the second power source provides a DC chucking voltage and a RF bias voltage via a second electrical connection.
15. The electrostatic chuck of claim 14, wherein the second power source coupled to the inner electrode through a second variable capacitor.
16. The electrostatic chuck of claim 11, wherein the inner electrode and the outer electrode are coplanar.
17. The electrostatic chuck of claim 11, wherein the inner electrode and the outer electrode are non-coplanar.
18. The electrostatic chuck of claim 14, wherein the inner electrode is disposed relatively below the outer electrode.
19. The electrostatic chuck of claim 11, wherein the inner electrode is fabricated from a different material than the outer electrode.
20. The electrostatic chuck of claim 11, wherein the chuck body comprises aluminum nitride or aluminum oxide.
US15/212,695 2015-08-07 2016-07-18 Ceramic heater and esc with enhanced wafer edge performance Active 2037-06-25 US10950477B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/212,695 US10950477B2 (en) 2015-08-07 2016-07-18 Ceramic heater and esc with enhanced wafer edge performance

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562202656P 2015-08-07 2015-08-07
US15/212,695 US10950477B2 (en) 2015-08-07 2016-07-18 Ceramic heater and esc with enhanced wafer edge performance

Publications (2)

Publication Number Publication Date
US20170040198A1 true US20170040198A1 (en) 2017-02-09
US10950477B2 US10950477B2 (en) 2021-03-16

Family

ID=58053543

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/212,695 Active 2037-06-25 US10950477B2 (en) 2015-08-07 2016-07-18 Ceramic heater and esc with enhanced wafer edge performance

Country Status (4)

Country Link
US (1) US10950477B2 (en)
KR (2) KR102606779B1 (en)
CN (4) CN114121760A (en)
TW (1) TWI673823B (en)

Cited By (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
JP2019125603A (en) * 2018-01-11 2019-07-25 株式会社アルバック Sucking method
CN111139457A (en) * 2018-11-02 2020-05-12 Asm Ip私人控股有限公司 Substrate supporting unit and substrate processing apparatus including the same
US20200176296A1 (en) * 2018-12-03 2020-06-04 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
US20210017645A1 (en) * 2018-04-10 2021-01-21 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US20210047730A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Chamber configurations for controlled deposition
US11049755B2 (en) * 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US20220130704A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Bipolar electrostatic chuck to limit dc discharge
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437262B2 (en) * 2018-12-12 2022-09-06 Applied Materials, Inc Wafer de-chucking detection and arcing prevention
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450511B2 (en) * 2020-11-16 2022-09-20 Applied Materials, Inc. Methods and apparatus for zone control of RF bias for stress uniformity
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476096B2 (en) * 2017-03-06 2022-10-18 Ngk Insulators, Ltd. Wafer support table
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11584994B2 (en) 2019-01-15 2023-02-21 Applied Materials, Inc. Pedestal for substrate processing chambers
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
JP7454983B2 (en) 2020-03-30 2024-03-25 東京エレクトロン株式会社 Edge ring and plasma treatment equipment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
CN108735648B (en) * 2017-04-18 2022-11-08 日新离子机器株式会社 Electrostatic chuck
CN107123639B (en) * 2017-05-16 2019-07-05 京东方科技集团股份有限公司 A kind of substrate to be filmed and plasma apparatus
KR102417931B1 (en) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. Substrate supporting device and substrate processing apparatus including the same
KR20190106119A (en) * 2018-03-07 2019-09-18 어플라이드 머티어리얼스, 인코포레이티드 Bipolar Electrostatic Chuck Having Electrode Partially Formed Thereon
KR102487930B1 (en) * 2018-07-23 2023-01-12 삼성전자주식회사 Substrate support apparatus and plasma processing apparatus having the same
JP7089977B2 (en) * 2018-08-02 2022-06-23 東京エレクトロン株式会社 Plasma etching method and plasma processing equipment
CN114078680B (en) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 Plasma processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US20140069584A1 (en) * 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4655385B2 (en) * 2000-03-01 2011-03-23 株式会社日立製作所 Plasma processing apparatus and processing method
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US20050031796A1 (en) 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
TWI475594B (en) 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
KR101582785B1 (en) 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 Electrostatic chuck assembly
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
TWI665328B (en) 2014-07-02 2019-07-11 美商應用材料股份有限公司 Multi-zone pedestal for plasma processing
KR20160015510A (en) * 2014-07-30 2016-02-15 삼성전자주식회사 Electrostatic chuck assemblies, semiconducotor fabricating apparatus having the same, and plasma treatment methods using the same
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US20140069584A1 (en) * 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck

Cited By (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11476096B2 (en) * 2017-03-06 2022-10-18 Ngk Insulators, Ltd. Wafer support table
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10964545B2 (en) 2017-05-30 2021-03-30 Lam Research Corporation Apparatus including metallized-ceramic tubes for radio-frequency and gas delivery
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10431467B2 (en) 2017-05-30 2019-10-01 Lam Research Corporation Module including metallized ceramic tubes for RF and gas delivery
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019125603A (en) * 2018-01-11 2019-07-25 株式会社アルバック Sucking method
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US20210017645A1 (en) * 2018-04-10 2021-01-21 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) * 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
CN111139457A (en) * 2018-11-02 2020-05-12 Asm Ip私人控股有限公司 Substrate supporting unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11682574B2 (en) * 2018-12-03 2023-06-20 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
CN111261487A (en) * 2018-12-03 2020-06-09 应用材料公司 Electrostatic chuck design with improved chucking and arcing performance
US20200176296A1 (en) * 2018-12-03 2020-06-04 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
WO2020117421A1 (en) * 2018-12-03 2020-06-11 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US20220415695A1 (en) * 2018-12-12 2022-12-29 Applied Materials, Inc. Wafer de-chucking detection and arcing prevention
US11437262B2 (en) * 2018-12-12 2022-09-06 Applied Materials, Inc Wafer de-chucking detection and arcing prevention
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11584994B2 (en) 2019-01-15 2023-02-21 Applied Materials, Inc. Pedestal for substrate processing chambers
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US20210047730A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Chamber configurations for controlled deposition
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
JP7454983B2 (en) 2020-03-30 2024-03-25 東京エレクトロン株式会社 Edge ring and plasma treatment equipment
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US20220130704A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Bipolar electrostatic chuck to limit dc discharge
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11450511B2 (en) * 2020-11-16 2022-09-20 Applied Materials, Inc. Methods and apparatus for zone control of RF bias for stress uniformity
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
CN106449503B (en) 2022-12-06
TW201721801A (en) 2017-06-16
CN114121760A (en) 2022-03-01
TWI673823B (en) 2019-10-01
KR20170118011A (en) 2017-10-24
KR102606779B1 (en) 2023-11-24
KR20170017826A (en) 2017-02-15
CN107301970A (en) 2017-10-27
CN206163469U (en) 2017-05-10
US10950477B2 (en) 2021-03-16
CN106449503A (en) 2017-02-22

Similar Documents

Publication Publication Date Title
US10950477B2 (en) Ceramic heater and esc with enhanced wafer edge performance
CN108281342B (en) Plasma processing apparatus
US11282734B2 (en) Electrostatic chuck and method for manufacturing the same
JP6868553B2 (en) Methods and equipment for processing wafers with compressive or tensile stress at high temperatures in a plasma chemical vapor deposition system
US20210051772A1 (en) Placing table and plasma processing apparatus
US20210296144A1 (en) Substrate support pedestal having plasma confinement features
KR102383357B1 (en) Mounting table and substrate processing apparatus
JP6953133B2 (en) Control of RF amplitude of edge ring of capacitive coupling type plasma processing equipment
TWI508632B (en) Tunable ground planes in plasma chambers
US8988848B2 (en) Extended and independent RF powered cathode substrate for extreme edge tunability
KR100939594B1 (en) Apparatus and method for substrate clamping in a plasma chamber
CN106463449B (en) Electrostatic chuck with raised top plate and cooling channels
TWI762551B (en) Plasma processing apparatus
KR102188409B1 (en) Substrate processing apparatus and mounting table
KR20210014764A (en) Ceramic heater
US20210398786A1 (en) Plasma processing apparatus
CN116457931A (en) High-temperature bipolar electrostatic chuck
CN112185791A (en) Shower head unit and substrate processing system having the same
JP2022523630A (en) Pedestal for substrate processing chamber
TWI831061B (en) High temperature bipolar electrostatic chuck
US20220279626A1 (en) Heated substrate support

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, XING;ZHOU, JIANHUA;YE, ZHENG JOHN;AND OTHERS;SIGNING DATES FROM 20160726 TO 20160811;REEL/FRAME:039689/0599

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCF Information on status: patent grant

Free format text: PATENTED CASE