TW201801179A - 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制 - Google Patents

利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制 Download PDF

Info

Publication number
TW201801179A
TW201801179A TW106110455A TW106110455A TW201801179A TW 201801179 A TW201801179 A TW 201801179A TW 106110455 A TW106110455 A TW 106110455A TW 106110455 A TW106110455 A TW 106110455A TW 201801179 A TW201801179 A TW 201801179A
Authority
TW
Taiwan
Prior art keywords
dry cleaning
plasma processing
waferless dry
monitoring
cleaning process
Prior art date
Application number
TW106110455A
Other languages
English (en)
Other versions
TWI656573B (zh
Inventor
布萊恩 J 科帕
迪帕克 維大卡蘭姆
弗朗索瓦 C 達薩帕
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201801179A publication Critical patent/TW201801179A/zh
Application granted granted Critical
Publication of TWI656573B publication Critical patent/TWI656573B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • B08B9/0865Cleaning containers, e.g. tanks by burning-out
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • G01N21/73Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited using plasma burners or torches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Orthopedics, Nursing, And Contraception (AREA)

Abstract

本文中描述了藉由將乾式清潔氣體流入電漿處理系統的電漿處理腔室中並於電漿處理腔室中點燃電漿以啟動無晶圓乾式清潔處理而從光學放射光譜儀系統取得光學放射光譜的結構、平台、及方法。

Description

利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制
本申請案係基於美國臨時專利申請案第61/316,021號(其發明名稱為「METHOD FOR CONTROLLING DRY ETCH PROCESS CHARACTERISTICS USING WAFERLESS DRY CLEAN OPTICAL EMISSION SPECTROSCOPY」,申請日為2016年3月31日)並主張其優先權。本發明係關於無晶圓乾式清潔(WLDC)處理,尤其是使用光學放射光譜儀進行分析者。
穿孔(via)及溝槽特徵之乾蝕刻處理的問題其中之一者為處理晶圓之完整批次期間在蝕刻輪廓(etch profile)上之變異 。這可為用於鈍化及蝕刻選擇性(用以對半導體處理中之圖案化晶圓形成特定蝕刻輪廓)之基於碳(C)及氟(F) (統稱為CF)的蝕刻氣體成分的積累所導致。若在晶圓之間的乾式清潔循環期間沒有從腔室將這些成分有效地移除到相同的程度,則聚合物沉積可積累於腔室中並因此導致膜層的積累,而膜層的積累可能導致顆粒形成及剝離(peeling),並可能在晶圓上產生缺陷及元件故障。此外,在裝置晶圓之間的腔室之不有效或不一致的無晶圓乾式清潔(WLDC)可導致腔室中不同之殘留的CF成分,這隨後在後繼的裝置晶圓乾式蝕刻處理期間引入,從而影響從一晶圓批次至下一晶圓批次的蝕刻輪廓特徵之均勻性。該等晶圓構成一批次。
本發明係關於無晶圓乾式清潔(WLDC)處理的最佳化,以降低一批次的圖案化裝置晶圓之乾蝕刻的晶圓至晶圓處理變異。使用光學放射光譜儀(OES)以監視來自電漿(例如,於一批次的每一處理晶圓之間執行的乾式清潔處理)的光放射以將電漿處理腔室中的沉積物積累最小化。在乾式清潔循環期間排出的各種成分(例如,氯(C)及氟(F))的OES指示了清潔處理的有效性。該等成分之OES可用以評估及最佳化WLDC處理以改善蝕刻晶圓處理控制。
本文描述了分析無晶圓乾式清潔(WLDC)處理中之殘留物成分的結構、平台、及方法,尤其是藉由使用光學放射光譜儀(OES)而進行之分析。藉由評估一批晶圓之光譜中基於碳(C)及氟(F)的波長,吾人可使用處理控制監視器/評量指標(例如,OES)來分析WLDC處理的有效性 。
此外,可基於一特定裝置晶圓處理之後欲藉由乾式清潔處理移除之不想要的殘留物成分之OES光譜而對WLDC處理進行最佳化。一般而言,對欲藉由WLDC而移除之成分所檢驗的波長而言,無效的WLDC處理在OES強度上絕不會顯示為趨於平整。然而,一旦達到了WLDC處理的終點,則此成分的OES光譜會顯示為在強度上趨於平整;此終點時間可用以判定WLDC處理的理想完成時間以將生產產量最佳化。換言之,藉由將WLDC處理最佳化(例如,使執行WLDC處理的時間最小化),可實現最佳的利用率及產量。
電漿處理腔室的濕式清潔可為常規性執行。藉由將WLDC處理最佳化,亦可將這樣的濕式清潔之間的時間或週期最佳化。對本文中所述之WLDC處理的參數進行最佳化可將使濕式清潔之間的時間最大化。此外,可藉由最佳化的WLDC處理來延長電漿處理腔室或系統中之元件的可用壽命。
此外,藉由使用更佳的WLDC處理來降低一晶圓批次的裝置晶圓整體之間在C及F強度位準上的變異,可改善該晶圓批次的蝕刻輪廓特徵之均勻性。不一致的WLDC處理所導致的過多或不一致的C及F成分 可對圖案化裝置晶圓造成在後續電漿蝕刻性能上之變異,而這可能導致在一晶圓批次的圖案化裝置晶圓上之臨界尺寸變異。
可使用OES以將WLDC(其係用以移除裝置晶圓蝕刻處理所導致之不想要的殘留成分)的一致性及有效性最佳化。因此,於一晶圓批次內,在OES光譜中基於C及F的物種之OES係直接與關鍵指標(例如,裝置晶圓批次的蝕刻輪廓均勻性)相關聯。此外,吾人已發現,可使用暴露於與裝置晶圓相同之蝕刻處理的裸矽晶圓來最佳化WLDC(由於OES相對於WLDC處理參數之調整顯示了相同的反應及趨勢)。 處理參數之範例包含(但不限於)供應至電漿處理系統之電漿處理腔室的射頻(RF)或微波功率;供應至電漿處理腔室之RF或微波功率的脈衝頻率;至電漿處理腔室之RF或微波的脈衝負載比;供應至電漿處理腔室中之基板固持器的RF功率;在基板固持器附近之一或更多磁鐵的磁場;基板固持器的DC偏壓; 供應至配置於基板固持器附近之至少一電極的DC偏壓電壓;乾式清潔氣體的流量;晶圓卡盤溫度;乾式清潔氣體壓力;及無晶圓乾式清潔處理的持續時間。
基於所產生的OES光譜,吾人可於裸矽或裝置晶圓之間找到在用以移除C及F之WLDC處理上的改善(例如, 使用較高的氧電漿功率);從而使得吾人得以將WLDC處理最佳化而無需消耗高成本的裝置晶圓。在某些實施例中,可使用如本文中所述之虛擬基板(dummy substrate)或虛擬晶圓。因此,可達成WLDC處理的最佳化時間(其中為這樣的WLDC處理判定一最小時間)。此外,最佳化的WLDC處理可提高晶圓批次的蝕刻輪廓晶圓至晶圓均勻性。
吾人已經發現,可使用OES以最佳化WLDC(其係用以移除裝置晶圓蝕刻處理所產生之不想要的殘留成分)的一致性及有效性。因此, 晶圓批次中之C及F成分光譜的OES係直接與關鍵指標(例如,裝置晶圓批次的晶圓至晶圓蝕刻輪廓均勻性)相關聯。此外,吾人已發現,可使用暴露於與裝置晶圓相同之蝕刻處理的裸矽晶圓來最佳化WLDC(由於OES相對於WLDC處理參數之調整顯示了相同的反應及趨勢,該等WLDC處理參數包含但不限於氣體壓力、氣體流量、電漿暴露時間、電漿功率、偏壓電壓、及溫度)。
例如,基於所產生的OES光譜,吾人於裸矽晶圓及裝置晶圓上皆可找到在用以移除C、F、及CF聚合物沉積之WLDC處理上的改善(其係藉由使用較高的氧電漿功率而達成);從而使得吾人得以將WLDC處理最佳化而無需消耗高成本的裝置晶圓。 因此,使用較高的氧電漿功率加速了時間(及後續的晶圓輸出)並降低了最佳化WLDC的成本,該WLDC之最佳化係基於OES分析來判定最佳化的WLDC處理或裝置晶圓以提高該晶圓批次中之晶圓至晶圓蝕刻輪廓均勻性。吾人亦發現,OES光譜分析之使用可指示許多種類的蝕刻處理條件之清潔效果(由於一批晶圓在不想要的殘留物種上之變異可用以預測晶圓批次中的多片晶圓在隨後形成之蝕刻輪廓均勻性上的改變)。
此外,更有效的WLDC處理降低了在裝置晶圓之間運行額外的裸矽虛擬晶圓的需要(在裝置晶圓之間運行額外的裸矽虛擬晶圓係為了達成更好的蝕刻處理控制以移除積累在腔室中的殘留物或達成調整以穩定腔室環境);從而降低了整體處理時間及成本。此外,使腔室保持較清潔的最佳化WLDC處理長期下來減少了濕式清潔預防性維護循環的頻率,而這最終提高了腔室利用率及生產率。
在某些情況下,蝕刻處理可將許多種類的氣體物種(例如,C及F)導入腔室內,而這最終導致電漿處理腔室內的聚合物沉積,聚合物沉積可在電漿處理腔室內及晶圓表面上形成顆粒。可於隨後的清潔期間 收集OES光譜,以對在處理裝置晶圓後於腔室中運行之WLDC處理期間從腔室移除的氣體物種進行評估。
在具有較不有效之WLDC處理(其具有較不有效的殘留成分(CF)移除)的一晶圓批次與具有較有效之WLDC處理(其具有較有效的殘留成分(CF)移除)的一晶圓批次之間進行比較,較不有效之WLDC處理不會隨著WLDC處理時間而顯示所檢驗之F波長的OES強度趨於平整。相較之下,較有效的WLDC處理可為所有發生於該批次的每一裝置晶圓蝕刻處理之間的WLDC處理指示此完全相同之F成分之OES終點(其可歸類為腔室的清潔狀態)。此外,可於每一晶圓批次之前及之後將顆粒監視晶圓循環通過電漿處理腔室以分析顆粒位準。由於聚合物沉積可隨著時間積累,並從而因不足的WLDC而從腔室表面剝離,所以吾人可以從腔室中顆粒位準的提高趨勢降低而看出更有效的WLDC處理的長期益處。
對於更有效的WLDC處理而言,當使用較高的氧或O2壓力時,較高的O2功率及較高的偏壓電壓可顯著地改善批次內的蝕刻均勻性。可藉由更有效的WLDC而實現在一批次的晶圓之蝕刻輪廓的底部穿孔臨界尺寸或CD之平均值的全距及標準差上的減小。此外,對於各種的CD(例如,底部穿孔寬度CD)而言,使用此更有效的WLDC之晶圓批次亦可具有較低的晶圓內蝕刻均勻性。在使用增強的WLDC處理之情況下,除了晶圓批次所測量到的增加缺陷更少之外,對於更有效的WLDC,顆粒位準亦可降低。可消除添加額外裸矽虛擬腔室調節晶圓的需要(該等裸矽虛擬腔室調節晶圓係用以於以特定配方處理之整體晶圓批次期間加以處理),從而節省整體晶圓批次處理時間。
在晶圓批次的裝置晶圓之間運行的WLDC處理期間的OES分析可顯示出,在DC偏壓電壓下以較高的O2壓力、較高的O2功率來運行之更有效的WLDC處理。從電漿處理腔室之更有效且一致的F(作為在裝置晶圓蝕刻處理之後剩餘的主要成分)之移除(由較高功率氧自由基及離子所產生),可為晶圓批次中的後續晶圓產生更清潔且更一致的環境。可改善整體的晶圓內及批次內蝕刻均勻性。在WLDC OES上的批內F變異之降低與批次中每一晶圓的平均底部CD之標準差的降低之間可實現一對一的相關性。例如,當OES WLDC處理係與電漿處理系統/腔室的處理自動化特性相關聯以使製造工廠中的蝕刻均勻性最佳化時,OES WLDC處理可做為原位診斷(in-situ diagnostic)以增強蝕刻處理控制。
此外,替代OES,可使用諸如雷射誘導螢光(LIF)、雷射干涉儀、質譜儀、殘留氣體分析、FTIR等其它光學診斷方法來監視WLDC處理而取得相同或相似的結果。
根據本文中之實施例,圖1顯示了電容耦合電漿(CCP)處理設備或電漿處理系統100之範例的示意性橫剖面圖。吾人應理解,可設置其他的處理系統,例如可設置輻射線槽式天線(RLSA)、及感應耦合電漿(ICP)處理系統。在特定實施例中,電漿處理系統100係用於WLDC處理,其可實行殘留成分(例如,C及F)的OES光譜分析。此外,可執行電漿分析。另外,可執行終點分析。WLDC處理的持續時間可為一參數,該參數可於WLDC處理期間藉由使用殘留成分的OES數據而進行最佳化。
電漿處理系統100可用於包含灰化、蝕刻、沉積、清潔、電漿聚合、電漿加強化學氣相沉積(PECVD)、電漿加強原子層沉積(PEALD)等的複數操作。電漿處理可在電漿處理腔室102內執行,該電漿處理腔室102可為由例如鋁或不鏽鋼的金屬所製成的真空腔室。電漿處理腔室102係接地至例如接地端104。電漿處理腔室102定義一處理容器,該處理容器提供了用於產生電漿的處理空間PS 106。電漿處理腔室102的內壁可以鋁土、氧化釔、或其他保護劑加以塗佈。電漿處理腔室102在形狀上可為圓柱形,或具有其他幾何配置。
在電漿處理腔室102內的中心靠下區域,基板固持器或托座108(其可為圓盤狀)可做為載置台,舉例而言,欲處理之基板W 110(例如半導體晶圓)可置放該載置台上。基板W 110可透過裝載/卸載埠112及閘閥114而移動至電漿處理腔室102中。托座108形成下部電極116(下部電極組件)的一部分,以作為充當基板W 110置放於其上之載置台之第二電極的範例。具體而言,托座108受支撐於托座支撐件118上,該托座支撐件118係經由絕緣板120而實質上設置於電漿處理腔室102之底部的中心。托座支撐件118可為圓柱形。托座108可由鋁合金形成。托座108其上設置有用以固持基板W 110的靜電卡盤122(作為下部電極組件116的一部分)。靜電卡盤122係設置有電極124。電極124係電連接於DC電源126 (直流電)。靜電卡盤122藉由靜電力將基板W 110吸附,該靜電力係來自DC電源126的DC電壓施加於電極124時產生。基板固持器或托座108的DC偏壓、及供應至電極116及電極124其中至少一者的DC偏壓電壓可為WLDC處理期間可藉由使用殘留成分之OES數據而加以最佳化的參數。
托座108可經由匹配單元132而與高頻電源130電連接。此高頻電源130(第二電源)可輸出例如從2 MHz至20 MHz範圍內的高頻電壓。施加高頻偏壓功率導致於電漿處理腔室102中產生之電漿中的離子被吸引至基板W 110。聚焦環134係設置於托座108的上表面上以圍繞靜電卡盤122。此外,可將RF或微波功率(未顯示)提供至電漿處理腔室102。供應至電漿處理腔室的RF或微波功率、RF或微波功率脈衝頻率、 RF或微波脈衝負載比、及供應至電漿處理腔室102中的基板固持器或托座108的RF功率可為WLDC處理期間可藉由使用殘留成分之OES數據而加以最佳化的參數。
內壁構件136(其可為圓柱形,且由例如石英所形成)可附接至靜電卡盤122及托座支撐件118的外周邊側。托座支撐件118包含冷卻劑流動路徑138。冷卻劑流動路徑138與安裝於電漿處理腔室102外的冷卻器單元(未顯示)連通。冷卻劑流動路徑138係供應有循環通過對應管線的冷卻劑(冷卻液或冷卻水)。因此,可準確控制置放在托座108上/上方之基板W 110的溫度。氣體供應管線140(其通過托座108及托座支撐件118)係配置成供應熱傳遞氣體至靜電卡盤122的上表面。例如氦(He)的熱傳遞氣體(亦稱為背側氣體)可經由氣體供應管線140而供應至基板W 110與靜電卡盤122之間,以輔助加熱基板W 110。
排放路徑142可沿著內壁構件136的外周邊及電漿處理腔室102的內壁表面形成。排放埠144(或複數排放埠)係設置於排放路徑142的底部部分中。氣體排放單元146係經由氣體排放管線148而連接至每一排放埠。氣體排放單元146可包含一真空泵(例如,渦流分子泵),該真空泵係配置成將電漿處理腔室102內之電漿處理空間減壓至期望的真空狀態。氣體排放單元146排空電漿處理腔室102的內部,從而將電漿處理腔室102的內部壓力降壓至期望程度的真空。
上部電極150(亦即,上部電極組件)為第一電極的範例,且係設置於下部電極116的垂直上方,以平行地面向下部電極116。電漿產生空間(或處理空間PS 106)係定義於下部電極116與上部電極150之間。上部電極150包含具有圓盤狀的內上部電極152,且外上部電極電極154可為環狀且圍繞內上部電極152的周邊。內上部電極152亦做為處理氣體入口,以注射特定量的處理氣體至置放在下部電極116上之基板W 110上方的處理空間PS 106中。
更具體而言,內上部電極152包含具有氣體注射開口158的電極板156(其通常為圓形)。內上部電極152亦包含電極支撐件160,其以可卸除方式支撐電極板156的上側。電極支撐件160可形成為圓盤的形狀,其具有實質上與電極板156相同的直徑(當電極板156在形狀上以圓形實施時)。在替代實施例中,電極板156可為方形、長方形、多角形等。電極板156可由導體或半導體材料形成,例如Si、SiC、摻雜Si、鋁等。電極板156可與上部電極150為一體,或以可卸除方式受電極支撐件160支撐以便在表面腐蝕後以給定板件進行替換。上部電極150亦可包含冷卻板或冷卻機構(未顯示),以控制電極板156的溫度。
電極支撐件160可由例如鋁形成,且可包含緩衝腔室162。緩衝腔室162係用於擴散處理氣體,且可定義一圓盤狀空間。來自處理氣體供應系統164的處理氣體將氣體供應至上部電極150。處理氣體供應系統164可配置成供應處理氣體,用以在基板W 110上執行特定製程(例如,膜層形成、蝕刻、及類似製程)。處理氣體供應系統164係與氣體供應管線166相連,從而形成處理氣體供應路徑。氣體供應管線166係連接至內上部電極152的緩衝腔室162。處理氣體接著可從緩衝腔室162移動至該緩衝腔室162下表面處的氣體注射開口158。引入至緩衝腔室162中之處理氣體的流速可例如藉由使用質量流量控制器而加以調整。另外,所引入之處理氣體係從電極板156(噴淋頭電極)的氣體注射開口158均勻地排放至處理空間PS 106。內上部電極152接著部分地運作為提供噴淋頭電極組件。乾式清潔氣體流量、及乾式清潔氣體壓力可為WLDC處理期間藉由使用殘留成分之OES數據而加以最佳化的參數。 乾式清潔氣體可包含氧、含氧氣體、HCl、F2、Cl2、氫氣、氮氣、氬氣、SF6、C2F6、NF3、CF4、或這些氣體其中二或更多者之混合物。
具有環形形狀的介電體168可介設於內上部電極152與外上部電極電極154之間。絕緣體170(其可為具有環形形狀、且由例如鋁土製成的屏蔽構件)係以氣密的方式介設於外上部電極電極154與電漿處理腔室102的內周邊壁之間。
外上部電極電極154係經由功率饋送器174、上功率饋送桿176、及匹配單元178而與高頻電源172(第一高頻電源)電連接。高頻電源172可輸出具有13 MHz(百萬赫)或更高(例如,60 MHz)之頻率的高頻電壓,或可輸出具有30-300 MHz之頻率的超高頻(VHF, very high frequency)電壓。相較於偏壓電源,可將此電源172稱為主電源。功率饋送器174可形成為例如具有開放式下表面的實質圓柱狀。功率饋送器174在其下端部可連接至外上部電極電極154。功率饋送器174係在其上表面的中心部分與上功率饋送桿176的下端部分電連接。上功率饋送桿176係在其上端部分連接至匹配單元178的輸出側。匹配單元178係連接至高頻電源172,且可匹配負載阻抗與高頻電源172的內部阻抗。然而,應注意外上部電極電極154係可選性的,且實施例可用單一上部電極運作。
功率饋送器174可為圓柱狀且具有側壁,該功率饋送器174之直徑係小於處理腔室102之直徑。接地導體180係在其下端部分連接至電漿處理腔室102之側壁的上部。上功率饋送桿176通過接地導體180之上表面的中心部。絕緣構件182係介設於接地導體180及上功率饋送桿176之間的接觸部。
電極支撐件160係在其上表面上與下功率饋送桿184電連接。下功率饋送桿184係經由連接器連接至上功率饋送桿176。上功率饋送桿176及下功率饋送桿184形成功率饋送桿,用以將來自高頻電源172的高頻電力供應至上部電極150。可變電容器186係設置於下功率饋送桿184中。藉由調整可變電容器186的電容,當施加來自高頻電源172的高頻電力時,直接形成於外上部電極電極154下方之電場強度對直接形成於內上部電極152下方之電場強度的相對比率可加以調整。上部電極150的內上部電極152係與低通濾波器(LPF, low pass filter)188電連接。LPF 188阻隔來自高頻電源172的高頻率,同時將來自高頻電源130的低頻率傳遞至接地。系統的下部(形成下部電極116之一部分的托座108)係與高通濾波器(HPF, high pass filter)190電連接。HPF 190將來自高頻電源172的高頻傳遞至接地。
將來自高頻電源172之從約3 MHz至150 MHz範圍內的高頻電力施加至上部電極150。這導致高頻電場係產生於上部電極150與托座108或下部電極116之間。接著可使輸送至處理空間PS 106的處理氣體解離並轉換為電漿。可從高頻電源130將在從約0.2 MHz至20 MHz之範圍內的低頻電力施加至形成下部電極116的托座108。換言之,可使用雙頻率系統。因此,電漿中的離子被吸引向托座108,從而藉由離子輔助而提高了蝕刻的非等向性。吾人須注意,為方便起見,圖1顯示供應功率至上部電極150的高頻電源172。在替代實施例中,高頻電源172可供應至下部電極116。因此,主功率(激發功率)及偏壓功率(離子加速功率)二者皆可被供應至下部電極。
電漿處理系統100的元件可連接至控制單元192且受其控制,該控制單元192接著可連接至對應的儲存單元194及使用者介面196。各種的電漿處理操作可經由使用者介面196加以執行,且各種的電漿處理製程配方及操作可儲存於儲存單元194中。因此,給定基板可在電漿處理腔室內利用各種的微製造技術加以處理。在操作中,電漿處理設備使用上部電極及下部電極,以在處理空間PS 106中產生電漿。如此產生之電漿接著可接著用於以各種類型的處理(例如,電漿蝕刻、化學氣相沉積、玻璃材料的處理、及大面板(例如,薄膜太陽能電池、其他光伏電池、及用於平板顯示器的有機/無機板件等)的處理)來處理目標基板(例如,基板W 110、或欲處理的任何材料)。在本文中所述的某些實施例中,可使用虛擬基板(其可為非生產晶圓)做為晶圓W 110。
控制單元192可包含一或更多處理器、微電腦、計算單元、及類似元件。儲存單元194可包含記憶體,且係用以儲存由控制單元192執行之複數指令的非暫態電腦可讀儲存媒體之範例,該等指令係用以執行本文中所述的各種功能。例如,儲存單元194通常可包含揮發性記憶體及非揮發性記憶體(例如,RAM,、ROM、或類似記憶元件)。在本文中可將記憶體稱為記憶體或電腦可讀儲存媒體。記憶體能夠將電腦可讀、處理器可執行的程式指令儲存成可由控制單元190執行的電腦程式碼,該控制單元190係作為配置用以完成本文之實行例中所述之操作及功能的特定機器。
記憶體可進一步儲存一或更多應用程式(未顯示)。應用程式可包含預先配置/安裝、及可下載的應用程式。此外,記憶體可儲存用於本文中所述之處理的OES光譜數據。
電漿處理系統100可更包含光譜儀198及窗199。光譜儀196係用以收集用於處理終點分析及OES光譜的光。光譜儀198可連接至控制單元192、或其他控制器/系統。
圖2為範例性電漿處理系統的示意性方塊圖,該範例性電漿處理系統實行用以判定OES光譜的光學放射光譜儀(OES)、及電漿監視。如上面所述,電漿處理腔室102在置放於下部電極116上的基板W 110上方提供了處理空間PS 106。在用以判定及收集殘留成分(例如,CF)的OES光譜、及/或終點計算的WLDC方法中,可不需要生產基板W 110。在其他實施例中,以虛擬或非生產基板取代基板W 110。
在此範例中,光譜儀198收集光(由光量(light volume)200所代表)。在WLDC處理中對OES光譜進行監視期間,光量200提供了OES光譜數據,該OES光譜數據可包含CF成分的OES光譜。
光譜儀198可為監視系統202的一部分。監視系統可為電漿處理系統100的一部分。監視系統202可特別用於電漿處理腔室102中的電漿監視。可為監視系統202之一部分的其他範例性系統及元件包含但不限於光學放射光譜儀系統204、雷射誘導螢光系統206、雷射干涉儀208、質譜儀210、及傅里葉轉換紅外線(FTIR)系統212。特別地,光譜儀198可為光學放射光譜儀系統204的一部分。光學放射光譜儀系統204可在WLDC處理期間取得OES。
如前面所述,可使用評量指標(例如,OES光譜)而藉由評估不想要的物種或殘留成分(例如,一批或批量晶圓的OES光譜中C及F成分的波長)來分析WLDC處理的有效性。此外,可基於在一特定裝置晶圓處理之後藉由乾式清潔處理(原位處理)進行移除的不想要殘留成分之OES光譜而對WLDC處理進行最佳化。一般而言,對欲藉由WLDC、或甚至饋入的乾式清潔氣體(例如氧氣)來移除之成分所進行檢驗的波長而言,無效的WLDC處理在OES強度上不會顯示為趨於平整。然而,一旦WLDC處理達到終點,此成分的OES光譜可顯示為在強度上趨於平整;可利用此終點時間來判定WLDC的理想完成時間以最佳化產量。藉由使用更有效的WLDC處理來降低在一批次裝置晶圓整體之間的C及F強度位準上的變異,可改善該批次的蝕刻輪廓特徵的均勻性,例如底部穿孔寬度(底部臨界尺寸或CD )。不一致的WLDC處理所留下的聚合物沉積積累、或腔室中過多或不一致的CF或碳密度可導致在圖案化裝置晶圓的後續電漿蝕刻性能上的變異,而這可導致整個批次在製造規格的控制界線以外的臨界尺寸上的變異。留存在腔室中的這些不想要之成分可導致於後續的裝置晶圓蝕刻處理期間之欲蝕刻特徵部的堵塞,該堵塞為溝槽或穿孔特徵中的聚合物殘留之積累,而其妨礙了特徵輪廓的均勻蝕刻。相似地,腔室中的氟副產物之積累可導致從一晶圓至下一晶圓之在蝕刻率上的增加(由於為其傾向於移除側壁聚合物鈍化層,而這最終導致更寬的蝕刻輪廓之增加趨勢)。此機制亦被認為是為什麼吾人發現更有效的WLDC處理可改善晶圓至晶圓、及晶圓內蝕刻輪廓均勻性的基礎。
圖3顯示了範例性曲線圖300,該範例性曲線圖300繪示了殘留成分(具體而言,氟(F))在OES光譜上的尖峰。特定WLDC之氟的OES光譜尖峰係由曲線圖300中的302來代表。藉由使用特定WLDC副產物(例如氟化物)之OES尖峰的強度對時間趨勢(其顯示於304中)並實行終點分析,吾人可判定殘留物成分氟在電漿處理腔室中是否及何時趨於平穩、或者沒有趨於平穩。在對涉及基於乾蝕刻之聚合物收縮的一晶圓批次之晶圓進行處理的同時,腔室中的氟殘留副產物背景值積累(由OES測量)可導致在蝕刻輪廓寬度CD上的增加趨勢(由於對於一批次的接續處理之裝置晶圓的相同給定蝕刻處理而言,側壁聚合物係被移除至較高的程度)。可在美國專利案第9,330,990號中找到可實行的終點分析,該美國專利案之發明名稱為「METHOD OF ENDPOINT DETECTION OF PLASMA ETCHING PROCESS USING MULTIVARIATE ANALYSIS」,其內容係藉由參照而完整納入本文中。
吾人應了解,電漿處理腔室不是絕對沒有殘留成分,且一可接受量的成分可留存在電漿處理腔室中。
圖4顯示了範例性圖表400,該範例性圖表400為特定乾式清潔處理(例如WLDC)提供了最佳化的值。在此範例中,可為殘留成分F收集OES光譜及終點分析。注意到的幾個縮略詞包含:徑向氣體分配控制(RDC,radial gas-distribution control)係指中心對邊緣的氣體流量比率/百分比,電漿系統的鹵水或冷卻器溫度,直流(DC)電極電壓,低頻(LF)功率,高頻(HF)功率,及在基板或晶圓固持器附近的先進溫度控制卡盤(ATCC,advanced temperature control chuck)的溫度。
於標題「配方」(由402代表)之下標識了一個別處理。在此範例中,WLDC處理係標識為由404代表。步驟406進一步將處理標識為氧(O2)清潔408。20秒的預設配方時間410係標識為最大WLDC處理時間。圖表400所示的其他參數可標識用於此最佳化處理。這樣的參數可包含「 氣體壓力」、「功率」、「DC偏壓」等。
圖5顯示了範例性處理500,該範例性處理500係用以監視及控制電漿處理系統中的無晶圓乾式清潔處理。對該方法進行描述之順序並非意圖被解釋為限制性的,且可以任何順序結合任何數量的所述方法方塊以實行該方法或替代方法。另外,可從該方法中將個別的方塊移除而不會脫離本文中所述的標的之精神及範圍。此外,該方法可以任何合適的硬體、軟體、韌體、或其組合來實行而不會脫離本發明之範圍。
在方塊502,執行將乾式清潔氣體流入電漿處理腔室之操作。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊504,執行於電漿處理腔室中點燃電漿以啟動無晶圓乾式清潔(WLDC)處理之操作。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊506,執行取得光學放射光譜(OES)數據之操作。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。此外,參考上面的圖2,此方塊可藉由光學放射光譜儀系統204來執行。在其他實行例中,該取得之操作可為監視電漿處理腔室102中的電漿之監視動作。
在方塊508,基於所取得的OES數據而最佳化WLDC處理的至少一參數。該等參數可為上述那些。此外,參數可以原位(in-situ)或非原位(ex-situ)的方式進行最佳化。
圖6顯示了最佳化之WLDC條件的殘留成分之光學放射光譜(OES)偵測的範例性曲線圖600。殘留成分F係由OES尖峰602代表。如本文中所述,對殘留成分F執行OES分析,該OES分析藉由此副產物隨著時間在強度上的平整化而指示了OES終點。由於WLDC隨著晶圓批次處理的過程而為腔室清潔了越來越多的殘留蝕刻副產物(例如,氟),吾人發現殘留物成分之強度穩定時的OES終點時間值604之數組具有穩定地更短的時間。這些值可用以做為與WLDC處理(換言之,配方)何時結束有關的持續時間。相較於圖3中所指出之非最佳化WLDC OES光譜,於最佳化WLDC條件之處理時間的尾端於此F尖峰的OES強度上之總變異減少了50%;而這隨後導致了在整個批次上之超過50%縮減的晶圓至晶圓穿孔CD寬度變異。
圖7顯示了光學放射光譜(OES)處理控制的範例性處理700。具體而言,處理700可用於乾蝕刻處理控制 。可將處理700視為原位處理,其中可將反饋發送至如參照圖1所述的電漿處理系統。可基於所判定的反饋數據而執行調整。
對該方法進行描述之順序並非意圖被解釋為限制性的,且可以任何順序結合任何數量的方法方塊以實行該方法或替代方法。另外,可從該方法中將個別的方塊移除而不會脫離本文中所述的標的之精神及範圍。此外,該方法可以任何合適的硬體、軟體、韌體、或其組合來實行而不會脫離本發明之範圍。
在方塊702,執行晶圓批次的生產處理。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊704, 執行如本文中所述之WLDC及OES軌跡數據收集。參考上面的圖1及圖2,此方塊可藉由電漿處理系統100及監視系統202的所述元件來執行。
在方塊706,繼續進行晶圓批次的生產處理。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊708,執行如本文中所述之WLDC及OES軌跡數據收集。參考上面的圖1及圖2,此方塊可藉由電漿處理系統100及監視系統202的所述元件來執行。
在方塊710,執行原位OES數據分析。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊712,將數據/信號發送至電漿處理系統(換言之,控制器),以基於所選擇之副產品(換言之,殘留成分)或清潔進料氣體波長的OES強度而判定是否調整WLDC處理參數。
在方塊714,繼續進行晶圓批次的生產處理。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊716, 可執行對WLDC處理參數的調整。或者,可使用相同的參數。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊718,繼續進行晶圓批次的生產處理。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
在方塊720,重複該順序直到晶圓批次生產完成。參考上面的圖1,此方塊可藉由電漿處理系統100的所述元件來執行。
100‧‧‧電漿處理系統
102‧‧‧電漿處理腔室
104‧‧‧接地端
106‧‧‧處理空間PS
108‧‧‧托座
110‧‧‧基板W
112‧‧‧裝載/卸載埠
114‧‧‧閘閥
116‧‧‧下部電極
118‧‧‧托座支撐件
120‧‧‧絕緣板
122‧‧‧靜電卡盤
124‧‧‧電極
126‧‧‧DC電源
130‧‧‧高頻電源
132‧‧‧匹配單元
134‧‧‧聚焦環
136‧‧‧內壁構件
138‧‧‧冷卻劑流動路徑
140‧‧‧氣體供應管線
142‧‧‧排放路徑
144‧‧‧排放埠
146‧‧‧氣體排放單元
148‧‧‧排放管線
150‧‧‧上部電極
152‧‧‧內上部電極
154‧‧‧外上部電極
156‧‧‧電極板
158‧‧‧氣體注射開口
160‧‧‧電極支撐件
162‧‧‧緩衝腔室
164‧‧‧處理氣體供應系統
166‧‧‧氣體供應管線
168‧‧‧介電體
170‧‧‧絕緣體
172‧‧‧高頻電源
174‧‧‧功率饋送器
176‧‧‧上功率饋送桿
178‧‧‧匹配單元
180‧‧‧接地導體
182‧‧‧絕緣構件
184‧‧‧下功率饋送桿
186‧‧‧可變電容器
188‧‧‧低通濾波器
190‧‧‧高通濾波器
192‧‧‧控制單元
194‧‧‧儲存單元
196‧‧‧使用者介面
198‧‧‧光譜儀
199‧‧‧窗
200‧‧‧光量
202‧‧‧監視系統
204‧‧‧光學放射光譜儀系統
206‧‧‧雷射誘導螢光系統
208‧‧‧雷射干涉儀
210‧‧‧質譜儀
212‧‧‧傅里葉轉換紅外線(FTIR)系統
300‧‧‧曲線圖
302‧‧‧曲線
304‧‧‧曲線
400‧‧‧圖表
402‧‧‧配方
404‧‧‧WLDC處理
406‧‧‧步驟
408‧‧‧氧(O2)清潔
410‧‧‧配方時間
500‧‧‧處理
502‧‧‧步驟
504‧‧‧步驟
506‧‧‧步驟
508‧‧‧步驟
600‧‧‧曲線圖
602‧‧‧OES尖峰
604‧‧‧OES終點時間值
700‧‧‧處理
702‧‧‧步驟
704‧‧‧步驟
706‧‧‧步驟
708‧‧‧步驟
710‧‧‧步驟
712‧‧‧步驟
714‧‧‧步驟
716‧‧‧步驟
718‧‧‧步驟
720‧‧‧步驟
實施方式係參照隨附圖式來說明。在圖式中,元件符號最左邊的數字標識了該元件符號首次出現於其中的圖式。在該等示圖中使用相同的數字來指稱相似的特徵及元件。
根據本文中之實施例,圖1為顯示了電容耦合電漿(CCP)處理系統之範例性示意配置的橫剖面圖。
圖2為範例性電漿處理系統的範例性示意方塊圖,該範例性電漿處理系統設置光學放射光譜儀(OES)以判定OES光譜(作為監視電漿腔室內之氣體成分的整體監視系統的一部分)。
圖3為範例性曲線圖,該等範例性曲線圖繪示了非最佳化WLDC清潔處理期間在殘留成分氟(F)副產物的光學放射光譜儀(OES)光譜上之尖峰,及在乾式清潔處理之時間期間內不斷增加之殘留成分的量。
圖4為範例性流程圖,該範例性流程圖繪示了特定的乾式清潔處理條件。
圖5為處理流程圖,該處理流程圖繪示了基於本文中所述之光學放射光譜(OES)而監視及控制電漿處理系統中的無晶圓乾式清潔處理之範例性處理流程。
圖6為範例性曲線圖,該範例性曲線圖繪示了在與潔淨室狀態相關聯的最佳化無晶圓乾式清潔期間之殘留氟(F)副產物成分的終點之光學放射光譜(OES)偵測。
圖7為處理流程圖,該處理流程圖繪示了光學放射光譜(OES)處理控制的範例性處理流程。
700‧‧‧處理
702‧‧‧步驟
704‧‧‧步驟
706‧‧‧步驟
708‧‧‧步驟
710‧‧‧步驟
712‧‧‧步驟
714‧‧‧步驟
716‧‧‧步驟
718‧‧‧步驟
720‧‧‧步驟

Claims (43)

  1. 一種監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,該方法包含: 將一乾式清潔氣體流入該電漿處理系統的一電漿處理腔室; 於該電漿處理腔室中點燃一電漿以啟動該無晶圓乾式清潔處理;及 於該無晶圓乾式清潔處理期間,從附接至該電漿處理腔室的一光學放射光譜儀系統取得一光學放射光譜。
  2. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該電漿處理系統為一電漿蝕刻系統。
  3. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該電漿處理系統為一電漿沉積系統。
  4. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該取得該光學放射光譜之步驟係於沒有生產用基板存在於該電漿處理腔室中的無晶圓乾式清潔處理期間進行。
  5. 如申請專利範圍第4項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該取得該光學放射光譜之步驟係以置於該電漿處理腔室中的虛擬基板來執行。
  6. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,更包含: 基於所取得的該光學放射光譜而最佳化該無晶圓乾式清潔處理的至少一參數。
  7. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該無晶圓乾式清潔處理的該至少一參數係選自於由以下參數所組成之群組,該等參數為: 供應至該電漿處理腔室的射頻(RF)或微波功率; RF或微波功率脈衝頻率; RF或微波脈衝負載比; 供應至該電漿處理腔室中的一基板固持器的RF功率; 在該基板固持器附近的一或更多磁鐵的磁場; 該基板固持器的直流(DC)偏壓; 供應至設置於該基板固持器附近的至少一電極的DC偏壓電壓; 乾式清潔氣體流率; 乾式清潔氣體壓力;及 該無晶圓乾式清潔處理的持續時間。
  8. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室的濕式清潔之間的時間最大化。
  9. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該無晶圓乾式清潔處理的持續時間最小化。
  10. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室的一元件之壽命最大化。
  11. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室中的顆粒產生最小化。
  12. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使隨後處理的生產用基板或生產用基板之批次的臨界尺寸(CD)均勻性最大化。
  13. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係藉由使用在虛擬基板置於該電漿處理腔室中的情況下取得之光學放射光譜來執行。
  14. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係非原位(ex-situ)執行。
  15. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係原位(in-situ)執行。
  16. 如申請專利範圍第6項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該無晶圓乾式清潔處理的持續時間最小化。
  17. 如申請專利範圍第1之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,其中該乾式清潔氣體包氧、含氧氣體、HCl、F2、Cl2、氫、氮、氬、SF6、C2F6、NF3、CF4、或其二或更多種的混合物。
  18. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,更包含: 當所取得的該光學放射光譜與一預定目標光學放射光譜實質上相匹配時,終止該無晶圓乾式清潔處理, 其中該目標光學放射光譜為一預定可接受清潔狀況的電漿處理腔室之特徵。
  19. 如申請專利範圍第1項之監視及控制電漿處理系統中的無晶圓乾式清潔處理之方法,更包含: 基於OES終點分析,當一殘留成分之含量接近一判定位準時,終止該無晶圓乾式清潔處理。
  20. 一種非暫態機器可存取儲存媒體,其具有儲存於其上之複數指令,該等指令使得一數據處理系統執行用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之一方法,該方法包含: 將一乾式清潔氣體流入該電漿處理工具的一電漿處理腔室; 於該電漿處理腔室中點燃一電漿以啟動該無晶圓乾式清潔處理;及 於該無晶圓乾式清潔處理期間,從附接至該電漿處理腔室的一光學放射光譜儀系統取得一光學放射光譜。
  21. 如申請專利範圍第20項之非暫態機器可存取儲存媒體,其中用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之該方法更包含: 基於所取得的該光學放射光譜而最佳化該無晶圓乾式清潔處理的至少一參數。
  22. 如申請專利範圍第20項之非暫態機器可存取儲存媒體,其中用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之該方法更包含: 當所取得的該光學放射光譜與一預定目標光學放射光譜實質上相匹配時,終止該無晶圓乾式清潔處理, 其中該目標光學放射光譜為處於清潔狀況下的電漿處理腔室之特徵。
  23. 一種電漿處理系統,包含: 一或更多控制器; 一電漿處理腔室; 一處理氣體供應系統,由該一或更多控制器所控制,該處理氣體供應系統將一乾式清潔氣體流入該電漿處理腔室,其中該一或更多控制器啟動該電漿處理腔室中的一電漿之點火以啟動一無晶圓乾式清潔處理;及 一光譜儀系統,附接至該電漿處理腔室,該光譜儀系統於該無晶圓乾式清潔處理期間取得光學放射光譜。
  24. 一種監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,該方法包含: 啟動一晶圓批次的一生產處理; 在該生產處理期間收集一原位監視數據; 執行該晶圓批次的原位數據分析;及 基於該原位監視數據而調整WLDC處理之參數。
  25. 如申請專利範圍第24項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該原位監視數據係藉由執行一方法而收集,該方法包含: 將一乾式清潔氣體流入該電漿處理工具的一電漿處理腔室; 於該電漿處理腔室中點燃一電漿以啟動該無晶圓乾式清潔處理;及 於該無晶圓乾式清潔處理期間,藉由使用一監視系統而監視該電漿處理腔室中的該電漿, 其中該監視系統包含光學放射光譜儀系統、雷射誘導螢光系統、雷射干涉儀、殘留氣體分析儀、質譜儀、或傅里葉轉換紅外線(FTIR)系統。
  26. 如申請專利範圍第24項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該電漿處理工具為一電漿蝕刻系統。
  27. 如申請專利範圍第24項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該電漿處理工具為一電漿沉積系統。
  28. 如申請專利範圍第24項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該監視該電漿的步驟取得光學放射光譜,且係在沒有生產用基板存在於該電漿處理腔室中的無晶圓乾式清潔處理期間進行。
  29. 如申請專利範圍第28項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該取得該光學放射光譜之步驟係以置於該電漿處理腔室中的虛擬基板來執行。
  30. 如申請專利範圍第28項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,更包含: 基於所取得的該光學放射光譜而最佳化該無晶圓乾式清潔處理的至少一參數。
  31. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該無晶圓乾式清潔處理的該至少一參數係選自於由以下參數所組成之群組,該等參數為: 供應至該電漿處理腔室的射頻(RF)或微波功率; RF或微波功率脈衝頻率; RF或微波脈衝負載比; 供應至該電漿處理腔室中的一基板固持器的RF功率; 在該基板固持器附近的一或更多磁鐵的磁場; 該基板固持器的直流(DC)偏壓; 供應至設置於該基板固持器附近的至少一電極的DC偏壓電壓; 乾式清潔氣體流率; 乾式清潔氣體壓力;及 該無晶圓乾式清潔處理的持續時間。
  32. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室的濕式清潔之間的時間最大化。
  33. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該無晶圓乾式清潔處理的持續時間最小化。
  34. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室的一元件之壽命最大化。
  35. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該電漿處理腔室中的顆粒產生最小化。
  36. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使隨後處理的生產用基板或生產用基板之批次的臨界尺寸(CD)均勻性最大化。
  37. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係藉由使用在虛擬基板置於該電漿處理腔室中的情況下取得之光學放射光譜來執行。
  38. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係非原位執行。
  39. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係原位執行。
  40. 如申請專利範圍第30項之監視及控制電漿處理工具中之無晶圓乾式清潔(WLDC)處理的原位方法,其中該最佳化該無晶圓乾式清潔處理的該至少一參數之步驟係加以執行,用以使該無晶圓乾式清潔處理的持續時間最小化。
  41. 一種非暫態機器可存取儲存媒體,其具有儲存於其上之複數指令,該等指令使得一數據處理系統執行用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之一方法,該方法包含: 開始一晶圓批次的一生產處理; 在該生產處理期間收集一原位監視數據; 分析該晶圓批次的原位數據;及 基於該原位監視數據而重新計算該WLDC處理之參數。
  42. 如申請專利範圍第41項之非暫態機器可存取儲存媒體,其中用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之該方法更包含: 基於所取得的光學放射光譜而最佳化該無晶圓乾式清潔處理的至少一參數。
  43. 如申請專利範圍第41項之非暫態機器可存取儲存媒體,其中用以監視及控制一電漿處理工具中的一無晶圓乾式清潔處理之該方法更包含: 當所取得的該光學放射光譜與一預定目標光學放射光譜實質上相匹配時,終止該無晶圓乾式清潔處理, 其中該目標光學放射光譜為處於清潔狀況下的電漿處理腔室之特徵。
TW106110455A 2016-03-31 2017-03-29 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制 TWI656573B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662316021P 2016-03-31 2016-03-31
US62/316,021 2016-03-31

Publications (2)

Publication Number Publication Date
TW201801179A true TW201801179A (zh) 2018-01-01
TWI656573B TWI656573B (zh) 2019-04-11

Family

ID=59960577

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110455A TWI656573B (zh) 2016-03-31 2017-03-29 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制

Country Status (7)

Country Link
US (3) US20170287791A1 (zh)
JP (1) JP6974668B2 (zh)
KR (1) KR102304823B1 (zh)
CN (1) CN109075066B (zh)
SG (1) SG11201808603VA (zh)
TW (1) TWI656573B (zh)
WO (1) WO2017172536A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI799512B (zh) * 2018-02-16 2023-04-21 日商東京威力科創股份有限公司 清洗方法及電漿處理裝置

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP2020065013A (ja) * 2018-10-18 2020-04-23 東京エレクトロン株式会社 終点検出方法および終点検出装置
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020012693A1 (ja) * 2019-02-27 2020-01-16 株式会社 日立ハイテクノロジーズ プラズマ処理方法及びプラズマ処理装置
CN112013958B (zh) * 2020-07-21 2021-12-21 西安电子科技大学 一种光谱测量方法、系统、存储介质、高频感应等离子体
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
JP2022028461A (ja) 2020-08-03 2022-02-16 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法及びプラズマ処理装置
US20220093429A1 (en) * 2020-09-21 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for determining residual compounds in plasma process
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR102587031B1 (ko) * 2021-06-01 2023-10-12 충남대학교산학협력단 고종횡비 컨택홀 식각 공정에 적용 가능한 적응형 펄스 공정 장치 및 방법, 이를 구현하기 위한 프로그램이 저장된 기록매체 및 이를 구현하기 위해 매체에 저장된 컴퓨터프로그램
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230369033A1 (en) * 2021-11-12 2023-11-16 Mks Instruments, Inc. Methods and Systems for Feedback Control in Plasma Processing Using Radical Sensing
CN114324187B (zh) * 2021-12-01 2023-10-20 杭州富芯半导体有限公司 监测刻蚀腔体颗粒的方法、装置、服务器及可读存储介质
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240035154A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Fluorine based cleaning for plasma doping applications

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (zh) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
JPH08232087A (ja) 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5648198A (en) 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
TW439143B (en) * 1999-03-01 2001-06-07 United Microelectronics Corp Method to prevent first-wafer effect
US6419846B1 (en) 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
JP3508856B2 (ja) * 2001-03-12 2004-03-22 松下電器産業株式会社 エッチング方法
US20040235303A1 (en) * 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
WO2002090615A1 (en) * 2001-05-04 2002-11-14 Lam Research Corporation Duo-step plasma cleaning of chamber residues
US20030005943A1 (en) 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
KR20040066170A (ko) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20040058359A1 (en) 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
TW200405395A (en) * 2002-05-29 2004-04-01 Tokyo Electron Ltd Method and apparatus for monitoring film deposition in a process chamber
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
JP2006501620A (ja) 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムとともに光学系を使用するための装置及び方法
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
US20060006139A1 (en) 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
JP2005199128A (ja) * 2004-01-13 2005-07-28 Ideal Star Inc プロセスシステム、排ガス処理方法及びガラス
KR100830749B1 (ko) * 2004-02-19 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치에 있어서의 처리실의 클리닝 방법, 기억매체, 플라즈마 처리 장치, 기판 처리 방법 및 클리닝의종점 검출 방법
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7959970B2 (en) * 2004-03-31 2011-06-14 Tokyo Electron Limited System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US7312865B2 (en) 2004-03-31 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for in situ monitoring of chamber peeling
US20050241669A1 (en) 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
JP2006216822A (ja) * 2005-02-04 2006-08-17 Hitachi High-Technologies Corp ウェハ処理装置およびウェハ処理方法
TW200711757A (en) * 2005-08-02 2007-04-01 Massachusetts Inst Technology Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
CN100587902C (zh) 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
US7964039B2 (en) 2007-09-07 2011-06-21 Imec Cleaning of plasma chamber walls using noble gas cleaning step
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
IE20090628A1 (en) 2009-08-17 2011-03-30 Lexas Res Ltd Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate.
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
WO2011063407A2 (en) 2009-11-23 2011-05-26 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
JP5688227B2 (ja) * 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
KR20120004190A (ko) * 2010-07-06 2012-01-12 삼성전자주식회사 반도체 제조장치의 세정방법
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
KR20120126418A (ko) 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
JP5973850B2 (ja) * 2012-09-03 2016-08-23 大陽日酸株式会社 クリーニング終点検知方法
JP6033453B2 (ja) * 2012-10-17 2016-11-30 東京エレクトロン株式会社 多変量解析を用いたプラズマエンドポイント検出
CN103117202B (zh) 2013-02-19 2015-09-09 中微半导体设备(上海)有限公司 等离子体处理工艺的终点检测装置及方法
KR20160089515A (ko) 2013-12-05 2016-07-27 도쿄엘렉트론가부시키가이샤 직류 중첩 동결
US9200950B2 (en) 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI799512B (zh) * 2018-02-16 2023-04-21 日商東京威力科創股份有限公司 清洗方法及電漿處理裝置

Also Published As

Publication number Publication date
TWI656573B (zh) 2019-04-11
US11273469B2 (en) 2022-03-15
US20170287791A1 (en) 2017-10-05
JP6974668B2 (ja) 2021-12-01
US20200406315A1 (en) 2020-12-31
US20170282223A1 (en) 2017-10-05
CN109075066B (zh) 2023-08-04
WO2017172536A1 (en) 2017-10-05
JP2019511843A (ja) 2019-04-25
KR102304823B1 (ko) 2021-09-23
US10773282B2 (en) 2020-09-15
KR20180122036A (ko) 2018-11-09
CN109075066A (zh) 2018-12-21
SG11201808603VA (en) 2018-10-30

Similar Documents

Publication Publication Date Title
TWI656573B (zh) 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制
US8747686B2 (en) Methods of end point detection for substrate fabrication processes
US6815362B1 (en) End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
KR100780021B1 (ko) 플라즈마처리방법 및 플라즈마처리장치
TWI529788B (zh) 電漿未侷限之偵測方法與裝置
JP2019511843A5 (zh)
US20030005943A1 (en) High pressure wafer-less auto clean for etch applications
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20180082855A1 (en) Plasma processing method
WO2002090615A1 (en) Duo-step plasma cleaning of chamber residues
TWI828612B (zh) 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備
TW201830516A (zh) 選擇性蝕刻速度監控器
JP5548028B2 (ja) 堆積チャンバのリモートクリーニング方法
US9147556B2 (en) Plasma processing method and plasma processing apparatus
US20040235303A1 (en) Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US9691618B2 (en) Methods of fabricating semiconductor devices including performing an atomic layer etching process
US20200105508A1 (en) Methods and Systems for Managing Byproduct Material Accumulation During Plasma-Based Semiconductor Wafer Fabrication Process
US20070074741A1 (en) Method for dry cleaning nickel deposits from a processing system
JP5160393B2 (ja) プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
IE20020142A1 (en) Plasma chamber cleaning