KR20180122036A - 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어 - Google Patents

웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어 Download PDF

Info

Publication number
KR20180122036A
KR20180122036A KR1020187031515A KR20187031515A KR20180122036A KR 20180122036 A KR20180122036 A KR 20180122036A KR 1020187031515 A KR1020187031515 A KR 1020187031515A KR 20187031515 A KR20187031515 A KR 20187031515A KR 20180122036 A KR20180122036 A KR 20180122036A
Authority
KR
South Korea
Prior art keywords
plasma processing
dry cleaning
cleaning process
waferless dry
monitoring
Prior art date
Application number
KR1020187031515A
Other languages
English (en)
Other versions
KR102304823B1 (ko
Inventor
브라이언 제이 콥파
디팩 베다차람
프랑수아 씨 다싸파
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180122036A publication Critical patent/KR20180122036A/ko
Application granted granted Critical
Publication of KR102304823B1 publication Critical patent/KR102304823B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • B08B9/0865Cleaning containers, e.g. tanks by burning-out
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • G01N21/73Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited using plasma burners or torches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Orthopedics, Nursing, And Contraception (AREA)

Abstract

본 명세서에는 건식 세정 가스를 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버 내로 유동시키고, 웨이퍼리스 건식 세정 프로세스를 개시하기 위하여 플라스마 프로세싱 챔버 내의 플라스마를 점화시킴으로써 광 방출 분광 시스템으로부터 광 방출 스펙트럼을 획득하기 위한 아키텍처, 플랫폼 및 방법이 설명된다.

Description

웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
본 출원은 2016년 3월 31일에 출원되고, 발명의 명칭이 "웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어를 위한 방법"인 미국 가특허출원 제61/316,021호(참조 번호 TEA-136US1-PRO)에 기초하며 우선권을 주장한다.
비아(via) 및 트렌치(trench) 피처들에 대한 건식 에칭 프로세스의 문제점 중 하나는 웨이퍼의 전체 로트의 프로세싱 중의 에칭 프로파일의 변동이다. 이것은 패시베이션 및 에칭 선택성에 사용되는 탄소(C) 및 불소(F)(총괄적으로 CF라 칭함) 기반 에칭 가스 구성 성분의 형성에 의한 것일 수 있으며, 이는 반도체 프로세싱에서 패터닝된 웨이퍼에 대한 특정 에칭 프로파일을 형성하는데 사용된다. 웨이퍼 간 건식 세정 사이클 동안 이들 구성 성분이 챔버로부터 동일한 정도로 효과적으로 제거되지 않으면, 폴리머 증착이 챔버 내에 축적되어 막 층의 형성으로 이어지며, 이는 입자 형성 및 박리로 이어질 수 있고, 웨이퍼 상의 결함 및 디바이스 고장을 발생시킬 수 있다. 또한, 디바이스 웨이퍼들 간의 챔버의 비효율적이거나 일관성 없는 웨이퍼리스 건식 세정(WLDC: waferless dry clean)은 챔버의 잔류 CF 구성 성분의 변동으로 이어질 수 있으며, 이는 후속적으로 하나의 웨이퍼 로트로부터 다음 웨이퍼 로트로의 에칭 프로파일 특성의 균일성에 영향을 주는 디바이스 웨이퍼의 연속 건식 에칭 프로세스 중에 도입된다. 웨이퍼는 로트를 구성한다.
본 발명은 로트의 패터닝된 디바이스의 건식 에칭 웨이퍼 대 웨이퍼 프로세스 변동을 감소시키기 위해 웨이퍼리스 건식 세정(WLDC) 프로세스의 최적화에 관한 것이다. 광 방출 분광법(OES: Optical Emission Spectroscopy)는 플라스마 프로세싱 챔버에서의 증착 형성을 최소화하기 위해, 로트의 각 프로세스 웨이퍼 간에 수행되는 건식 세정 프로세스와 같은 플라스마로부터의 광 방출을 모니터링하는 데 사용된다. 건식 세정 사이클 동안 소진되는 염소(C) 및 불소(F)와 같은 다양한 구성 성분의 OES는 세정 프로세스의 효율성을 나타낸다. 구성 성분의 OES는 에칭 웨이퍼 프로세스 제어를 향상시키기 위해 WLDC 프로세스를 계측하고 최적화하는 데 사용될 수 있다.
상세한 설명이 첨부 도면을 참조하여 설명된다. 도면에서, 참조 번호의 가장 왼쪽 숫자(들)는 참조 번호가 처음 나타나는 도면을 식별한다. 도면들 전체에서 동일한 피처 및 구성 요소를 참조하기 위해 동일한 번호가 사용된다.
도 1은 본 명세서에서 실시예에 따른 용량성 결합 플라스마(CCP: capacitively coupled plasma) 프로세싱 시스템의 예시적인 개략 구성을 나타내는 단면도이다.
도 2는 플라스마 챔버 내부의 가스 구성 성분을 모니터링하기 위한 전체 모니터링 시스템의 일부로서, OES 스펙트럼을 결정하기 위해 광 방출 분광법(OES)을 구현하는 예시적인 플라스마 프로세싱 시스템의 예시적인 개략 블록도이다.
도 3은 최적화되지 않은 WLDC 세정 프로세스 중의 잔류 구성 성분 불소(F) 부산물의 광 방출 분광법(OES) 스펙트럼의 피크 및 건식 세정 프로세스의 기간에 대한 잔류 구성 성분의 양의 일정한 증가를 나타내는 예시적인 그래프이다.
도 4는 특정 건식 세정 프로세스 조건을 나타내는 예시적인 흐름도이다.
도 5는 본 명세서에 설명된 광 방출 분광법(OES)에 기초한 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링하고 제어하기 위한 예시적인 프로세스 흐름을 나타내는 프로세스도이다.
도 6은 세정 챔버 상태와 연관된 최적화된 웨이퍼리스 건식 세정 동안 잔류 불소(F) 부산물 구성 성분에 대한 종점의 광 방출 분광법(OES) 검출을 나타내는 예시적인 그래프이다.
도 7은 광 방출 분광법(OES) 프로세스 제어를 위한 예시적인 프로세스 흐름을 나타내는 프로세스도이다.
본 명세서에서는 웨이퍼리스 건식 세정(WLDC) 프로세스에서, 특히 광 방출 분광법(OES)을 사용한 분석에서 잔류 구성 성분을 분석하기 위한 아키텍처, 플랫폼 및 방법이 설명된다. OES와 같은 프로세스 제어 모니터/메트릭이 웨이퍼의 배치(batch)에 대한 스펙트럼에서 탄소(C) 및 불소(F) 기반 파장의 평가에 의해 WLDC 프로세스의 효율성을 분석하는 데 이용될 수 있다.
또한, WLDC 프로세스는 하나의 특정 디바이스 웨이퍼 프로세스에 후속하는 건식 세정 프로세스에 의해 제거되는 바람직하지 않은 잔류 구성 성분의 OES 스펙트럼에 기초하여 최적화될 수 있다. 통상적으로, 비효율적인 WLDC 프로세스는 WLDC에 의해 제거되도록 의도되는 구성 성분의 검사된 파장에 대해 OES 세기에서 평탄화를 보이지 않을 것이다. 그러나, 이 구성 성분의 OES 스펙트럼은, 일단 WLDC 프로세스에 있어서 종점에 도달되면 세기의 평탄화를 보여줄 것이며; 이 종점 시간이 생산 스루풋을 최적화하기 위해 WLDC 프로세스에 대한 이상적인 완료 시간을 결정하는 데 이용될 수 있다. 즉, WLDC 프로세스를 최적화함으로써(예를 들어, WLDC 프로세스를 수행하는 시간을 최소화함), 최적의 사용 및 생산이 실현될 수 있다.
플라스마 프로세싱 챔버의 습식 세정이 일상적으로 수행될 수 있다. WLDC 프로세스를 최적화함으로써, 이러한 습식 세정들 간의 시간 또는 기간이 또한 최적화될 수 있다. WLDC 프로세스에 대한 본 명세서에 설명되는 파라미터의 최적화는 습식 세정들 사이의 시간을 최대화할 수 있다. 또한, 플라스마 프로세싱 챔버 또는 시스템에서의 구성 성분의 유용한 수명은 최적화된 WLDC 프로세스로 연장될 수 있다.
또한, 보다 최적의 WLDC 프로세스를 사용하여 웨이퍼 로트에 대한 디바이스 웨이퍼들 간의 C 및 F 세기 레벨의 변동을 총괄적으로 감소시킴으로써, 웨이퍼 로트의 에칭 프로파일 특성의 균일성이 향상될 수 있다. 일관성 없는 WLDC 프로세스로 인한 과도하거나 일관성이 없는 C 및 F 구성 성분은 웨이퍼 로트의 패터닝된 디바이스 웨이퍼에 걸쳐 불충분한 임계 치수 변동으로 이어질 수 있는 패터닝된 디바이스 웨이퍼에 대한 후속 플라스마 에칭 성능의 변동을 야기할 수 있다.
OES는 디바이스 웨이퍼 에칭 프로세스로부터 야기되는 바람직하지 않은 잔류 구성 성분을 제거하기 위한 WLDC의 일관성 및 효율성을 최적화하는 데 사용될 수 있다. 따라서, 웨이퍼 로트 내의 OES 스펙트럼에서 C 및 F 기반 종의 OES는 디바이스 웨이퍼 로트의 에칭 프로파일 균일성과 같은 주요 메트릭에 직접적으로 상관된다. 또한, 디바이스 웨이퍼와 동일한 에칭 프로세스에 노출된 베어(bare) 실리콘 웨이퍼가 WLDC를 최적화하는데 사용될 수 있음이 밝혀졌는데, 이는 OES가 WLDC 프로세스 파라미터의 조정에 대해 동일한 응답 및 경향을 보이기 때문이다. 프로세스 파라미터의 예는 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버에 공급되는 무선 주파수(RF) 또는 마이크로파 전력; 플라스마 프로세싱 챔버에 공급되는 RF 또는 마이크로파 전력 펄스 주파수; 플라스마 프로세싱 챔버에 대한 RF 또는 마이크로파 펄스 듀티 사이클; 플라스마 프로세싱 챔버의 기판 홀더에 공급되는 RF 전력; 기판 홀더에 근접하는 하나 이상의 자석의 자기장; 기판 홀더의 DC 바이어스; 기판 홀더에 근접하게 배치된 적어도 하나의 전극에 공급되는 DC 바이어스 전압; 건식 세정 가스 유량; 웨이퍼 척(chuck) 온도, 건식 세정 가스 압력; 및 웨이퍼리스 건식 세정 프로세스의 지속 시간을 포함하지만 이에 한정되는 것은 아니다.
보다 높은 산소 플라스마 전력을 사용하는 것과 같은 C 및 F의 제거에 대한 WLDC 프로세스에서의 향상은 결과적인 OES 스펙트럼에 기초하여 베어 실리콘 또는 디바이스 웨이퍼 중 어느 하나 사이에서 발견될 수 있으며; 고비용의 디바이스 웨이퍼를 소모하지 않고도 WLDC 프로세스를 최적화할 수 있다. 특정 구현에서, 더미 기판 또는 웨이퍼가 본 명세서에서 설명되는 바와 같이 사용될 수 있다. 따라서, WLDC 프로세스에 대한 최적의 시간이 달성될 수 있으며, 이러한 WLDC 프로세스에 대해 최소 시간이 결정된다. 또한, 최적의 WLDC 프로세스는 웨이퍼 로트에 대한 에칭 프로파일 웨이퍼 대 웨이퍼 균일성을 향상시킬 수 있다.
OES는 디바이스 웨이퍼 에칭 프로세스로부터 야기되는 바람직하지 않은 잔류 구성 성분을 제거하기 위한 WLDC의 일관성 및 효율성을 최적화하는 데 사용될 수 있음이 밝혀졌다. 따라서, 웨이퍼 로트 내의 C 및 F 구성 성분 스펙트럼의 OES는 디바이스 웨이퍼 로트의 웨이퍼 대 웨이퍼 에칭 프로파일 균일성과 같은 주요 메트릭에 직접 상관된다. 또한, 디바이스 웨이퍼와 동일한 에칭 프로세스에 노출된 베어 실리콘 웨이퍼는 WLDC를 최적화하는 데 사용될 수 있음이 밝혀졌는데, 그 이유는 OES가 가스 압력, 가스 흐름, 플라스마 노출 시간, 플라스마 전력, 바이어스 전압 및 온도를 포함하지만 이에 한정되지는 않는 WLDC 프로세스 파라미터의 조정에 대해 동일한 응답 및 경향을 나타내기 때문이다.
예를 들어, 보다 높은 산소 플라스마 전력을 사용함으로써 달성된 C 및 F 및 CF 폴리머 증착의 제거를 위한 WLDC 프로세스의 개선은 결과적인 OES 스펙트럼에 기초한 베어 실리콘 및 디바이스 웨이퍼 모두에서 발견될 수 있어; 고비용의 디바이스 생산 웨이퍼를 소모하지 않고 WLDC 프로세스를 최적화할 수 있다. 따라서, 보다 높은 산소 플라스마 전력의 사용은 웨이퍼 로트 내에서 웨이퍼 대 웨이퍼 에칭 프로파일을 균일성을 향상시키기 위한 최적의 WLDC 프로세스 또는 디바이스 웨이퍼를 결정하기 위해 OES 분석에 기초한 WLDC의 최적화에 대한 시간(및 그에 따른 웨이퍼 생산량)을 가속화시키고 비용을 감소시킨다. 웨이퍼의 배치(batch)에 대한 바람직하지 않은 잔류 종의 변동이 웨이퍼 로트 내의 복수의 웨이퍼에 대해 후속적으로 형성되는 에칭 프로파일의 균일성의 변화를 예측하는 데 사용될 수 있기 때문에, OES 스펙트럼 분석의 사용은 또한 광범위하게 다양한 에칭 프로세스 조건에 대한 세정 효율성 나타내는 것으로 밝혀질 수 있다.
또한, 보다 효과적인 WLDC 프로세스는 챔버에서 축적되는 잔류물을 제거하기 위한 보다 양호한 에칭 프로세스 제어 또는 챔버 환경을 안정화시키기 위한 컨디셔닝을 달성하기 위해 디바이스 웨이퍼들 간에 추가적인 베어 실리콘 더미(dummy) 웨이퍼가 구동되어야 하는 필요성을 감소시켜; 전체 프로세스 시간 및 비용을 감소시킨다. 또한, 챔버 클리너를 장기간 유지하는 최적의 WLDC 프로세스는 습식 세정 예방 유지 보수 사이클의 빈도를 감소시키며, 이는 궁극적으로 챔버 활용도와 생산성을 향상시킨다.
특정 예에서, 에칭 프로세스는 C 및 F와 같은 챔버 내로 광범위하게 다양한 가스 종을 도입할 수 있으며, 이는 궁극적으로는 플라스마 프로세싱 챔버 내에서 그리고 웨이퍼 표면 상에서 입자를 형성할 수 있는 플라스마 프로세싱 챔버 내의 폴리머 증착으로 이어진다. OES 스펙트럼은 디바이스 웨이퍼를 프로세싱한 후에 챔버에서 구동되는 WLDC 프로세스 중에 챔버로부터 제거된 가스 종을 평가하기 위해 후속 세정 중에 수집될 수 있다.
보다 효과적인 잔류 구성 성분(CF) 제거를 갖는 보다 효과적인 WLDC 프로세스를 갖는 웨이퍼 로트에 대한, 보다 덜 효과적인 잔류 구성 성분(CF) 제거를 갖는 보다 덜 효과적인 WLDC 프로세스를 갖는 웨이퍼 로트 간의 비교에서, 보다 덜 효과적인 WLDC 프로세스는 WLDC 프로세스 시간 동안 검사된 F 파장에 대해 OES 세기의 평탄화를 보이지 않을 수 있다. 반대로, 보다 효과적인 WLDC 프로세스는 챔버의 세정 조건으로 카테고리화될 수 있는 로트에 대한 각각의 디바이스 웨이퍼 에칭 프로세스 간에 발생하는 모든 WLDC 프로세스에 대해 이렇게 정확히 동일한 F 구성 성분에 대한 OES 종점을 나타낼 수 있다. 또한, 입자 모니터 웨이퍼는 입자 레벨을 분석하기 위해 각 웨이퍼 로트 전후에 플라스마 프로세싱 챔버를 통해 사이클링될 수 있다. 불충분한 WLDC에 대한 챔버 표면으로부터의 박리로 이어지는 폴리머 증착이 시간이 지남에 따라 축적될 수 있기 때문에, 보다 효과적인 WLDC 프로세스의 장기의 이점이 챔버에서 입자 레벨의 증가하는 경향을 감소시키는 데에서 보일 수 있다.
보다 효과적인 WLDC 프로세스를 위해, 보다 높은 산소 또는 O2 압력을 사용할 때, 보다 높은 O2 전력 및 보다 높은 바이어스 전압이 로트 내의 에칭 균일성을 상당히 향상시킬 수 있다. 많은 웨이퍼에 걸쳐 에칭 프로파일의 바닥 비아 임계 치수 즉 CD의 평균(mean)의 범위 및 표준 편차의 감소가 보다 효과적인 WLDC로 실현될 수 있다. 또한, 이러한 보다 효과적인 WLDC를 사용하는 웨이퍼 로트는 또한 바닥 비아 폭 CD와 같은 다양한 CD에 대해 더 낮은 웨이퍼 내 에칭 균일성을 가질 수 있다. 입자 레벨은 향상된 WLDC 프로세스를 갖는 웨이퍼 로트에 대해 측정되는 덜 추가된 결함에 추가하여 더욱 효과적인 WLDC에 대해 감소될 수 있다. 특정 레시피(recipe)로 전체 웨이퍼 로트 프로세싱 중에 프로세싱될 추가적인 베어 실리콘 더미 챔버 컨디셔닝 웨이퍼를 추가해야 할 필요성이 제거될 수 있어, 전체 웨이퍼 로트 프로세싱 시간을 절감한다.
웨이퍼 로트에 대한 디바이스 웨이퍼들 간에 구동되는 WLDC 프로세스 중의 OES 분석은 보다 높은 O2 압력, 보다 높은 O2 전력 및 DC 바이어스 전압에서 동작하는 보다 효과적인 WLDC 프로세스를 보일 수 있다. 보다 높은 전력의 산소 라디칼 및 이온으로부터 생성된 디바이스 웨이퍼 에칭 프로세스 후에 남아 있는 주 구성 성분으로서, 플라스마 프로세싱 챔버로부터의 보다 효과적이고 일관된 F의 제거는 웨이퍼 로트의 연속 웨이퍼에 대한 플라스마 프로세싱 챔버에서 보다 깨끗하고 보다 일관된 환경을 생성할 수 있다. 전체적인 웨이퍼 내 및 로트 내 에칭 균일성이 향상될 수 있다. 1 대 1의 상관 관계가 WLDC OES의 로트 내 F 변동의 감소와 로트의 웨이퍼 당 평균(mean) 바닥 CD의 표준 편차의 감소 간에 실현될 수 있다. 예를 들어, OES WLDC 프로세스는, 제조 공장에서 에칭 균일성을 최적화하기 위해 OES WLDC 프로세스가 플라스마 프로세싱 시스템/챔버의 프로세스 자동화 피처와 링크될 때, 에칭 프로세스 제어를 향상시키기 위해 인시츄(in-situ) 진단으로서 사용될 수 있다.
또한, 레이저 유도 형광(LIF), 레이저 간섭법, 질량 분광법, 잔류 가스 분석, FTIR 등과 같은 다른 광학 진단 방법이 WLDC 프로세스를 모니터링하기 위해 OES 대신 사용될 수 있으며, 동일하거나 유사한 결과를 갖는다.
도 1은 본 명세서의 실시예에 따른 용량성 결합 플라스마(CCP) 프로세싱 장치 또는 플라스마 프로세싱 시스템(100)의 일례의 개략적인 단면도를 나타낸다. 방사형 라인 슬롯 안테나(RLSA: radial line slot antenna) 및 유도성 결합 플라스마(ICP: inductively coupled plasma) 프로세싱 시스템이 구현될 수 있는 것과 같이, 다른 프로세싱 시스템이 구현될 수 있다는 것이 이해되어야 한다. 특정 구현에서, 플라스마 프로세싱 시스템(100)은 C 및 F와 같은 잔류 구성 성분의 OES 스펙트럼 분석을 구현할 수 있는 WLDC 프로세스에 사용된다. 또한, 플라스마 분석이 수행될 수 있다. 또한, 종점 분석이 수행될 수 있다. WLDC 프로세스의 지속 기간은 잔여 구성 성분의 OES 데이터를 사용하여 WLDC 프로세스 중에 최적화될 수 있는 파라미터일 수 있다.
플라스마 프로세싱 시스템(100)은 애싱(ashing), 에칭, 증착, 세정, 플라스마 중합, 플라스마 강화 화학 기상 증착(PECVD: plasma-enhanced chemical vapor deposition), 플라스마 강화 원자층 증착(PEALD: plasma-enhanced atomic layer deposition) 등을 포함하는 복수의 동작에 사용될 수 있다. 알루미늄 또는 스테인레스강과 같은 금속으로 이루어진 진공 챔버일 수 있는 플라스마 프로세싱 챔버(102) 내에서 플라스마 프로세싱이 실행될 수 있다. 플라스마 프로세싱 챔버(102)는 이러한 접지(들)(104)에 접지된다. 플라스마 프로세싱 챔버(102)는 플라스마 생성을 위한 프로세스 공간(PS: process space)(106)을 제공하는 프로세싱 용기를 한정한다. 플라스마 프로세싱 챔버(102)의 내벽은 알루미나, 이트리아(yttria) 또는 다른 보호제로 코팅될 수 있다. 플라스마 프로세싱 챔버(102)는 원통형이거나 다른 기하학적 구성을 가질 수 있다.
플라스마 프로세싱 챔버(102) 내의 보다 낮은 중앙 영역에서, (디스크형일 수 있는) 기판 홀더 또는 서셉터(susceptor)(108)가, 예를 들어, (예를 들어, 반도체 웨이퍼와 같은) 프로세싱될 기판(W)이 장착될 수 있는 장착 테이블로서의 역할을 할 수 있다. 기판(W)(110)은 로딩/언로딩 포트(112) 및 게이트 밸브(114)를 통해 플라스마 프로세싱 챔버(102) 내로 이동될 수 있다. 서셉터(108)는 그 위에 기판(W)(110)을 장착하기 위한 장착 테이블로서 기능하는 제2 전극의 일례로서 하부 전극(116)(하부 전극 조립체)의 일부를 형성한다. 구체적으로, 서셉터(108)는 절연 플레이트(120)를 통해 플라스마 프로세싱 챔버(102)의 실질적으로 바닥 중앙에 제공되는 서셉터 지지체(118) 상에 지지된다. 서셉터 지지체(118)는 원통형일 수 있다. 서셉터(108)는 예를 들어 알루미늄 합금으로 형성될 수 있다. 서셉터는 기판(W)(110)을 보유하기 위한 (하부 전극 조립체(116)의 일부로서의) 정전 척(122)과 함께 그 위에 제공된다. 정전 척(122)에는 전극(124)이 제공된다. 전극(124)은 DC 전원(126)(직류 전류 전력원)에 전기적으로 접속된다. 정전 척(122)은, DC 전원(126)으로부터의 DC 전압이 전극(124)에 인가될 때 생성된 정전기력을 통해 기판(W)(110)을 당긴다. 기판 홀더 또는 서셉터(108)의 DC 바이어스 및 전극(116, 124) 중 하나 이상에 공급되는 DC 바이어스 전압은 잔류 구성 성분의 OES 데이터를 사용하여 WLDC 프로세스 동안 최적화될 수 있는 파라미터일 수 있다.
서셉터(108)는 매칭 유닛(132)을 통해 고주파 전원(130)과 전기적으로 접속될 수 있다. 이러한 고주파 전원(130)(제2 전원)은, 예를 들어 2 MHz 내지 20 MHz의 범위의 고주파 전압을 출력할 수 있다. 플라스마 프로세싱 챔버(102)에서 발생된 플라스마에 고주파 바이어스 전력을 인가함으로써 이온이 기판(W)(110)에 당겨지게 한다. 포커스 링(focus ring)(134)이 서셉터(108)의 상부면 상에 제공되어 정전 척(122)을 둘러싼다. 또한, RF 또는 마이크로파 전력(도시되지 않음)이 플라스마 프로세싱 챔버(102)에 제공될 수 있다. 플라스마 프로세싱 챔버에 공급되는 RF 또는 마이크로파 전력; RF 또는 마이크로파 전력 펄스 주파수; RF 또는 마이크로파 펄스 듀티 사이클; 플라스마 프로세싱 챔버(102)에서 기판 홀더 또는 서셉터(108)에 공급되는 RF 전력은 잔류 구성 성분의 OES 데이터를 사용하여 WLDC 프로세스 동안 최적화될 수 있는 파라미터일 수 있다.
원통형이거나 예를 들어 석영으로 형성될 수 있는 내벽 부재(136)가 정전 척(122) 및 서셉터 지지체(118)의 외주측에 부착된다. 서셉터 지지체(118)는 냉각제 유로(138)를 포함한다. 냉각제 유로(138)는 플라스마 프로세싱 챔버(102)의 외측에 설치된 칠러(chiller) 유닛(도시하지 않음)과 연통한다. 냉각제 유로(138)에는 대응 라인을 통해 순환하는 냉각제(냉각액 또는 냉각수)가 공급된다. 따라서, 서셉터(108) 상에/위에 장착된 기판(W)(110)의 온도가 정확하게 제어될 수 있다. 서셉터(108) 및 서셉터 지지체(118)를 통과하는 가스 공급 라인(140)이 열전달 가스를 정전 척(122)의 상부 표면에 공급하도록 구성된다. 헬륨(He)과 같은 열전달 가스(후측 가스라고도 알려짐)가 가스 공급 라인(140)을 통해 기판(W)(110)과 정전 척(122) 사이에 공급되어 기판(W)(110)의 가열을 돕는다.
배기 통로(142)가 내벽 부재(136)의 외주 및 플라스마 프로세싱 챔버(102)의 내측벽 표면을 따라 형성될 수 있다. 배기 포트(144)(또는 복수의 배기 포트)가 배기 통로(142)의 바닥부에 제공된다. 가스 배기 유닛(146)은 가스 배기 라인(148)을 통해 각각의 배기 포트에 접속된다. 가스 배기 유닛(146)은 원하는 진공 조건까지 플라스마 프로세싱 챔버(102) 내의 플라스마 프로세싱 공간을 감압하도록 구성된 터보 분자 펌프와 같은 진공 펌프를 포함할 수 있다. 가스 배기 유닛(146)은 플라스마 프로세싱 챔버(102)의 내측을 배기하여, 원하는 진공도까지 그 내부 압력을 감압한다.
상부 전극(150)(즉, 상부 전극 조립체)은 제1 전극의 일례이며, 하부 전극(116) 위에 수직으로 위치되어, 하부 전극(116)과 평행하게 대향한다. 플라스마 생성 공간 또는 프로세스 공간(PS: process space)(106)은 하부 전극(116)과 상부 전극(150) 사이에 규정된다. 상부 전극(150)은 디스크 형상을 갖는 내부 상부 전극(152)을 포함하고, 외부 상부 전극(154)은 환형일 수 있고 내부 상부 전극(152)의 주위를 둘러싼다. 내부 상부 전극(152)은 하부 전극(116) 상에 장착된 기판(W)(110) 위의 프로세스 공간(PS)(106)으로 특정량의 프로세싱 가스를 주입하기 위한 프로세싱 가스 입구로서 또한 기능한다.
보다 구체적으로, 내부 상부 전극(152)은 가스 주입 개구(158)를 갖는 전극 플레이트(156)(통상적으로 원형임)를 포함한다. 내부 상부 전극(152)은 또한 전극 플레이트(156)의 상부측을 착탈 가능하게 지지하는 전극 지지체(160)를 포함한다. 전극 지지체(160)는 (전극 플레이트(156)가 원형으로 구현될 때) 전극 플레이트(156)와 실질적으로 동일한 직경을 갖는 디스크 형태로 형성될 수 있다. 대안적인 실시예에서, 전극 플레이트(156)는 정사각형, 직사각형, 다각형 등일 수 있다. 전극 플레이트(156)는 Si, SiC, 도핑된 Si, 알루미늄 등과 같은 도전체 또는 반도체 재료로 형성될 수 있다. 전극 플레이트(156)는 상부 전극(150)과 일체로 될 수 있거나, 표면 부식 후에 주어진 플레이트를 교체하기 위한 편의를 위해 전극 지지체(160)에 의해 착탈 가능하게 지지될 수 있다. 상부 전극(150)은 또한 전극 플레이트(156)의 온도를 제어하기 위한 냉각 플레이트 또는 냉각 기구(도시되지 않음)를 포함할 수 있다.
전극 지지체(160)는 예를 들어 알루미늄으로 형성될 수 있으며, 버퍼 챔버(162)를 포함할 수 있다. 버퍼 챔버(162)는 프로세스 가스를 확산시키는 데 사용되며, 디스크 형상의 공간을 규정할 수 있다. 프로세스 가스 공급 시스템(164)으로부터의 프로세싱 가스는 상부 전극(150)에 가스를 공급한다. 프로세스 가스 공급 시스템(164)은 기판(W)(110) 상에 막 형성, 에칭 등과 같은 특정 프로세스를 수행하기 위한 프로세싱 가스를 공급하도록 구성될 수 있다. 프로세스 가스 공급 시스템(164)은 프로세싱 가스 공급 통로를 형성하는 가스 공급 라인(166)에 접속된다. 가스 공급 라인(166)은 내부 상부 전극(152)의 버퍼 챔버(162)에 접속된다. 그 후, 프로세싱 가스는 버퍼 챔버(162)로부터 그 하부면에 있는 가스 주입 개구(158)로 이동할 수 있다. 버퍼 챔버(162)로 도입된 프로세싱 가스의 유량은, 예를 들어 질량 흐름 제어기를 사용하여 조정될 수 있다. 또한, 도입된 프로세싱 가스는 전극 플레이트(156)(샤워헤드 전극)의 가스 주입 개구(158)로부터 프로세스 공간(PS)(106)으로 균일하게 배출된다. 그러면, 내부 상부 전극(152)은 부분적으로 샤워헤드 전극 조립체를 제공하도록 기능한다. 건식 세정 가스 유량 및 건식 세정 가스 압력은 잔류 구성 성분의 OES 데이터를 사용하여 WLDC 프로세스 중에 최적화될 수 있는 파라미터일 수 있다. 건식 세정 가스는 산소, 산소 함유 가스, HCl, F2, Cl2, 수소, 질소, 아르곤, SF6, C2F6, NF3, CF4 또는 이들 가스의 둘 이상의 혼합물을 포함할 수 있다.
내부 상부 전극(152)과 외부 상부 전극(154) 사이에는 링 형상을 갖는 유전체(168)가 개재될 수 있다. 링 형상을 갖고 예를 들어 알루미나로 형성되는 차폐 부재일 수 있는 절연체(170)가 외부 상부 전극(154)과 플라스마 프로세싱 챔버(102)의 내주벽 사이에 기밀 방식으로 개재된다.
외부 상부 전극(154)은 급전부(174), 상부 급전 로드(176) 및 매칭 유닛(178)를 통해 고주파 전원(172)(제1 고주파 전원)과 전기적으로 접속된다. 고주파 전원(172)은 13MHz(메가헤르쯔) 또는 그 이상의 주파수(예를 들어, 60MHz)를 갖는 고주파 전압을 출력할 수 있거나, 30~300MHz의 주파수를 갖는 초고주파(VHF: very high frequency) 전압을 출력할 수 있다. 이 전원(172)은 바이어스 전원과 비교하여 주 전력 공급기로 칭해질 수 있다. 급전부(174)는 예를 들어 개방 하부면을 갖는 실질적으로 원통형으로 형성될 수 있다. 급전부(174)는 그 하부 단부에서 외부 상부 전극(154)에 접속될 수 있다. 급전부(174)는 그 상부면의 중앙부에서 상부 급전 로드(176)의 하부 단부와 전기적으로 접속된다. 상부 급전 로드(176)는 그 상부 단부에서 매칭 유닛(178)의 출력측에 접속된다. 매칭 유닛(178)은 고주파 전원(172)에 접속되어, 고주파 전원(172)의 내부 임피던스와 부하 임피던스를 매칭시킬 수 있다. 그러나, 외부 상부 전극(154)은 선택적이며 실시예는 단일 상부 전극으로 기능할 수 있다는 점에 유의한다.
급전부(174)는, 그 직경이 플라스마 프로세싱 챔버(102)의 직경과 실질적으로 동일한 측벽을 갖는 원통형일 수 있다. 접지 도전체(180)는 그 하부 단부에서 플라스마 프로세싱 챔버(102)의 측벽의 상부에 접속된다. 상부 급전 로드(176)는 접지 도전체(180)의 상부면의 중앙부를 통과한다. 접지 도전체(180)와 상부 급전 로드(176) 사이의 접촉부에 절연 부재(182)가 개재된다.
전극 지지체(160)는 그 상부면 상의 하부 급전 로드(184)와 전기적으로 접속된다. 하부 급전 로드(184)는 커넥터를 통해 상부 급전 로드(176)에 접속된다. 상부 급전 로드(176) 및 하부 급전 로드(184)는 고주파 전원(172)으로부터 상부 전극(150)으로 고주파 전력을 공급하기 위한 급전 로드를 형성한다. 가변 콘덴서(186)가 하부 급전 로드(184)에 제공된다. 가변 콘덴서(186)의 커패시턴스를 조정함으로써, 고주파 전원(160)으로부터 고주파 전력이 인가되는 경우, 내부 상부 전극(172)의 바로 아래에 형성된 전계 세기에 대한 외부 상부 전극(154) 바로 아래에 형성된 전계 세기의 상대 비율이 조정될 수 있다. 상부 전극(150)의 내부 상부 전극(152)은 저역 통과 필터(LPF)(188)와 전기적으로 접속된다. LPF(188)는 고주파 전원(172)으로부터의 고주파를 차단하면서 고주파 전원(130)으로부터의 저주파를 접지로 통과시킨다. 시스템의 하부에서, 하부 전극(120)의 일부를 형성하는 서셉터(108)는 고역 통과 필터(HPF)(190)와 전기적으로 접속된다. HPF(190)는 고주파 전원(172)으로부터의 고주파를 접지로 통과시킨다.
고주파 전원(172)으로부터 상부 전극(150)에 약 3㎒ 내지 150㎒ 범위의 고주파 전력을 인가된다. 이는 상부 전극(150)과 서셉터(108) 또는 하부 전극(116) 사이에 고주파 전계가 생성되는 것을 야기한다. 그 후, 프로세스 공간(PS)(106)에 전달된 프로세싱 가스는 해리되어 플라스마로 변환될 수 있다. 약 0.2㎒ 내지 20㎒ 범위의 저주파 전력이 고주파 전원(130)으로부터 하부 전극(116)을 형성하는 서셉터(108)에 인가될 수 있다. 즉, 이중 주파수 시스템이 사용될 수 있다. 결과적으로, 플라스마 내의 이온이 서셉터(108) 쪽으로 당겨지므로, 이온 지원에 의해 에칭의 이방성이 증가된다. 편의를 위해, 도 1은 상부 전극(150)에 전력을 공급하는 고주파 전원(172)을 나타낸다는 점에 유의한다. 대안적인 실시예에서는, 고주파 전원(172)이 하부 전극(116)에 공급될 수 있다. 따라서, 주 전력(에너자이징 전력) 및 바이어스 전력(이온 가속화 전력) 모두가 하부 전극에 공급될 수 있다.
플라스마 프로세싱 시스템(100)의 구성 요소는 대응하는 저장 유닛(194) 및 사용자 인터페이스(196)에 차례로 접속될 수 있는 제어 유닛(192)에 접속될 수 있고, 이에 의해 제어될 수 있다. 다양한 플라스마 프로세싱 동작이 사용자 인터페이스(196)를 통해 실행될 수 있고, 다양한 플라스마 프로세싱 레시피 및 동작이 저장 유닛(194)에 저장될 수 있다. 따라서, 주어진 기판은 다양한 미세 가공 기술로 플라스마 프로세싱 챔버 내에서 프로세싱될 수 있다. 동작 중에, 플라스마 프로세싱 장치는 프로세싱 공간(PS)(106)에서 플라스마를 생성하기 위해 상부 및 하부 전극을 사용한다. 이렇게 생성된 플라스마는 플라스마 에칭, 화학 기상 증착, 유리 재료의 처리 및 박막 태양 전지와 같은 대형 패널, 다른 광기전 전지 및 평면 패널 디스플레이용 유기/무기 플레이트 등의 처리와 같은 다양한 유형의 처리에서 (기판(W)(110) 또는 프로세싱될 임의의 재료와 같은) 타겟 기판을 프로세싱하는 데 그 후에 사용될 수 있다. 본 명세서에서 설명되는 특정 구현에서, 비생산 웨이퍼일 수 있는 더미 기판이 웨이퍼(W)(110)로서 사용될 수 있다.
제어 유닛(192)은 하나 이상의 프로세서, 마이크로컴퓨터, 컴퓨팅 유닛 등을 포함할 수 있다. 저장 유닛(194)은 메모리를 포함할 수 있으며, 본 명세서에 설명된 다양한 기능을 수행하기 위해 제어 유닛(192)에 의해 실행되는 명령어를 저장하기 위한 비일시적 컴퓨터 판독 가능 저장 매체의 예이다. 예를 들어, 저장 유닛(194)은 일반적으로 휘발성 메모리 및 비휘발성 메모리(예를 들어, RAM, ROM 등) 모두를 포함할 수 있다. 메모리는 본 명세서에서 메모리 또는 컴퓨터 판독 가능 저장 매체로 칭해질 수 있다. 메모리는 본 명세서의 구현에서 설명된 동작 및 기능을 수행하도록 구성된 특정 머신으로 제어 유닛(190)에 의해 실행될 수 있는 컴퓨터 프로그램 코드로서 컴퓨터 판독 가능한, 프로세서 실행 가능 프로그램 명령어를 저장할 수 있다.
메모리는 하나 이상의 어플리케이션(도시되지 않음)을 추가로 저장할 수 있다. 어플리케이션은 사전 구성/설치 및 다운로드 가능한 어플리케이션을 포함할 수 있다. 또한, 메모리는 본 명세서에 설명된 프로세스에 사용되는 OES 스펙트럼 데이터를 저장할 수 있다.
플라스마 프로세싱 시스템(100)은 분광계(198) 및 윈도우(199)를 더 포함할 수 있다. 분광계(196)는 프로세스 종점 분석 및 OES 스펙트럼에 사용되는 광을 모으기 위해 사용된다. 분광계(198)는 제어 유닛(192) 또는 다른 제어기/시스템에 접속될 수 있다.
도 2는 OES 스펙트럼을 결정하기 위한 광 방출 분광법(OES) 및 플라스마 모니터링을 구현하는 예시적인 플라스마 프로세싱 시스템의 예시적인 개략 블록도이다. 상술한 바와 같이, 플라스마 프로세싱 챔버(102)는 하부 전극(116) 상에 장착된 기판(W)(110) 위의 프로세스 공간(PS)(106)을 제공한다. 잔류 구성 성분(예를 들어, CF)의 OES 스펙트럼 및/또는 종점 계산을 결정 및 수집하기 위한 WLDC 프로세스에서, 생산 기판(W)(110)은 없을 수 있다. 다른 구현에서, 더미 또는 비생산 기판이 기판(W)(110)을 대신한다.
이 예에서, 분광계(198)는 광 볼륨(200)으로 나타낸 바와 같이 광을 수집한다. WLDC 프로세스에서 OES 스펙트럼을 모니터링하는 동안, 광 볼륨(200)은 CF 구성 성분의 OES 스펙트럼을 포함할 수 있는 OES 스펙트럼 데이터를 제공한다.
분광계(198)는 모니터링 시스템(202)의 일부일 수 있다. 모니터링 시스템은 플라스마 프로세싱 시스템(100)의 일부일 수 있다. 모니터링 시스템(202)은 특히 플라스마 프로세싱 챔버(102)에서의 플라스마 모니터링에 사용될 수 있다. 모니터링 시스템(202)의 일부일 수 있는 다른 예시적인 시스템 및 구성 요소는 광 방출 분광 시스템(204), 레이저 유도 형광 시스템(206), 레이저 간섭계(208), 질량 분광계(210) 및 푸리에 변환 적외선(FTIR: Fourier transform infrared) 시스템(212)을 포함하지만 이에 한정되지 않는다. 특히, 분광계(196)는 광 방출 분광 시스템(204)의 일부일 수 있다. 광 방출 분광 시스템(204)은 WLDC 프로세스 동안 OES를 획득할 수 있다.
논의된 바와 같이, OES 스펙트럼과 같은 메트릭은 웨이퍼의 배치(batch) 또는 로트에 대한 OES 스펙트럼에서 C 및 F 구성 성분의 파장과 같은 바람직하지 않은 종 또는 잔류 구성 성분의 평가에 의한 WLDC 프로세스의 효율성을 분석하는 데 이용될 수 있다. 또한, WLDC 프로세스는 하나의 특정 디바이스 웨이퍼 프로세스(인시츄 프로세스)에 후속하는 건식 세정 프로세스에 의해 제거되는 바람직하지 않은 잔류 구성 성분의 OES 스펙트럼에 기초하여 최적화될 수 있다. 통상적으로, 비효율적인 WLDC 프로세스는 WLDC 프로세스 또는 심지어 산소와 같은 건식 세정 가스의 주입에 의해 제거되도록 의도된 구성 성분에 대해 조사된 파장에 대해 OES 세기에서 평탄화를 나타내지 않을 수 있다. 그러나, 이 구성 성분의 OES 스펙트럼은, 일단 WLDC 프로세스에 있어서 종점에 도달되면 세기에서의 평탄화를 나타낼 수 있으며; 이 종점 시간은 스루풋을 최적화하기 위해 WLDC에 대한 이상적인 완료 시간을 결정하는 데 이용될 수 있다. 보다 효과적인 WLDC 프로세스를 사용하여 로트에 대한 디바이스 웨이퍼들 사이의 C 및 F 세기 레벨의 변화를 총괄적으로 감소시킴으로써, 바닥 비아 폭(바닥 임계 치수 즉 CD)과 같은 그 로트의 에칭 프로파일 특성의 균일성이 향상될 수 있다. 일관성이 없는 WLDC 프로세스로부터 남아 있는 챔버 또는 폴리머 증착 형성에서의 초과 또는 일관성이 없는 CF 또는 탄소 밀도는 제조 사양에 있어서 제어 한계를 넘는 로트에 걸친 임계 치수 변동으로 이어질 수 있는 패터닝된 디바이스 웨이퍼에 대한 후속 플라스마 에칭 성능에서의 변동을 야기할 수 있다. 챔버 내에 존재하는 이러한 바람직하지 않은 구성 성분은 후속하는 디바이스 웨이퍼 에칭 프로세스 동안 에칭되는 피처의 막힘을 초래할 수 있으며, 이는 피처 프로파일의 균일한 에칭을 막는 트렌치 또는 비아 피처의 폴리머 잔류물의 형성이다. 유사하게, 챔버 내의 불소 부산물의 축적은 측벽 폴리머 패시베이션을 제거하는 경향이 있으며, 이는 궁극적으로 더 넓은 에칭 프로파일을 향한 증가 경향을 야기하기 때문에, 한 웨이퍼에서 다음 웨이퍼로 증가하는 에칭률을 증가시키는 것을 야기할 수 있다. 이 메커니즘은 웨이퍼 대 웨이퍼 및 웨이퍼 내 에칭 프로파일 균일성을 또한 향상시키는 보다 효과적인 WLDC 프로세스가 발견된 이유의 기초로 고려되었다.
도 3은 잔류 구성 성분, 및 특히 불소(F)의 OES 스펙트럼의 피크를 나타내는 예시적인 그래프(300)를 나타낸다. 특정 WLDC에 대한 불소의 OES 스펙트럼 피크는 그래프(300)에서 302로 표시된다. 그래프(304)에서 나타내어지는, 불소와 같은 특정 WLDC 부산물 OES 피크의 세기 대 시간 경향을 사용하고, 종점 분석을 구현하면, 잔류 구성 성분인 불소가 플라스마 프로세싱 챔버에서 평탄화되는지 여부 또는 그 때에 대한 결정이 이루어질 수 있다. OES에 의해 측정된 바와 같이, 챔버 내 불소 잔류 부산물 백그라운드 형성은, 폴리머 수축 기반 건식 에칭을 포함하는 웨이퍼 로트의 웨이퍼를 프로세싱하는 동안, 로트의 연속적으로 프로세싱되는 디바이스 웨이퍼에 대해 동일한 주어진 에칭 프로세스에 대해 더 높은 정도로 측벽 폴리머가 제거됨에 따라, 에칭 프로파일 폭 CD에서 증가하는 경향을 야기할 수 있다. 구현될 수 있는 종점 분석은 발명의 명칭이 "다변수 분석을 사용하는 플라스마 에칭 프로세스의 종점 검출 방법(METHOD OF ENDPOINT DETECTION OF PLASMA ETCHING PROCESS USING MULTIVARIATE ANALYSIS)"인 미국 특허 9,330,990호에서 발견될 수 있으며, 이는 그 전체가 참조로서 포함된다.
플라스마 프로세싱 챔버는 잔류 구성 성분이 절대적으로 없지는 않으며, 수용 가능한 양의 구성 성분이 플라스마 프로세싱 챔버에 존재할 수 있다는 것을 알 것이다.
도 4는 WLDC와 같은 특정 건식 세정 프로세스에 대해 최적화된 값을 제공하는 예시적인 챠트(400)를 나타낸다. 이 예에서, OES 스펙트럼과 종점 분석이 잔류 구성 성분 F에 대해 수집될 수 있다. 표기된 몇몇 약어는 이하를 포함한다: 플라스마 시스템에 대한 중앙 대 에지 가스 구역 흐름 비율/퍼센트, 브라인(brine) 또는 칠러 온도를 나타내는 라디칼 가스 분포 제어(RDC: radical gas-distribution control), 직류(DC) 전극 전압, 저주파(LF) 전력, 고주파(HF) 전력 및 기판 또는 웨이퍼 홀더 부근의 향상된 온도 제어 척(ATCC: advanced temperature controlled chuck) 온도.
특정 프로세스는 402로 나타내는 바와 같이 가열 "레시피" 하에서 식별된다. 이 예에서, WLDC 프로세스는 404로 나타내는 바와 같이 식별된다. 단계 406은 프로세스를 산소(O2) 세정(408)으로 식별한다. 20초의 사전 설정된 레시피 시간(410)이 최대 WLDC 프로세스 시간으로 식별된다. 챠트(400)에 나타낸 바와 같은 다른 파라미터가 이러한 최적화된 프로세스에 대해 식별될 수 있다. 이러한 파라미터는 "가스 압력", "전력", "DC 바이어스" 등을 포함할 수 있다.
도 5는 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링하고 제어하기 위한 예시적인 프로세스(500)를 나타낸다. 방법이 설명되는 순서는 한정적인 것으로 해석되도록 의도되지 않았으며, 설명된 방법의 블록의 임의의 수는 임의의 순서로 결합되어 방법 또는 대안적인 방법을 구현할 수 있다. 또한, 본 명세서에서 설명된 대상물의 사상 및 범위를 벗어나지 않고 개별 블록이 본 방법으로부터 제거될 수 있다. 또한, 본 방법은 본 발명의 범위를 벗어나지 않고도 임의의 적절한 하드웨어, 소프트웨어, 펌웨어 또는 이들의 조합으로 구현될 수 있다.
블록(502)에서, 건식 세정 가스를 플라스마 프로세싱 챔버로 유동시키는 단계가 수행된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(504)에서, 웨이퍼리스 건식 세정(WLDC) 프로세스를 개시하기 위해 플라스마 프로세싱 챔버에서 플라스마를 점화하는 단계가 수행된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(506)에서, 광 방출 스펙트럼(OES) 데이터를 획득하는 단계가 수행된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다. 또한, 상술한 도 2를 참조하면, 이 블록은 광 방출 분광 시스템(204)에 의해 수행될 수 있다. 다른 구현에서, 획득하는 단계는 플라스마 프로세싱 챔버(102) 내의 플라스마를 모니터링하는 모니터링 동작일 수 있다.
블록(508)에서, 획득된 OES 데이터에 기초하여 WLDC 프로세스의 적어도 하나의 파라미터를 최적화한다. 파라미터는 상술한 파라미터일 수 있다. 또한, 파라미터는 인시츄 또는 엑스시츄(ex-situ)에서 최적화될 수 있다.
도 6은 최적화된 WLDC 조건에 대한 잔여 구성 성분의 광 방출 분광법(OES) 검출의 예시적인 그래프(600)를 나타낸다. 잔류 구성 성분 F는 OES 피크(602)로 나타내어진다. OES 분석은 본 명세서에서 설명되는 잔류 구성 성분 F에 대해 수행되며, 이 부산물에 대한 시간 경과에 따른 세기에서의 평탄화로 인해 OES 종점을 나타낸다. 잔류 성분 F 세기가 안정화될 때 OES 종점 시간 값(604)의 배열은, WLDC가 웨이퍼 로트 프로세싱 과정에서 불소와 같은 잔류 에칭 부산물을 점점 더 많이 챔버를 세정함에 따라 꾸준히 더 짧은 시간을 갖는 것으로 확인되었다. 이들 값은, WLDC 프로세스(즉, 레시피)가 종료할 때까지의 지속 기간으로서 사용될 수 있다. 최적화된 WLDC 조건 프로세싱 시간의 끝에서의 이러한 F 피크의 OES 세기의 전체 변동은 도 3에서 언급된 최적화되지 않은 WLDC OES 스펙트럼에 비해 50% 감소되며; 이는 후속적으로 로트에 걸쳐 웨이퍼 대 웨이퍼 비아 CD 폭 변동을 50% 이상 줄이는 것으로 이어진다.
도 7은 광 방출 분광법(OES) 프로세스 제어를 위한 예시적인 프로세스(700)를 나타낸다. 특히, 프로세스(700)는 건식 에칭 프로세스 제어에 사용될 수 있다. 프로세스(700)는 도 2를 참조하여 설명된 바와 같이, 피드백에서 플라스마 프로세싱 시스템으로 보내어질 수 있는 인시츄 프로세스로 고려될 수 있다. 조정이 결정된 피드백 데이터에 기초하여 수행될 수 있다.
본 방법이 설명되는 순서는 한정적인 것으로 해석되도록 의도되지 않으며, 설명된 방법의 블록의 임의의 수는 임의의 순서로 결합되어 방법 또는 대안적인 방법을 구현할 수 있다. 또한, 본 명세서에서 설명된 대상물의 사상 및 범위를 벗어나지 않고 개별 블록이 본 방법으로부터 제거될 수 있다. 또한, 본 방법은 본 발명의 범위를 벗어나지 않고도 임의의 적절한 하드웨어, 소프트웨어, 펌웨어 또는 이들의 조합으로 구현될 수 있다.
블록(702)에서, 웨이퍼 로트의 생산 프로세스가 수행된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(704)에서, 본 명세서에서 설명되는 WLDC 및 OES 추적 데이터 수집이 수행된다. 상술한 도 1 및 도 2를 참조하면, 이 블록은 설명된 플라스마 프로세싱 시스템(100) 및 모니터링 시스템(200)의 구성 요소에 의해 수행될 수 있다.
블록(706)에서, 웨이퍼 로트의 생산 프로세스가 계속된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(708)에서, 본 명세서에서 설명된 WLDC 및 OES 추적 데이터 수집이 수행된다. 상술한 도 1 및 도 2를 참조하면, 이 블록은 설명된 플라스마 프로세싱 시스템(100) 및 모니터링 시스템(200)의 구성 요소에 의해 수행될 수 있다.
블록(710)에서, 인시츄 OES 데이터 분석이 수행된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(712)에서, 선택된 부산물(즉, 잔류 구성 성분)의 OES 세기 또는 세정 주입 가스 파장에 기초하여 WLDC 프로세스 파라미터를 조정할지 여부를 결정하기 위해, 데이터/신호가 플라스마 프로세싱 시스템(즉, 제어기)에 전송된다.
블록(714)에서, 웨이퍼 로트의 생산 프로세스가 계속된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(716)에서, WLDC 프로세스 파라미터에 대한 조정이 수행될 수 있다. 대안적으로, 동일한 파라미터가 사용될 수 있다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(718)에서, 웨이퍼 로트의 생산 프로세스가 계속된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.
블록(720)에서, 절차는, 웨이퍼 로트 생산이 완료될 때까지 반복된다. 상술한 도 1을 참조하면, 이 블록은 플라스마 프로세싱 시스템(100)의 설명된 구성 요소에 의해 수행될 수 있다.

Claims (43)

  1. 플라스마 프로세싱 시스템에서 웨이퍼리스(waferless) 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법에 있어서,
    건식 세정 가스를 상기 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버 내로 유동시키는 단계;
    상기 웨이퍼리스 건식 세정 프로세스를 개시하기 위해 상기 플라스마 프로세싱 챔버 내의 플라스마를 점화시키는 단계; 및
    상기 웨이퍼리스 건식 세정 프로세스 동안, 상기 플라스마 프로세싱 챔버에 부착된 광 방출 분광 시스템으로부터 광 방출 스펙트럼을 획득하는 단계
    를 포함하는, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  2. 제1항에 있어서,
    상기 플라스마 프로세싱 시스템은 플라스마 에칭 시스템인 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  3. 제1항에 있어서,
    상기 플라스마 프로세싱 시스템은 플라스마 증착 시스템인 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  4. 제1항에 있어서,
    상기 광 방출 스펙트럼을 획득하는 단계는 상기 플라스마 프로세싱 챔버 내에 존재하는 생산 기판들이 없는 웨이퍼리스 건식 세정 프로세스 동안 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  5. 제4항에 있어서,
    상기 광 방출 스펙트럼을 획득하는 단계는 상기 플라스마 프로세싱 챔버 내에 배치된 더미(dummy) 기판들로 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  6. 제1항에 있어서,
    획득된 상기 광 방출 스펙트럼에 기초하여 상기 웨이퍼리스 건식 세정 프로세스의 적어도 하나의 파라미터를 최적화시키는 단계
    를 더 포함하는, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  7. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터는,
    상기 플라스마 프로세싱 챔버에 공급되는 무선 주파수(RF: radio frequency) 또는 마이크로파 전력;
    RF 또는 마이크로파 전력 펄스 주파수;
    RF 또는 마이크로파 펄스 듀티 사이클;
    상기 플라스마 프로세싱 챔버 내의 기판 홀더에 공급되는 RF 전력;
    상기 기판 홀더에 근접한 하나 이상의 자석의 자기장;
    상기 기판 홀더의 직류(DC) 바이어스;
    상기 기판 홀더에 근접하게 배열된 적어도 하나의 전극에 공급되는 DC 바이어스 전압;
    건식 세정 가스 유량;
    건식 세정 가스 압력; 및
    상기 웨이퍼리스 건식 세정 프로세스의 지속 기간
    으로 이루어지는 그룹으로부터 선택되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  8. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버의 습식 세정들 간의 시간을 최대화하기 위해 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  9. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 웨이퍼리스 건식 세정 프로세스의 지속 기간을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  10. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버의 구성 요소의 수명을 최대화하기 위해 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  11. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버에서의 입자 생성을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  12. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 후속적으로 프로세싱되는 생산 기판 또는 생산 기판들의 로트의 임계 치수(CD: critical dimension) 균일성을 최대화하도록 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  13. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버 내에 배치된 더미 기판으로 획득된 광 방출 스펙트럼을 사용하여 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  14. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 엑스시츄(ex-situ)로 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  15. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 인시츄(in-situ)로 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  16. 제6항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 웨이퍼리스 건식 세정 프로세스의 지속 기간을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  17. 제1항에 있어서,
    상기 건식 세정 가스는 산소, 산소 함유 가스, HCl, F2, Cl2, 수소, 질소, 아르곤, SF6, C2F6, NF3, CF4 또는 이들의 둘 이상의 혼합물을 포함하는 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  18. 제1항에 있어서,
    획득된 상기 광 방출 스펙트럼이 미리 정해진 타겟 광 방출 스펙트럼과 실질적으로 매칭될 때, 상기 웨이퍼리스 건식 세정 프로세스를 종료시키는 단계
    를 더 포함하고,
    상기 타겟 광 방출 스펙트럼은 미리 정해진 수용 가능한 청정 상태(condition)에 대한 플라스마 프로세싱 챔버에 대한 특성인 것인, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  19. 제1항에 있어서,
    잔여 구성 성분의 함량이 OES 종점 분석에 기초하여 결정된 레벨에 근접할 때, 상기 웨이퍼리스 건식 세정 프로세스를 종료하는 단계
    를 더 포함하는, 플라스마 프로세싱 시스템에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법.
  20. 데이터 프로세싱 시스템으로 하여금 플라스마 프로세싱 툴(tool)에서 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법을 수행하게 하는 명령어들이 저장되어 있는 비일시적 머신 액세스 가능한 저장 매체로서, 상기 방법은,
    건식 세정 가스를 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버 내로 유동시키는 단계;
    상기 웨이퍼리스 건식 세정 프로세스를 개시하기 위해 상기 플라스마 프로세싱 챔버 내의 플라스마를 점화시키는 단계; 및
    상기 웨이퍼리스 건식 세정 프로세스 동안, 상기 플라스마 프로세싱 챔버에 부착된 광 방출 분광 시스템으로부터 광 방출 스펙트럼을 획득하는 단계를 포함한 것인, 비일시적 머신 액세스 가능한 저장 매체.
  21. 제20항에 있어서,
    상기 플라스마 프로세싱 툴에서 상기 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법은,
    획득된 상기 광 방출 스펙트럼에 기초하여 상기 웨이퍼리스 건식 세정 프로세스의 적어도 하나의 파라미터를 최적화시키는 단계
    를 더 포함한 것인, 비일시적 머신 액세스 가능한 저장 매체.
  22. 제20항에 있어서,
    상기 플라스마 프로세싱 툴에서 상기 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법은,
    획득된 상기 광 방출 스펙트럼이 미리 정해진 타겟 광 방출 스펙트럼과 실질적으로 매칭될 때, 상기 웨이퍼리스 건식 세정 프로세스를 종료시키는 단계
    를 더 포함하고,
    상기 타겟 광 방출 스펙트럼은 청정 상태에 있는 플라스마 프로세싱 챔버에 대한 특성인 것인, 비일시적 머신 액세스 가능한 저장 매체.
  23. 플라스마 프로세싱 시스템에 있어서,
    하나 이상의 제어기;
    플라스마 프로세싱 챔버;
    상기 하나 이상의 제어기에 의해 제어되며, 상기 플라스마 프로세싱 챔버 내로 유동하는 프로세스 가스 공급 시스템 - 상기 하나 이상의 제어기는 웨이퍼리스 건식 세정 프로세스를 개시하기 위해 상기 플라스마 프로세싱 챔버 내의 플라스마의 점화를 개시함 -; 및
    상기 웨이퍼리스 건식 세정 프로세스 동안 광 방출 스펙트럼을 획득하는, 상기 플라스마 프로세싱 챔버에 부착된 광 분광 시스템
    을 포함하는, 플라스마 프로세싱 시스템.
  24. 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC: waferless dry cleaning) 프로세스를 모니터링 및 제어하는 인시츄(in situ) 방법에 있어서,
    웨이퍼 로트의 생산 프로세스를 개시하는 단계;
    상기 생산 프로세스 중에 인시츄 모니터링 데이터를 수집하는 단계;
    상기 웨이퍼 로트의 인시츄 데이터 분석을 수행하는 단계; 및
    상기 인시츄 모니터링 데이터에 기초하여 상기 WLDC 프로세스의 파라미터들을 조정하는 단계
    를 포함하는, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  25. 제24항에 있어서,
    상기 인시츄 모니터링 데이터는,
    건식 세정 가스를 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버 내로 유동시키는 단계;
    상기 웨이퍼리스 건식 세정 프로세스를 개시하기 위해 상기 플라스마 프로세싱 챔버 내의 플라스마를 점화시키는 단계; 및
    상기 웨이퍼리스 건식 세정 프로세스 동안, 모니터링 시스템을 사용하여 상기 플라스마 프로세싱 챔버 내의 상기 플라스마를 모니터링하는 단계
    를 포함하는 방법을 수행함으로써 수집되고,
    상기 모니터링 시스템은 광 방출 분광 시스템, 레이저 유도 형광 시스템, 레이저 간섭계, 잔류 가스 분석기, 질량 분광계, 또는 푸리에 변환 적외선(FTIR: Fourier Transform Infrared) 시스템을 포함하는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  26. 제24항에 있어서,
    상기 플라스마 프로세싱 툴은 플라스마 에칭 시스템인 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  27. 제24항에 있어서,
    상기 플라스마 프로세싱 툴은 플라스마 증착 시스템인 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  28. 제24항에 있어서,
    상기 플라스마 프로세싱 챔버 내에 존재하는 생산 기판들이 없는 상기 웨이퍼리스 건식 세정 프로세스 동안, 플라스마가 광 방출 스펙트럼을 획득하는 것을 모니터링하는 것이 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  29. 제28항에 있어서,
    상기 광 방출 스펙트럼을 획득하는 것은 상기 플라스마 프로세싱 챔버 내에 배치된 더미 기판으로 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  30. 제28항에 있어서,
    획득된 상기 광 방출 스펙트럼에 기초하여 상기 웨이퍼리스 건식 세정 프로세스의 적어도 하나의 파라미터를 최적화시키는 단계
    를 더 포함하는, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  31. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터는,
    상기 플라스마 프로세싱 챔버에 공급되는 무선 주파수(RF) 또는 마이크로파 전력;
    RF 또는 마이크로파 전력 펄스 주파수;
    RF 또는 마이크로파 펄스 듀티 사이클;
    상기 플라스마 프로세싱 챔버 내의 기판 홀더에 공급되는 RF 전력;
    상기 기판 홀더에 근접한 하나 이상의 자석의 자기장;
    상기 기판 홀더의 직류(DC) 바이어스;
    상기 기판 홀더에 근접하게 배열된 적어도 하나의 전극에 공급되는 DC 바이어스 전압;
    건식 세정 가스 유량;
    건식 세정 가스 압력; 및
    상기 웨이퍼리스 건식 세정 프로세스의 지속 기간
    으로 이루어지는 그룹으로부터 선택되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  32. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버의 습식 세정들 간의 시간을 최대화하기 위해 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  33. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 웨이퍼리스 건식 세정 프로세스의 지속 기간을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  34. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버의 구성 요소의 수명을 최대화하기 위해 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  35. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버에서의 입자 생성을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  36. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 후속적으로 프로세싱되는 생산 기판 또는 생산 기판들의 로트의 임계 치수(CD) 균일성을 최대화하도록 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  37. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 플라스마 프로세싱 챔버에 배치된 더미 기판들로 획득된 광 방출 스펙트럼을 사용하여 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  38. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 엑스시츄로 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  39. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 인시츄로 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  40. 제30항에 있어서,
    상기 웨이퍼리스 건식 세정 프로세스의 상기 적어도 하나의 파라미터를 최적화시키는 단계는 상기 웨이퍼리스 건식 세정 프로세스의 지속 기간을 최소화하기 위해 수행되는 것인, 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하는 인시츄 방법.
  41. 데이터 프로세싱 시스템으로 하여금 플라스마 프로세싱 툴에서 웨이퍼리스 건식 세정(WLDC) 프로세스를 모니터링 및 제어하기 위한 방법을 수행하게 하는 명령어들이 저장되어 있는 비일시적 머신 액세스 가능한 저장 매체로서, 상기 방법은,
    웨이퍼 로트의 생산 프로세스를 개시하는 단계;
    상기 생산 프로세스 중에 인시츄 모니터링 데이터를 수집하는 단계;
    상기 웨이퍼 로트의 인시츄 데이터를 분석하는 단계; 및
    상기 인시츄 모니터링 데이터에 기초하여 상기 WLDC 프로세스의 파라미터를 재계산하는 단계
    를 포함한 것인, 비일시적 머신 액세스 가능한 저장 매체.
  42. 제41항에 있어서,
    상기 플라스마 프로세싱 툴에서 상기 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법은,
    획득된 광 방출 스펙트럼에 기초하여 상기 웨이퍼리스 건식 세정 프로세스의 적어도 하나의 파라미터를 최적화시키는 단계
    를 더 포함한 것인, 비일시적 머신 액세스 가능한 저장 매체.
  43. 제41항에 있어서,
    상기 플라스마 프로세싱 툴에서 상기 웨이퍼리스 건식 세정 프로세스를 모니터링 및 제어하기 위한 방법은,
    획득된 광 방출 스펙트럼이 미리 정해진 타겟 광 방출 스펙트럼과 실질적으로 매칭될 때 상기 웨이퍼리스 건식 세정 프로세스를 종료시키는 단계
    를 더 포함하고,
    상기 타겟 광 방출 스펙트럼은 청정 상태에 있는 플라스마 프로세싱 챔버에 대한 특성인 것인, 비일시적 머신 액세스 가능한 저장 매체.
KR1020187031515A 2016-03-31 2017-03-24 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어 KR102304823B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662316021P 2016-03-31 2016-03-31
US62/316,021 2016-03-31
PCT/US2017/024138 WO2017172536A1 (en) 2016-03-31 2017-03-24 Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy

Publications (2)

Publication Number Publication Date
KR20180122036A true KR20180122036A (ko) 2018-11-09
KR102304823B1 KR102304823B1 (ko) 2021-09-23

Family

ID=59960577

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187031515A KR102304823B1 (ko) 2016-03-31 2017-03-24 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어

Country Status (7)

Country Link
US (3) US10773282B2 (ko)
JP (1) JP6974668B2 (ko)
KR (1) KR102304823B1 (ko)
CN (1) CN109075066B (ko)
SG (1) SG11201808603VA (ko)
TW (1) TWI656573B (ko)
WO (1) WO2017172536A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220162929A (ko) * 2021-06-01 2022-12-09 충남대학교산학협력단 고종횡비 컨택홀 식각 공정에 적용 가능한 적응형 펄스 공정 장치 및 방법, 이를 구현하기 위한 프로그램이 저장된 기록매체 및 이를 구현하기 위해 매체에 저장된 컴퓨터프로그램

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
JP7055031B2 (ja) * 2018-02-16 2022-04-15 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP2020065013A (ja) * 2018-10-18 2020-04-23 東京エレクトロン株式会社 終点検出方法および終点検出装置
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US20200273683A1 (en) * 2019-02-27 2020-08-27 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
CN112013958B (zh) * 2020-07-21 2021-12-21 西安电子科技大学 一种光谱测量方法、系统、存储介质、高频感应等离子体
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
JP7499105B2 (ja) 2020-08-03 2024-06-13 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法及びプラズマ処理装置
US20220093429A1 (en) * 2020-09-21 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for determining residual compounds in plasma process
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230369033A1 (en) * 2021-11-12 2023-11-16 Mks Instruments, Inc. Methods and Systems for Feedback Control in Plasma Processing Using Radical Sensing
CN114324187B (zh) * 2021-12-01 2023-10-20 杭州富芯半导体有限公司 监测刻蚀腔体颗粒的方法、装置、服务器及可读存储介质
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240035154A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Fluorine based cleaning for plasma doping applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005527985A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 プロセスチャンバ内の膜堆積をモニタするための方法と装置
US20070238199A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method for conditioning a process chamber
KR100881045B1 (ko) * 2001-05-04 2009-01-30 램 리써치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
KR101445153B1 (ko) * 2008-06-26 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (ko) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
JPH08232087A (ja) 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5648198A (en) 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
TW439143B (en) * 1999-03-01 2001-06-07 United Microelectronics Corp Method to prevent first-wafer effect
US6675137B1 (en) 1999-09-08 2004-01-06 Advanced Micro Devices, Inc. Method of data compression using principal components analysis
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
JP3508856B2 (ja) * 2001-03-12 2004-03-22 松下電器産業株式会社 エッチング方法
US20040235303A1 (en) * 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US20030005943A1 (en) 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
AU2002353145A1 (en) * 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20040058359A1 (en) 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
JP2006501620A (ja) 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムとともに光学系を使用するための装置及び方法
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
US20060006139A1 (en) 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
JP2005199128A (ja) * 2004-01-13 2005-07-28 Ideal Star Inc プロセスシステム、排ガス処理方法及びガラス
JP4836780B2 (ja) * 2004-02-19 2011-12-14 東京エレクトロン株式会社 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7312865B2 (en) 2004-03-31 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for in situ monitoring of chamber peeling
US7959970B2 (en) * 2004-03-31 2011-06-14 Tokyo Electron Limited System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20050241669A1 (en) 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
JP2006216822A (ja) * 2005-02-04 2006-08-17 Hitachi High-Technologies Corp ウェハ処理装置およびウェハ処理方法
KR20080050403A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 표면 침착물을 제거하고 화학 증착 챔버 내부의 내면을부동태화하는 방법
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN100587902C (zh) 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
US7964039B2 (en) 2007-09-07 2011-06-21 Imec Cleaning of plasma chamber walls using noble gas cleaning step
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
IE20090628A1 (en) 2009-08-17 2011-03-30 Lexas Res Ltd Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate.
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
US8513583B2 (en) 2009-11-23 2013-08-20 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics for generating a first plasma gradient and a second plasma gradient
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
JP5688227B2 (ja) * 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
KR20120004190A (ko) * 2010-07-06 2012-01-12 삼성전자주식회사 반도체 제조장치의 세정방법
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
KR20120126418A (ko) 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
JP5973850B2 (ja) * 2012-09-03 2016-08-23 大陽日酸株式会社 クリーニング終点検知方法
US9330990B2 (en) * 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
CN103117202B (zh) 2013-02-19 2015-09-09 中微半导体设备(上海)有限公司 等离子体处理工艺的终点检测装置及方法
JP2016541119A (ja) 2013-12-05 2016-12-28 東京エレクトロン株式会社 直流重ね合わせフリーズ
US9200950B2 (en) 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100881045B1 (ko) * 2001-05-04 2009-01-30 램 리써치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정
JP2005527985A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 プロセスチャンバ内の膜堆積をモニタするための方法と装置
US20070238199A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method for conditioning a process chamber
KR101445153B1 (ko) * 2008-06-26 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220162929A (ko) * 2021-06-01 2022-12-09 충남대학교산학협력단 고종횡비 컨택홀 식각 공정에 적용 가능한 적응형 펄스 공정 장치 및 방법, 이를 구현하기 위한 프로그램이 저장된 기록매체 및 이를 구현하기 위해 매체에 저장된 컴퓨터프로그램

Also Published As

Publication number Publication date
WO2017172536A1 (en) 2017-10-05
SG11201808603VA (en) 2018-10-30
CN109075066A (zh) 2018-12-21
US11273469B2 (en) 2022-03-15
CN109075066B (zh) 2023-08-04
TWI656573B (zh) 2019-04-11
US20170282223A1 (en) 2017-10-05
US10773282B2 (en) 2020-09-15
JP6974668B2 (ja) 2021-12-01
US20170287791A1 (en) 2017-10-05
JP2019511843A (ja) 2019-04-25
TW201801179A (zh) 2018-01-01
US20200406315A1 (en) 2020-12-31
KR102304823B1 (ko) 2021-09-23

Similar Documents

Publication Publication Date Title
KR102304823B1 (ko) 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
US6815362B1 (en) End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US7028696B2 (en) Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
US8747686B2 (en) Methods of end point detection for substrate fabrication processes
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US8877080B2 (en) Using vacuum ultra-violet (VUV) data in microwave sources
KR100887906B1 (ko) 에칭 적용을 위한 고압력 웨이퍼리스 자동 세정
US10436717B2 (en) Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
JP2017537432A (ja) プラズマ処理設備における内表面調整評価のためのシステム及び方法
KR20090115690A (ko) 플라즈마 비한정을 검출하기 위한 방법 및 장치
US20040235303A1 (en) Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
JP5160393B2 (ja) プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant