TW201630069A - 分離的電漿源控制用之系統、方法與設備 - Google Patents

分離的電漿源控制用之系統、方法與設備 Download PDF

Info

Publication number
TW201630069A
TW201630069A TW105117114A TW105117114A TW201630069A TW 201630069 A TW201630069 A TW 201630069A TW 105117114 A TW105117114 A TW 105117114A TW 105117114 A TW105117114 A TW 105117114A TW 201630069 A TW201630069 A TW 201630069A
Authority
TW
Taiwan
Prior art keywords
plasma
chambers
chamber
plasma chambers
source
Prior art date
Application number
TW105117114A
Other languages
English (en)
Inventor
山吉阿里
古思喬理查
班薩若克桑希爾
寇威安德魯
P 那嘉卡地希達哈斯
R 安特力威廉
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201630069A publication Critical patent/TW201630069A/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種電漿源包含複數個環狀電漿腔室、複數個主線圈、複數個鐵磁體、及控制系統。每一個主線圈係纏繞環狀電漿腔室之每一者之外部。複數個環狀電漿腔室之每一者通過複數個鐵磁體之各自部分。控制系統係耦合至各環狀電漿腔室。亦描述一種用以產生並使用電漿的系統及方法。

Description

分離的電漿源控制用之系統、方法與設備
本發明大致上和電漿反應腔室有關,且尤其和獨立於晶圓處理腔室的電漿反應腔室用的方法、系統及設備有關。
圖1A為典型的平行板電容式電漿處理腔室100之側視圖。圖1B為在典型的平行板電容式電漿處理腔室100中處理的基板102之俯視圖。典型的電漿處理腔室100包含頂電極104、用以支持待處理基板102之基板支持件106。基板支持件106也可為底電極。一般說來,頂電極104為具有複數個入口埠109的噴淋頭型式電極。複數個入口埠109允許處理氣體110進入處理腔室100的寬度範圍內。
典型的平行板電容式電漿處理腔室100係用以處理圓形平面基板。一般程序為介電蝕刻及其他蝕刻程序。此種電漿反應器典型地因中性物種固有的中心至邊緣不均勻性而受害。
雖然這些系統運作良好,但是一些系統產生中性物種之中心至邊緣不均勻性,其源自於將存在於基板中心的流速、有效氣體滯留時間、及一或多種氣體化學作用與存在於基板邊緣的流速、有效氣體滯留時間、及一或多種氣體化學作用比較時之差異。一或多種氣體化學作用可由氣相解離、交換及重組反應所造成。
舉例來說,當製程氣體被導入處理腔室之寬度範圍內時,電漿112在頂電極104及基板支持件106之間形成。電漿副產物118藉電漿112中的自由基和中性粒子與基板102之表面反應而形成。電漿副產物118被抽至基板之側面並至泵108內。電漿副產物可包含一或多種解離反應(如 CF4 + e- à CF3 + F + e- ) 及/或一或多種離子化(如 CF4 + e- à CF3 + + F) 及/或一或多種激發作用(如 Ar à Ar+ + e- ) 及/或一或多種附著作用(如 CF4 + e- à CF3 + F- ) 及/或一或多種二元化反應(如 CF3 + H à CF2 + HF)。
電漿副產物118亦可包含含有蝕刻劑、F、CFx 、SiF2 、SiF4 、Co、CO2 的蝕刻副產物。蝕刻副產物亦可在電漿112中解離。
重組亦發生於電漿處理期間。重組產生重組產物120。重組典型地發生在來自電漿112的自由基和中性粒子撞擊如頂電極104之底表面的表面時。而後,如電漿副產物118般,重組產物120被抽至基板102之側面而至泵108內。電漿重組產物120可包含一或更多壁或表面反應(如F + CF → CF2 、及/或 H + H → H2 、及/或O + O → O2 、及/或N + N → N2 )。電漿重組產物120亦可包含沉積,其中CFx 於腔室100之壁或其他內部表面之上形成聚合物。
吾人應注意,如圖1A所示,電漿副產物被抽至基板102之一側,而重組產物120被抽至基板102之相對側,僅係為了清晰起見。在實際實施中,熟悉本技術領域者將瞭解重組產物120及電漿副產物118二者係互相混合並被抽至基板102之兩側而至泵108或其他裝置內。
當電漿處理發生時,重組產物120及電漿副產物118之濃度在基板102之中心到其邊緣的範圍內改變。所以,在電漿112中的處理氣體、自由基及中性物種濃度也隨之改變。因此,如此例中之蝕刻的有效的電漿處理在基板102之中心到其邊緣的範圍內變化。然而,有一些腔室配置及結構可供使用來減少或控制電漿。
以此控制,電漿自由基及中性物種在基板102的中心部102A上方的中心電漿處理區域114A及116A中最為集中。進一步而言,自由基及中性物種之濃度在基板102的中間部102B上方的中間電漿處理區域114B及116B中略為較不集中。更進一步,自由基及中性物種之濃度在基板102的邊緣部102C上方的邊緣電漿處理區域114C及116C中更為稀薄並較不集中。
因此,相較於電漿處理在基板102之中間部102B上方的中間電漿處理區域114B及116B中稍較慢發生且在基板102之邊緣部102C上方的邊緣電漿處理區域114C及116C中更慢發生,電漿處理在基板102之中心部102A上方的中心電漿處理區域114A及116A中最快發生。這導致基板102的中心至邊緣不均勻性。
此中心至邊緣不均勻性在具有極大寬高比的小體積產物電漿處理腔室中更為惡化。舉例來說,極大寬高比係定義為當基板寬度W為電漿處理區域高度H之約四或更多倍時。電漿處理區域之極大寬高比使電漿處理區域114A-116C中的電漿副產物118及重組產物120更加濃縮。
雖然此中性物種的中心至邊緣不均勻性並非為中心至邊緣處理均勻性的唯一原因,但是在許多的介電蝕刻應用中,其為重要的促成因素。具體而言,如閘門或位元線遮罩開啟、低k膜上的光阻剝除、高度選擇性接點/單元及通道蝕刻一類的中性粒子依賴處理可能會對這些效果尤其敏感。除了用在晶圓介電蝕刻的平行板電漿反應器之外,類似的問題可能作用於其他的平行板電漿反應器中。
鑑於上述內容,而有改良電漿蝕刻處理中的中心至邊緣的均勻性的需求。
大體來說,本發明藉由提供分散式多區域電漿源來滿足這些需求。吾人應瞭解,本發明可以多種方式實施,包含作為製程、設備、系統、電腦可讀取媒體、或裝置。本發明之數種發明實施例係於下描述。
一實施例提供包含複數個環狀電漿腔室、複數個主線圈、及複數個鐵磁體的電漿源。亦可包含控制系統。每一個主線圈係纏繞環狀電漿腔室之每一者之外部。複數個環狀電漿腔室之每一者通過複數個鐵磁體之各自部分。控制系統係耦合至各環狀電漿腔室。
環狀電漿腔室可為實質上同心。每一個環狀電漿腔室可鄰近於至少另一環狀電漿腔室。每一個電漿腔室可包含將每一個電漿腔室耦合至處理腔室的對應的複數個電漿腔室出口。
電漿腔室可被包含於處理腔室頂部中。電漿源亦可包含將處理氣體源耦合至每一個電漿腔室的至少一處理氣體入口。
電漿源可包含至少一處理氣體入口,該至少一處理氣體入口係耦合至處理氣體源及處理氣體充氣部;及耦合於處理氣體充氣部及電漿腔室之各自一者之間的複數個入口埠。入口埠可分佈於每一個電漿腔室之圓周周圍。
可使鐵磁體實質上均勻地分佈於環狀電漿腔室之圓周周圍。抑或是,鐵磁體可被分成各環狀電漿腔室之圓周周圍的群組中。
環狀電漿腔室可為由實質圓形、實質三角形、實質矩形、或實質多邊形所組成的一組形狀其中之一者。
另一實施例提供產生電漿的方法。該方法包含:運送處理氣體至複數個環狀電漿腔室內;施加主電流至圍繞每一個環狀電漿腔室之外部的主線圈。磁場係於主線圈中產生,且磁場係以複數個鐵磁體加以濃集。各環狀電漿腔室通過鐵磁體之對應部分。副電流係於每一個環狀電漿腔室中的處理氣體中感應出來。副電流在各環狀電漿腔室中的處理氣體中產生電漿。
該方法亦可包含經由每一個環狀電漿腔室中的複數個出口埠運送中性物種及自由基物種之至少一者。出口埠將環狀電漿腔室耦合至處理腔室。
該方法亦可包含自處理腔室經由處理腔室頂部中的出口移除電漿副產物及重組產物之至少一者。出口之至少一者可位於處理腔室頂部中的實質中心位置。
將處理氣體送入環狀電漿腔室可包含輸入處理氣體到至少一處理氣體入口,而至每一個環狀電漿腔室。將處理氣體送入環狀電漿腔室亦可包含調變每一個環狀電漿腔室的流量、壓力、偏壓及/或出口埠直徑之至少一者。可將鐵磁體實質上均勻地分布於複數個環狀電漿腔室之每一者的圓周周圍。
該方法亦可包含接收來自至少一處理監測感測器的處理回饋信號並調整複數個環狀電漿腔室之每一者的流量、壓力、偏壓、及/或出口埠直徑之至少一設定點。
另一實施例提供電漿處理系統。該系統包含複數個環狀電漿腔室、纏繞環狀電漿腔室之每一者的外部的主線圈、及複數個鐵磁體。每一個環狀電漿腔室通過鐵磁體之各自部分。該系統亦可包含耦合至各環狀電漿腔室的控制系統。該控制系統可包含至少一處理監測感測器、及用以運送處理氣體至每一個環狀電漿腔室中的邏輯、用以施加主電流至圍繞每一個環狀電漿腔室之對應外部的各自的主線圈的邏輯、用以在各主線圈中產生磁場的邏輯、用以利用鐵磁體濃集磁場的邏輯,其中鐵磁體分成各環狀電漿腔室之圓周周圍的群組、用以在複數個環狀電漿腔室之各者中的處理氣體中感應副電流的邏輯、用以利用副電流在各環狀電漿腔室中的處理氣體中產生電漿的邏輯、用以接收來自至少一處理監測感測器的處理回饋信號的邏輯、及用以調整至少一設定點的邏輯。
再另一實施例提供用以處理基板之電漿系統。該電漿系統包含具有基座、複數個側壁、鄰近於基座的基板支持件、及與側壁接合以包圍處理腔室之腔室頂部的處理腔室、複數個鐵磁體、及複數個主線圈。鐵磁體係置於腔室頂部上方,使得鐵磁體係分佈於基板支持件之區域上方,該區域至少在基板支持件之外部及基板支持件之中心部之間延伸。鐵磁體分成一個以上的鐵磁體的複數個群組。每一個主線圈係纏繞鐵磁體群組之每一者的外部。電漿系統亦可包含用以沿著主線圈提供電流的電源供應器,鐵磁體在基板支持件之區域上方濃集磁場。
本發明之其他態樣及優點將由以下例示說明本發明之原理的詳細敘述結合隨附圖式而變得更加明白。
現將描述用於分散式多區域電漿源系統、方法及設備的數種示範性實施例。對於熟悉本技術領域者將顯而易見,可在不具有此處所提出的具體細節之一部份或全部的情況下實施本發明。
圖2A為依據本發明之實施例的電漿源200之立體圖。電漿源200包含處理氣體入口206、複數個鐵磁體204、電漿源頂部208及腔室頂部202。吾人應明瞭,可自所示者對電漿源200之元件202-208的具體配置加以修改。舉例來說,可將腔室頂部202及電漿源頂部208組合成處理腔室230之單一外罩。
圖2B為依據本發明之實施例的電漿源200之俯視圖。圖2C為依據本發明之實施例的電漿源200沿著圖2B之2C-2C的剖視圖。圖2D為依據本發明之實施例的電漿源200之立體剖視圖。圖2E為依據本發明之實施例的裝設在處理腔室230上的電漿源200之立體圖。處理氣體充氣部212係顯示為自處理氣體入口206供應的處理氣體之分散充氣部。
處理氣體110流入處理氣體入口206內而至處理氣體充氣部212。處理氣體充氣部212將處理氣體110分散至入口埠212A。入口埠212A將處理氣體110引導至電漿腔室210內。處理氣體入口埠212A可對齊於電漿腔室出口220或自電漿腔室出口220偏移。處理氣體入口埠212A及/或電漿腔室出口220可位於鐵磁體204之間、或對齊鐵磁體、或以上兩種位置之組合。
鐵磁體204在選定間隔纏繞電漿腔室210。鐵磁體204濃集磁場,該磁場足以導致鄰近各鐵磁體中心的電場強到足以支持在電漿腔室210中之對應點上的電漿。
鐵磁體204係顯示為實質方形,然而,如將顯示於下,鐵磁體可為其他形狀。鐵磁體204係顯示為以複數個零件224A、224B、224C、224D所製成,然而鐵磁體可以一或更多零件製成。複數個鐵磁體零件224A、224B、224C、224D係依需求實質上彼此靠近來濃集鄰近各鐵磁體204之中心的電場。鐵磁體204係顯示成相關於腔室頂部202而散佈。處理腔室230具有側壁230’及基座230”。基板支持件106係於基座230”之上或接近或鄰近於基座230”。
電漿腔室出口220係顯示為將電漿腔室210耦合至腔室頂部202下方的處理腔室230。電漿腔室出口220自電漿腔室210運送電漿及/或自由基及/或中性物種進入處理腔室230。
圖2I顯示依據本發明之實施例的電漿腔室出口220的複數個剖視圖。圖2J為依據本發明之實施例的複數個電漿腔室出口220的處理腔室視圖。電漿腔室出口220可為具有期望寬度之實質上矩形剖面形狀的直通之實質上圓柱形。電漿腔室出口220可包含選用性圓錐形出口220A。選用性圓錐形出口220A可提供使流動平滑及/或自電漿腔室出口220分散流動。電漿腔室出口220亦可包含其他選用性形狀。舉例來說,電漿腔室出口220可包含更大寬度之相同形狀的出口220B或更小寬度之相同形狀的出口220F。電漿腔室出口220可包含選用性曲線形或碗形出口220E、220C。選用性曲線形或碗形出口220E、220C可在如出口220C之最寬點或如出口220E之小於最寬點的較窄點具有開口。選用性圓錐形出口可為截頭圓錐形出口220D。
選用性電漿限制件214亦如圖示。選用性電漿限制件214可用來提供電漿腔室210及處理腔室230之間的期望壓力差。選用性電漿限制件214亦可夠小且/或受偏壓,以實質上預防電漿從電漿腔室210通行至處理腔室230。此外,電漿限制件可受到偏壓以自電漿腔室210抽出離子,並拉引離子進入處理腔室內而後至晶圓上。舉例來說,選用性電漿限制件214可具有小於或等於兩倍電漿鞘厚度之直徑,且因此電漿鞘可防止電漿通過選用性電漿限制件。選用性電漿限制件214可具有約0.1mm及約2.0mm(如0.1mm、0.2mm、0.5mm、1.0mm、2.0mm)之間的選定直徑。吾人應注意,選用性電漿限制件214之縱橫比可用來調整電漿限制之有效性。舉例而言,具有較高的縱橫比(即長度/寬度)的電漿限制件214可在對於中性或自由基物種運輸上具有最小的衝擊時實質上限制電漿。吾人亦應明瞭,亦可使用較大直徑的出口孔。舉例來說,可省略選用性電漿限制件214,且有效之限制即為電漿腔室出口220之寬度。電漿腔室出口220之寬度係可實質上足夠寬以容許在電漿腔室210及處理腔室230兩者中實質相等的壓力。
選用性電漿限制件可如選用性電漿限制件214位於沿著電漿腔室出口220長度之實質中心。亦或是,選用性電漿限制件可如選用性電漿限制件214’實質上位於出口220之電漿腔室210端。亦或是,選用性電漿限制件可如選用性電漿限制件214”實質上位於出口220之處理腔室230端。吾人應明瞭,選用性電漿限制件214可位於沿著出口220之電漿腔室210端及出口220之處理腔室230端之間之出口220長度的任何地方。
如圖2J所示,電漿腔室出口220可為任何適當形狀。舉例來說,實質圓形出口220、實質橢圓形出口220H、實質矩形出口220I、220J、或其他幾何形狀出口(如三角形出口220K、任意邊數的多邊形出口220L)。電漿腔室出口220可包含具有實質銳稜之出口220I、220K、220L或具有實質曲稜及/或側之出口220J、220M、220N。形狀之組合亦可被包含於電漿腔室出口220中。舉例來說,選用性圓錐形出口220A可為比實質圓形出口220A較橢圓形之出口220A’。
腔室頂部202亦可包含一或更多出口234。出口234係耦合至低壓源(如真空泵402並顯示於圖4A中)。出口234容許低壓源自靠近處理腔室230之中心抽出電漿副產物118及重組產物120。因此,電漿副產物118及重組產物120不干擾電漿410及由處理腔室中的電漿產生的中性物種412。
處理腔室230包含負載埠232及用以支持待處理之基板的支持結構。其他特徵亦可如在本技術領域已為熟知般包含於處理腔室230中。
圖2F及2G為依據本發明之實施例的裝設在處理腔室230上的電漿源200的額外立體圖。為了額外細節之描述,電漿源頂部208係顯示成被舉起(圖2F)及被移除(圖2G)。電漿腔室210可由不同於電漿源頂部208或處理腔室230之材料所構成。舉例來說,電漿腔室210可為陶瓷而電漿源頂部208或處理腔室230可為陶瓷、金屬(如鋁、鋼、不鏽鋼等等)。凹槽226A及226B係設置成用來支持及裝設鐵磁體204。
如圖2G所示,鐵磁體204係顯示成纏繞電漿腔室210之外部。電漿腔室210可由陶瓷或其他介電材料(如石英、矽土(SiO2)、礬土(Al2O3)、青玉(Al2O3)、氮化鋁(AlN)、氧化釔(Y2O3)及/或相似材料及其組合)之類的介電質所形成。
圖2H為依據本發明之實施例的裝設在處理腔室230上的電漿源200之另一立體圖。如圖2H所示,主線圈240係顯示成纏繞電漿腔室210。如將於以下圖7更加詳細描述,主線圈240為感應元件之主線圈。主線圈240具有環繞電漿腔室210之一或更多圈。如此處所示,主線圈240具有環繞電漿腔室210之兩圈,然而亦可使用多於兩圈。
圖3A為依據本發明之實施例的另一電漿源300之立體圖。電漿源300包含具有以選定間隔環繞電漿腔室之複數個鐵磁體204的電漿腔室210。在本例中,鐵磁體204以實質相等間隔環繞電漿腔室,但其可在不同間隔。
電漿腔室210可為粗略環形或如本例中具有五邊的幾何形狀。相似地,電漿腔室210可為環形或三或更多邊的幾何形狀。亦應注意電漿腔室210可具有近乎矩形或近乎環形或圓形之剖面形狀。電漿腔室210之內表面可為平滑狀且沒有任何銳(如約垂直或更尖銳之角度)稜或角。舉例來說,內角可具有相對大半徑(如電漿腔室剖面之半徑約1/2及約兩倍之間)的圓形輪廓。亦應注意,雖然顯示單一處理氣體入口206耦合於電漿腔室210,但可使用二或更多處理氣體入口來供應處理氣體至電漿腔室。
圖3B為依據本發明之實施例的多區域電漿源320之俯視立體圖。多區域電漿源320包含如呈大小套疊環狀的複數個、獨立的、同心電漿腔室310A-310D。各同心電漿腔室310A-310D具有相對應的一組鐵磁體204A-204D。
圖3C為依據本發明之實施例的多區域電漿源320之仰視立體圖。腔室頂部202具有複數個處理出口埠304A-304E及複數個電漿出口220O-220R。複數個電漿出口220O-220R係耦合至對應的電漿腔室310A-310D。
圖3D為依據本發明之實施例的另一多區域電漿源330之俯視立體圖。圖3E為依據本發明之實施例的另一多區域電漿源330之仰視立體圖。多區域電漿源330包含複數個同心電漿腔室310A-310E。各同心電漿腔室310A-310E具有相對應的一組鐵磁體204A-204E。複數個電漿出口220O-220S係耦合至相對應的電漿腔室310A-310E。
如圖示,相鄰的電漿腔室310A-310E之鐵磁體204A-204E可於區域332A-332D中如圖示般輕微重疊。舉例來說,鐵磁體204B之內稜在區域332A中與鐵磁體204A之外稜重疊。相似地,鐵磁體204B之外稜在區域332B中與鐵磁體204C之內稜重疊。重疊的鐵磁體204A-204E使得同心電漿腔室310A-310E在多區域電漿源330中更為緊密堆疊,因此使得更多同心環狀電漿腔室310A-310E(如五同心環)被包含在與圖3B及3C中所示之僅具有四同心環狀電漿腔室310A-310D的非重疊之鐵磁體實施例相同的直徑中。如將於下所描述,各環狀電漿腔室310A-310E可獨立地在偏壓、氣流、濃度、RF功率等等方面加以控制。因此,更多數量之同心環狀電漿腔室310A-310E提供在處理腔室230中基板102之直徑範圍內的處理更精細的調整控制。
可將鐵磁體204A-204E選用性地排列於多區域電漿源330之複數個徑向部件(即圓餅切面形)334A-334L中。如將於下所描述,各徑向部件334A-334L可獨立地在偏壓、氣流、濃度等等方面加以控制。因此,徑向部件334A-334L提供在處理腔室230中的徑向橫跨基板102之處理的又另一精細的調整控制。
圖4A及4B為依據本發明之實施例的多區域電漿源320、330之簡化示意圖。腔室頂部202包含多區域電漿源320、330。處理腔室230具有側壁230’及基座230”。基板支持件106位於基座230”之上、或基座230”之附近、或接近基座230”處。處理出口埠304A-304F實質相等地在基板102之W寬度範圍內抽取電漿副產物118及重組產物120。因此,電漿副產物118及重組產物120不妨礙電漿410及由電漿所產生的中性物種412。所以,中性物種412在基板102之寬度範圍內實質上均勻地分佈。中性物種412與基板102之表面起反應。當中性物種412在基板102之寬度範圍內實質上均勻分佈時,施加於處理腔室230中的電漿處理(如蝕刻、剝除或其他電漿處理)之中心至邊緣不均勻性亦實質上被消除。
控制器420包含用於各環狀電漿腔室310A-310E的對應控制件422A-422E(如軟體、邏輯、設定點、配方等等)。亦可將處理監測感測器424、426耦合至控制器420來提供處理回饋。控制件422A-422E可獨立控制各環狀電漿腔室310A-310E,諸如偏壓信號、功率、頻率、處理氣體110壓力、流速及濃度,且因此在處理腔室230中的基板102之直徑範圍內提供解離氣體的徑向分佈控制。
可獨立控制複數個電漿腔室310A-310E之各者來在處理腔室230之相對應區域中操作處理。
相似地,複數個徑向部件334A-334L之各者使得複數個電漿腔室310A-310E之各徑向部件能被獨立控制以在處理腔室230之相對應區域中操作處理。舉例來說,將電漿腔室310B中的處理氣體110之流量及壓力的處理變數設定點輸入至對應的控制件422B。處理監測感測器424、426之至少一者提供處理測量輸入至對應控制件422B。依據來自處理監測感測器424、426的處理測量輸入和邏輯及軟體,對應控制件422B而後輸出針對進入電漿腔室310B的RF功率及電漿腔室310B中的處理氣體110之流量及氣壓的經修改的設定點。
相似地,可在由多區域電漿源320、330之同心環電漿腔室310A-310E、及/或鐵磁體204A-E、及/或徑向部件334A-334L之一者或更多者或其組合所界定之個別區域的各者中監控及/或控制處理。吾人亦應明瞭,可以相同方式及設定點來操作各區域,使得多區域電漿源320、330實際上為單一區域電漿源。進一步來說,可以相同方式及設定點來操作多區域電漿源320、330之ㄧ些區域,使得多區域電漿源具有更少的區域。
圖5為依據本發明之實施例的各種尺寸之選用性電漿限制件214的流量及壓力圖500。曲線510為具有0.2mm之直徑的選用性電漿限制件214以每分鐘標準立方公分(standard cubic centimeters per minute, SCCM)為單位的流量。曲線520為具有0.5mm之直徑的選用性電漿限制件214的流量。曲線530為具有1.0mm之直徑的選用性電漿限制件214的流量。如吾人可見,選用性電漿限制件214之不同尺寸可決定電漿腔室210及處理腔室230之間的壓降。如果如此壓降使得阻流發生於橫跨電漿限制件214的範圍,則當電漿腔室210中的壓力為常數時,流入處理腔室210之質量流率將不會隨電漿腔室中之質量流率減少而增加。
增加電漿腔室210中的壓力提供足以支持電漿腔室中的電漿的處理氣體110之密度。對於固定的RF電壓而言,需要被感應至處理氣體110內的電流係與處理氣體壓力成反比。因此,增加電漿腔室210中的處理氣體110之壓力減少產生電漿所需之電流。再者,因為電漿腔室需要處理氣體壓力來支持電漿,所以電漿將容納於電漿腔室210中並將不自電漿腔室流入處理腔室230。因此,電漿限制件214可限制電漿流至電漿腔室210。
變壓器具有主線圈及副線圈。經由主線圈的主電流產生磁場。當磁場通過副線圈時,在副線圈內感應出對應的副電流。具有鐵磁體核心的變壓器將磁場濃集(即集中)至較小、較密的磁場,並因此更有效率地感應副電流至副線圈內。這允許極有效率的低頻操作(如小於約13MHz及更具體地在10kHz及小於約5MHz之間及更具體地在10kHz及小於約1MHz之間)。低頻操作亦提供相對於典型高頻RF電漿系統(如約13.56MHz及更高頻率)顯著較低的成本。
低頻鐵磁體耦合電漿系統之進一步優點為其低離子轟擊能量,該低離子轟擊能量導致相對於高頻RF系統較少電漿侵蝕及較少的晶圓上微粒。較少電漿侵蝕造成在電漿腔室210表面及構件上的較少磨損及裂縫。
圖6A為依據本發明之實施例的示範性變壓器600之示意圖。主電流Ip 係自電源供應器施加至主線圈620。通過主線圈620之主電流Ip 的流動產生磁場622至鐵磁體204內。磁場622自副線圈630之中心內的鐵磁體出現並在副線圈中感應副電流Is
圖6B為依據本發明之實施例的電漿源200、300、320、330中的電漿腔室210及鐵磁體204的單一環的示意圖。圖7為依據本發明之實施例的電漿源200、300、320、330中的電漿腔室210及鐵磁體204的單一環的電路示意圖700。在此處所述的電漿源200、300、320、330中,主線圈240係纏繞於各電漿腔室210、310A-E及於個別組的鐵磁體204、240A-E之各者內側。副線圈為電漿腔室210內的處理氣體110。
主電流Ip 係自電源供應器702施加至主線圈240。電源可為RF(如約10kHz至約1MHz或更多或在約10kHz至約5Mhz之間或在約10kHz至小於約13MHz之間)。通過主線圈240之主電流Ip 的流動在鐵磁體204內產生磁場622。磁場622在電漿腔室210內的處理氣體110中感應出副電流Is 。因此,處理氣體充足地受到激發而形成電漿410。
圖8為依據本發明之實施例的電源供應器702的電路示意圖。電源供應器702包含用以將來自電源802的AC電力轉換成DC電源的整流器804。濾波器808過濾整流器804之輸出。已過濾的DC係從濾波器808運送至反相器810。反相器810將已過濾的DC以期望頻率、電壓及電流轉換為AC訊號。共振電路812使共振匹配於電漿腔室負載814,以有效率地傳送所期望之AC訊號至共振中的負載。
控制器820控制電源供應器702。控制器820包含使用者介面822,該使用者介面822可包含連接(如網路)至系統控制器或更大區域控制系統(未顯示)。控制器820係直接及經由感測器806A、806B、806C耦合至構件804、808、810、812以監測並控制其操作。舉例而言,控制器820監測電源供應器702內的電源訊號的電壓、電流、功率、頻率及相位之一或更多者。
圖9A-9C為依據本發明之實施例的來自電漿源300、320、330之流動的流圖。自由基及中性粒子流902係顯示成自電漿腔室310A-E約呈扇形朝向基板102流動。該扇形始於出口220並在接近基板102時擴張。流經電漿腔室310A-E之氣體具有流量Q及壓力Ps 。壓力Pc 為處理腔室230中的壓力。Ps 及Pc 之間的差異容許自由基及中性粒子流902朝向基板102擴張。
現在參照圖9B,自由基及中性粒子流902之濃度920為出口220之間的距離L及處理腔室230之高度H的函數。若出口220之間的距離L太大,則將有自由基及中性粒子流902之濃度920不足以與基板102之表面產生反應的區域904。同樣地,若處理腔室230之高度H太小,則將有自由基及中性粒子流902之濃度920不足以與基板102之表面產生反應的區域904。圖9C顯示如下列的高度H及距離L的理想關係940:
若距離L大致等於高度H/2,則橫跨晶圓表面的自由基及中性粒子的濃度變化可減至最小。亦或是,增加或減少距離L及高度H之關係可允許橫跨晶圓表面的自由基及中性粒子的濃度變化。
圖10為顯示依據本發明之實施例在電漿源200、300、320、330運作時所執行的方法操作之流程圖。此處所顯示的操作係為例示之用,如同吾人應明瞭,一些操作可能具有副操作,且在其他實例中,於此所描述的一些操作可能不被包含在所顯示的操作中。有此想法後,現將描述方法及操作1000。
在操作1005中,處理氣體110被運送到電漿腔室210。在操作1010中,將處理氣體110維持在電漿腔室210中的第一壓力。第一壓力可相同於或達兩倍或更多倍於耦合至電漿腔室之一組出口220的處理腔室230之壓力。
在操作1015中,主電流Ip 係施加至纏繞於電漿腔室210之外圓周的主線圈240。在操作1020中,主電流Ip 產生磁場。在操作1025中,一或更多鐵磁體204濃集磁場至電漿腔室210之鄰近中心部。鐵磁體204係形成於電漿腔室210周圍。
在操作1030中,磁場在電漿腔室210之處理氣體110中感應出副電流Is 。在操作1035中,副電流Is 在電漿腔室210之處理氣體110中產生電漿。在操作1040中,部分電漿及電漿產生的自由基和中性粒子自電漿腔室210通過電漿腔室出口220並進入處理腔室230中。
在操作1045中,自由基及中性粒子與基板102及處理腔室230產生交互作用以產生電漿副產物118及重組產物120。在操作1050中,經由一或更多處理出口埠304A-304E將電漿副產物118及重組產物120抽離處理腔室。該一或更多處理出口埠304A-304E係於處理腔室頂部202之表面範圍內或沿著基板支持件106之邊緣或如在處理腔室基座中般在基板支持件下方或以上方式之組合而散佈,並且該方法操作可結束。
圖11為依據本發明之實施例的包含電漿源200、300、320之整合系統1100的方塊圖。整合系統1100包含電漿源200、300、320及耦合至電漿源的整合系統控制器1110。整合系統控制器1110包含或係耦合(如經由有線或無線網路1112)至使用者介面1114。使用者介面1114提供使用者可讀取之輸出及讀數並可接收使用者輸入,並提供使用者存取整合系統控制器1110。
整合系統控制器1110可包含特殊用途電腦或一般用途電腦。整合系統控制器1110可執行電腦程式1116以監測、控制並收集及儲存電漿源200、300、320所用的資料1118(如效能歷史、效能或缺陷分析、操作者日誌、及歷史等等)。舉例來說,若所收集的資料要求調整電漿源200、300、320及或/其構件(如同心環狀電漿腔室310A-301E之一或鐵磁體204、204A-E等等)之工作,則整合系統控制器1110可調整其操作。
在具有上述實施例之概念後,吾人應當明瞭,本發明可使用涉及儲存於電腦系統中之資料的各種電腦執行操作。此等操作需要物理量之物理操作。通常,雖非必要,這些量採取以可被儲存、轉移、組合、比較、及其他操作之電或磁信號形式。更有甚者,所執行的操作常與如產生、辨識、決定或比較之用語相關。
於此所描述之形成本發明之部分的任何操作均為有效的機器操作。本發明亦關於用以執行這些工作的裝置或設備。該設備可針對所需目的而特別建構,或可為一般用途電腦,由儲存於該電腦中的電腦程式選擇性地啟動或配置。尤其,各種一般用途機器可與依據此處之教示所寫的電腦程式一起使用,或者建造一更特殊化的設備以執行所需的操作可能更加便利。
亦可將本發明實施為電腦可讀媒體上的電腦可讀編碼及/或邏輯。電腦可讀媒體為能儲存之後可由電腦系統讀取的資料的任何資料儲存裝置。電腦可讀媒體之實例包含硬碟、網路附接儲存器(network attached storage, NAS)、邏輯線路、唯讀記憶體、隨機存取記憶體、CD-ROMs、CD-Rs、CR-RWs、磁帶、及其他光學及非光學資料儲存裝置。電腦可讀媒體亦可被廣佈於網路耦合電腦系統,使得電腦可讀編碼以分散方式被儲存及執行。
吾人將更加體悟,上述圖式中由操作所代表的指示不需要依顯示之順序來執行,且並非所有由操作所代表的處理均為實施本發明所必要。尤有甚者,在任何上述圖式中所描述的處理亦可在儲存於RAM、ROM、或硬碟機之其中之一或其組合中的軟體執行。
雖然已為了理解清楚之目的而稍加詳細描述前述發明,但將顯而易見的,在隨附專利申請範圍之內可實行一些變化及修改。因此,本實施例將被視為例示性而非限制性,並且本發明將不限制於此處提出之細節,但可能在所附專利申請範圍及其相等意義內加以修改。
100‧‧‧處理腔室
102‧‧‧基板
102A‧‧‧中心部
102B‧‧‧中間部
102C‧‧‧邊緣部
104‧‧‧頂電極
106‧‧‧基板支持件
108‧‧‧泵
109‧‧‧入口埠
110‧‧‧處理氣體
112‧‧‧電漿
114A‧‧‧中心電漿處理區域
114B‧‧‧中間電漿處理區域
114C‧‧‧邊緣電漿處理區域
116A‧‧‧中心電漿處理區域
116B‧‧‧中間電漿處理區域
116C‧‧‧邊緣電漿處理區域
118‧‧‧電漿副產物
120‧‧‧重組產物
200‧‧‧電漿源
202‧‧‧腔室頂部
204‧‧‧鐵磁體
204A‧‧‧鐵磁體
204B‧‧‧鐵磁體
204C‧‧‧鐵磁體
204D‧‧‧鐵磁體
204E‧‧‧鐵磁體
206‧‧‧處理氣體入口
208‧‧‧電漿源頂部
210‧‧‧電漿腔室
212‧‧‧處理氣體充氣部
212A‧‧‧入口埠
214‧‧‧電漿限制件
214’‧‧‧電漿限制件
214”‧‧‧電漿限制件
220‧‧‧出口
220A‧‧‧出口
220A’‧‧‧出口
220B‧‧‧出口
220C‧‧‧出口
220D‧‧‧出口
220E‧‧‧出口
220F‧‧‧出口
220H‧‧‧出口
220I‧‧‧出口
220J‧‧‧出口
220K‧‧‧出口
220L‧‧‧出口
220M‧‧‧出口
220N‧‧‧出口
220O‧‧‧電漿出口
220P‧‧‧電漿出口
220Q‧‧‧電漿出口
220R‧‧‧電漿出口
220S‧‧‧電漿出口
224A‧‧‧零件
224B‧‧‧零件
224C‧‧‧零件
224D‧‧‧零件
226A‧‧‧凹槽
226B‧‧‧凹槽
230‧‧‧處理腔室
230’‧‧‧側壁
230”‧‧‧基座
232‧‧‧負載埠
234‧‧‧出口
240‧‧‧主線圈
300‧‧‧電漿源
304A‧‧‧處理出口埠
304B‧‧‧處理出口埠
304C‧‧‧處理出口埠
304D‧‧‧處理出口埠
304E‧‧‧處理出口埠
304F‧‧‧處理出口埠
310A‧‧‧電漿腔室
310B‧‧‧電漿腔室
310C‧‧‧電漿腔室
310D‧‧‧電漿腔室
310E‧‧‧電漿腔室
320‧‧‧電漿源
330‧‧‧電漿源
332A‧‧‧區域
332B‧‧‧區域
332C‧‧‧區域
332D‧‧‧區域
334A‧‧‧徑向部件
334B‧‧‧徑向部件
334C‧‧‧徑向部件
334D‧‧‧徑向部件
334E‧‧‧徑向部件
334F‧‧‧徑向部件
334G‧‧‧徑向部件
334H‧‧‧徑向部件
334I‧‧‧徑向部件
334J‧‧‧徑向部件
334K‧‧‧徑向部件
334L‧‧‧徑向部件
402‧‧‧真空泵
410‧‧‧電漿
412‧‧‧中性物種
420‧‧‧控制器
422A‧‧‧控制件
422B‧‧‧控制件
422C‧‧‧控制件
422D‧‧‧控制件
422E‧‧‧控制件
424‧‧‧處理監測感測器
426‧‧‧處理監測感測器
500‧‧‧流量及壓力圖
510‧‧‧曲線
520‧‧‧曲線
530‧‧‧曲線
600‧‧‧變壓器
620‧‧‧主線圈
622‧‧‧磁場
630‧‧‧副線圈
700‧‧‧電路示意圖
702‧‧‧電源供應器
802‧‧‧電源
804‧‧‧整流器
806A‧‧‧感測器
806B‧‧‧感測器
806C‧‧‧感測器
808‧‧‧濾波器
810‧‧‧反相器
812‧‧‧共振電路
814‧‧‧負載(電漿腔室)
820‧‧‧控制器
822‧‧‧使用者介面
902‧‧‧自由基及中性粒子流
904‧‧‧區域
920‧‧‧濃度
940‧‧‧理想關係
1000‧‧‧操作
1005‧‧‧操作
1010‧‧‧操作
1015‧‧‧操作
1020‧‧‧操作
1025‧‧‧操作
1030‧‧‧操作
1035‧‧‧操作
1040‧‧‧操作
1045‧‧‧操作
1050‧‧‧操作
1100‧‧‧整合系統
1110‧‧‧控制器
1112‧‧‧網路
1114‧‧‧使用者介面
1116‧‧‧電腦程式
1118‧‧‧資料
本發明將藉由上述之實施方式結合隨附圖式而輕易獲得理解。
圖1A為典型的平行板電容式電漿處理腔室之側視圖。
圖1B為在典型的平行板電容式電漿處理腔室中處理的基板之俯視圖。
圖2A為依據本發明之實施例的電漿源之立體圖。
圖2B為依據本發明之實施例的電漿源之俯視圖。
圖2C為依據本發明之實施例的電漿源沿著圖2B之2C-2C的剖視圖。
圖2D為依據本發明之實施例的電漿源之立體剖視圖。
圖2E為依據本發明之實施例的裝設在處理腔室上的電漿源之立體圖。
圖2F及2G為依據本發明之實施例的裝設在處理腔室上的電漿源200的額外立體圖。
圖2H為依據本發明之實施例的裝設在處理腔室230上的電漿源之另一立體圖。
圖2I顯示依據本發明之實施例的複數個電漿腔室出口的複數個剖視圖。
圖2J為依據本發明之實施例的複數個電漿腔室出口的處理腔室視圖。
圖3A為依據本發明之實施例的另一電漿源之立體圖。
圖3B為依據本發明之實施例的多區域電漿源之俯視立體圖。
圖3C為依據本發明之實施例的多區域電漿源之仰視立體圖。
圖3D為依據本發明之實施例的另一多區域電漿源之俯視立體圖。
圖3E為依據本發明之實施例的另一多區域電漿源之仰視立體圖。
圖4A及4B為依據本發明之實施例的多區域電漿源之簡化示意圖。
圖5為依據本發明之實施例的各種尺寸之選用性電漿限制件的流量及壓力圖。
圖6A為依據本發明之實施例的示範性變壓器之示意圖。
圖6B為依據本發明之實施例的電漿源中的鐵磁體及電漿腔室之單一環的示意圖。
圖7為依據本發明之實施例的多區域電漿源中的鐵磁體及電漿腔室之單一環的電路示意圖。
圖8為依據本發明之實施例的電源供應器的電路示意圖。
圖9A-9C為依據本發明之實施例的來自電漿源之流動的流圖。
圖10為顯示依據本發明之一實施例在此處所述的電漿源運作時所執行的方法操作之流程圖。
圖11為依據本發明之實施例的包含一或更多此處所述的電漿源之整合系統的方塊圖。
204A‧‧‧鐵磁體
204B‧‧‧鐵磁體
204C‧‧‧鐵磁體
204D‧‧‧鐵磁體
304E‧‧‧處理出口埠
310A‧‧‧電漿腔室
310B‧‧‧電漿腔室
310C‧‧‧電漿腔室
310D‧‧‧電漿腔室
320‧‧‧電漿源

Claims (17)

  1. 一種電漿源包含: 複數個電漿腔室,設置在一處理腔室之頂部上,其中該複數個電漿腔室之每一者包含對應之複數個電漿腔室出口,該複數個電漿腔室出口將該複數個電漿腔室之每一者耦接至該處理腔室,且該複數個電漿腔室出口之每一者包含一電漿限制件,以設定從該複數個電漿腔室之每一者流進該處理腔室之電漿的流率; 複數個主線圈,其中該複數個主線圈之每一者係纏繞該複數個電漿腔室之每一者的外部;及 複數個鐵磁體, 其中該複數個電漿腔室之每一者通過該複數個鐵磁體之一者以上。
  2. 如申請專利範圍第1項之電漿源,更包含耦合至該複數個電漿腔室之每一者的一控制系統。
  3. 如申請專利範圍第1項之電漿源,其中該複數個電漿腔室係實質上同心。
  4. 如申請專利範圍第1項之電漿源,其中該複數個電漿腔室之每一者係鄰近於該複數個電漿腔室之至少另一者。
  5. 如申請專利範圍第1項之電漿源,更包含至少一處理氣體入口,該至少一處理氣體入口將一處理氣體源耦合至該複數個電漿腔室之每一者。
  6. 如申請專利範圍第1項之電漿源,更包含該複數個電漿腔室之每一者,該複數個電漿腔室包含: 至少一處理氣體入口,該至少一處理氣體入口係耦合至一處理氣體源及一處理氣體充氣部;及 複數個入口埠,耦合於該處理氣體充氣部及該複數個電漿腔室之相對應一者之間。
  7. 如申請專利範圍第6項之電漿源,其中該複數個入口埠係分佈於該複數個電漿腔室之每一者周圍。
  8. 如申請專利範圍第1項之電漿源,其中該複數個鐵磁體係實質上均勻地分佈於該複數個電漿腔室的其中對應一者之圓周周圍。
  9. 如申請專利範圍第1項之電漿源,其中該複數個鐵磁體係配置成該複數個電漿腔室之各者的圓周周圍的複數個群組。
  10. 如申請專利範圍第1項之電漿源,其中該複數個電漿腔室之每一者具有選自由實質圓形、實質三角形、實質矩形、或實質多邊形之其中一者的形狀。
  11. 一種產生電漿之方法包含: 將一處理氣體運送進入複數個電漿腔室,該複數個電漿腔室係設置在一製程腔室之頂部上,其中該複數個電漿腔室之每一者包含對應之複數個電漿腔室出口埠,該複數個電漿腔室出口埠將該複數個電漿腔室之每一者耦接至該製程腔室,且該複數個電漿腔室出口埠之每一者包含一電漿限制件,以設定從該複數個電漿腔室之每一者流進該製程腔室之電漿的流率; 施加主電流至複數個主線圈,其中該複數個主線圈之每一者係纏繞該複數個電漿腔室之每一者的外部; 其中,一磁場係在該複數個主線圈中加以產生; 其中,該磁場係以複數個鐵磁體加以濃集,其中該複數個電漿腔室之每一者通過該複數個鐵磁體之一對應者; 其中,副電流係在該複數個電漿腔室之每一者中的該處理氣體中加以感應;及 以該副電流在該複數個電漿腔室中的該處理氣體中產生電漿。
  12. 如申請專利範圍第11項之產生電漿之方法,更包含經由該複數個電漿腔室之每一者中的該複數個電漿腔室出口埠運送中性物種及自由基物種之至少一者至該製程腔室。
  13. 如申請專利範圍第11項之產生電漿之方法,更包含經由複數個出口自該製程腔室移除電漿副產物及重組產物之至少一者。
  14. 如申請專利範圍第11項之產生電漿之方法,其中運送該處理氣體至該複數個電漿腔室內的步驟包含將該處理氣體輸入到至少一處理氣體入口,而至該複數個電漿腔室之每一者。
  15. 如申請專利範圍第11項之產生電漿之方法,其中運送該處理氣體至該複數個電漿腔室內的步驟包含調變該複數個電漿腔室之每一者的流量、壓力、偏壓、及/或出口埠直徑之至少一者。
  16. 如申請專利範圍第11項之產生電漿之方法,其中該複數個鐵磁體係實質上均勻地分佈於該複數個電漿腔室之每一者周圍。
  17. 如申請專利範圍第11項之產生電漿之方法,更包含接收來自至少一處理監測感測器的處理回饋信號並調整該複數個電漿腔室之每一者的流量、壓力、偏壓及/或出口埠直徑的至少一設定點。
TW105117114A 2010-08-06 2011-07-28 分離的電漿源控制用之系統、方法與設備 TW201630069A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/852,352 US9155181B2 (en) 2010-08-06 2010-08-06 Distributed multi-zone plasma source systems, methods and apparatus

Publications (1)

Publication Number Publication Date
TW201630069A true TW201630069A (zh) 2016-08-16

Family

ID=45556357

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100125012A TWI555442B (zh) 2010-08-06 2011-07-14 分散式多區域電漿源系統、方法及設備
TW105117114A TW201630069A (zh) 2010-08-06 2011-07-28 分離的電漿源控制用之系統、方法與設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100125012A TWI555442B (zh) 2010-08-06 2011-07-14 分散式多區域電漿源系統、方法及設備

Country Status (5)

Country Link
US (1) US9155181B2 (zh)
JP (2) JP6021809B2 (zh)
KR (2) KR102008639B1 (zh)
TW (2) TWI555442B (zh)
WO (1) WO2012018367A2 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
FR2993576B1 (fr) * 2012-07-20 2018-05-18 Nanoplas Dispositif de traitement d'un objet par plasma
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9630142B2 (en) * 2013-03-14 2017-04-25 Mks Instruments, Inc. Toroidal plasma abatement apparatus and method
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
JP2021518490A (ja) * 2018-03-17 2021-08-02 パイロジェネシス・カナダ・インコーポレーテッド 溶融原料から高純度球状金属粉末を製造する方法および装置
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5353314A (en) 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
JPH11149998A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP4127435B2 (ja) * 1998-10-16 2008-07-30 後藤 俊夫 原子状ラジカル測定方法及び装置
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20020029743A (ko) * 1999-08-06 2002-04-19 로버트 엠. 포터 가스와 재료를 처리하기 위한 유도결합 링-플라즈마소스장치 및 그의 방법
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP2003530481A (ja) 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
KR20040020585A (ko) * 2002-08-31 2004-03-09 최대규 플라즈마 반응기를 이용한 극자외선 발생 장치 및 방법
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
KR100488348B1 (ko) 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) * 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
TWI398926B (zh) * 2006-04-25 2013-06-11 Gen Co Ltd 具有與磁通通道耦合之電漿室的電漿反應器
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP4094040B2 (ja) * 2006-08-18 2008-06-04 株式会社エフオーアイ プラズマ発生装置
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
KR100868019B1 (ko) 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179289A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a plasma stream
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008287999A (ja) * 2007-05-16 2008-11-27 Panasonic Corp プラズマ処理装置およびその制御方法
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101496841B1 (ko) * 2008-06-17 2015-02-27 위순임 혼합형 플라즈마 반응기
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
EP2309023A1 (en) 2008-07-30 2011-04-13 Kyocera Corporation Deposition film forming apparatus and deposition film forming method
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Also Published As

Publication number Publication date
US20120034394A1 (en) 2012-02-09
JP2017050285A (ja) 2017-03-09
US9155181B2 (en) 2015-10-06
KR102008639B1 (ko) 2019-08-07
WO2012018367A3 (en) 2012-03-29
JP6021809B2 (ja) 2016-11-09
KR20180095103A (ko) 2018-08-24
TW201223340A (en) 2012-06-01
KR101889568B1 (ko) 2018-09-20
WO2012018367A2 (en) 2012-02-09
JP2013539164A (ja) 2013-10-17
KR20130137588A (ko) 2013-12-17
TWI555442B (zh) 2016-10-21

Similar Documents

Publication Publication Date Title
TWI641291B (zh) 用以產生電漿之系統、方法及設備
TWI555442B (zh) 分散式多區域電漿源系統、方法及設備
TWI550708B (zh) 分離的電漿源控制用之系統、方法與設備
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus