TW201624104A - 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法 - Google Patents

光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法 Download PDF

Info

Publication number
TW201624104A
TW201624104A TW104136205A TW104136205A TW201624104A TW 201624104 A TW201624104 A TW 201624104A TW 104136205 A TW104136205 A TW 104136205A TW 104136205 A TW104136205 A TW 104136205A TW 201624104 A TW201624104 A TW 201624104A
Authority
TW
Taiwan
Prior art keywords
phase shift
film
light
mask
pattern
Prior art date
Application number
TW104136205A
Other languages
English (en)
Other versions
TWI584056B (zh
Inventor
Hiroaki Shishido
Osamu Nozawa
Takenori Kajiwara
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Publication of TW201624104A publication Critical patent/TW201624104A/zh
Application granted granted Critical
Publication of TWI584056B publication Critical patent/TWI584056B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0664Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/584Non-reactive treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/161Coating processes; Apparatus therefor using a previously coated surface, e.g. by stamping or by transfer lamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本發明提供一種光罩基底,其具備相位偏移膜,該相位偏移膜係對ArF準分子雷射之曝光之光之透過率或相位偏移量之變化獲得抑制者,且於進行EB缺陷修正時用以檢測與透光性基板之交界之蝕刻終點之檢測容易。 相位偏移膜具有如下功能:使ArF準分子雷射之曝光之光以2%以上且未達10%之透過率透過之功能;及相對於透過相位偏移膜之上述曝光之光,在該曝光之光與於空氣中通過和相位偏移膜之厚度相同之距離之上述曝光之光之間產生150度以上且190度以下之相位差之功能;相位偏移膜包含積層下層與上層而成之構造,下層由含有金屬及矽、且實質上不含氧之材料形成,上層由含有金屬、矽、氮及氧之材料形成,且下層之厚度較上層薄,上層之金屬之含量相對於金屬及矽之合計含量的比率較下層小。

Description

光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法
本發明係關於一種光罩基底、使用該光罩基底而製造之相位偏移光罩及其製造方法。又,本發明係關於一種使用上述相位偏移光罩之半導體裝置之製造方法。
一般而言,於半導體裝置之製造步驟中,使用光微影法進行微細圖案之形成。又,於形成該微細圖案時通常使用若干片被稱為轉印用光罩之基板。於將半導體裝置之圖案微細化時,除了形成於轉印用光罩之光罩圖案之微細化以外,還需要光微影中所使用之曝光光源之波長之短波長化。作為製造半導體裝置時之曝光光源,近年來推進短波長化而由KrF準分子雷射(波長248nm)發展為ArF準分子雷射(波長193nm)。
作為轉印用光罩之種類,除了先前之於透光性基板上具備包含鉻系材料之遮光圖案之二元光罩以外,還眾所周知有半色調式相位偏移光罩。於半色調式相位偏移光罩之相位偏移膜廣泛使用矽化鉬(MoSi)系之材料。然而,如專利文獻1所揭示般,近年來已判明MoSi系膜對ArF準分子雷射之曝光之光之耐性(所謂之ArF耐光性)較低。於專利文獻1中,對形成圖案後之MoSi系膜進行電漿處理、UV(ultraviolet,紫外線)照射處理、或加熱處理,而於MoSi系膜之圖案之表面形成鈍態膜,藉此提高ArF耐光性。
於專利文獻2中,揭示有一種對遮光膜之黑點缺陷部分一面供給二氟化氙(XeF2)氣體,一面對該部分照射電子束,藉此將黑點缺陷部蝕刻而去除之缺陷修正技術(以下,將此種照射電子束等荷電粒子而進行之缺陷修正簡稱為EB缺陷修正)。該EB缺陷修正最初用於EUV(Extreme Ultraviolet,遠紫外)微影用之反射型光罩之吸收體膜中之黑點缺陷修正,但近年來亦使用於MoSi半色調光罩之黑點缺陷修正。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2010-217514號公報
[專利文獻2]日本專利特表2004-537758號公報
於將ArF準分子雷射光(波長193nm)應用於曝光之光之光微影中所使用之半色調式相位偏移光罩中,半色調相位偏移膜(以下,簡稱為「相位偏移膜」)必須同時具備如下功能:使ArF曝光之光以特定之透過率透過之功能;及相對於透過相位偏移膜之ArF曝光之光,在該ArF曝光之光與於空氣中僅通過和該相位偏移膜之厚度相同之距離之光之間產生特定之相位差(相位偏移量)之功能。以前以來,廣泛使用具有對ArF曝光之光之透過率低於10%之特性之相位偏移膜。又,為了增大相位偏移效果,一般而言關於相位偏移膜所具有之相位偏移量係設為180度左右。上述相位偏移膜係單層構造(包含表層之無法避免氧化之區域之單層構造,以下,排除特別提及表層之氧化區域之情形,單層構造係設為於表層包含氧化區域者)之相位偏移膜,同時滿足如上所述之對ArF曝光之光之透過率與相位偏移量之條件、並且能以更薄之厚度形成之材料相對受限。包含氮矽化鉬(MoSiN)之材料係 滿足該等條件者,至今為止被廣泛使用。
於使用具備此種相位偏移膜之光罩基底製作相位偏移光罩之情形時,於其光罩製作之製程中,難以避免相位偏移膜之表面會曝露於蝕刻氣體或清洗液。即便於在製造光罩基底之階段於相位偏移膜之表層預先形成氧化層作為鈍態膜之情形時,亦難以完全防止因蝕刻氣體或清洗液而導致相位偏移膜中之鉬溶出或氧化朝向相位偏移膜之內部進一步進展之情況。又,完成後之相位偏移光罩係被設置於曝光裝置而繼續使用,但必須定期地清洗光罩。難以完全防止因該光罩清洗而導致相位偏移膜中之鉬溶出或氧化朝向相位偏移膜之內部進一步進展之情況。
於相位偏移膜中,若產生膜中之鉬溶出之情況或氧化朝向相位偏移膜之內部進一步進展之情況,則相位偏移膜之透過率及相位偏移量均產生變化。相位偏移膜較理想為該等變化量較小者。若於在透光性基板上形成相位偏移膜之階段,遍及相位偏移膜之整體預先含有某程度之氧,則容易抑制其後之相位偏移膜之透過率及相位偏移量之變化。又,藉由在形成相位偏移膜之階段減少相位偏移膜之鉬之含量(例如,鉬之含量[原子%]相對於鉬與矽之合計含量[原子%]之比率為7%以下),亦容易抑制其後之相位偏移膜之透過率及相位偏移量之變化。
然而,於具備預先含有氧之矽化鉬系材料之相位偏移膜、即含有MoSiON之單層構造之相位偏移膜之光罩基底之情形時,明確存在以下之問題。於由光罩基底製作相位偏移光罩時之光罩檢查中於相位偏移膜發現黑點缺陷之情形時,使用EB缺陷修正進行修正之情況趨於增加。新判明於針對含有MoSiON之單層構造之相位偏移膜之黑點缺陷,利用EB缺陷修正進行修正之情形時,用以檢測相位偏移膜與透光性基板之交界之蝕刻終點之檢測與含有MoSiN之單層構造之相位 偏移膜相比變難。另一方面,若相位偏移膜之鉬之含量變少,則亦存在對相位偏移膜之黑點缺陷進行上述EB缺陷修正時之蝕刻速率降低之問題。認為該等問題於使用Mo以外之金屬元素之金屬氮氧矽化物中同樣產生。
因此,本發明係為了解決先前之問題而開發者,其目的在於提供一種光罩基底,其係於透光性基板上具備相位偏移膜之光罩基底,減少因光罩製作程序時及光罩清洗時等之金屬元素之溶出及表層之氧化之進一步進展而產生之對曝光之光之透過率或相位偏移量之變化,並且用以檢測EB缺陷修正時之相位偏移膜與透光性基板之交界之蝕刻終點之檢測相對較容易。又,本發明之目的在於提供一種使用該光罩基底而製造之相位偏移光罩。進而,本發明之目的在於提供一種製造此種相位偏移光罩之方法。而且,本發明之目的在於提供一種使用此種相位偏移光罩之半導體裝置之製造方法。
為了達成上述課題,本發明具有以下之構成。
(構成1)
一種光罩基底,其特徵在於:其係於透光性基板上具備相位偏移膜者,且上述相位偏移膜具有以下功能:使ArF準分子雷射之曝光之光以2%以上且未達10%之透過率透過之功能;及相對於透過上述相位偏移膜之上述曝光之光,在該曝光之光與於空氣中僅通過和上述相位偏移膜之厚度相同之距離之上述曝光之光之間產生150度以上且190度以下之相位差之功能;上述相位偏移膜包含自上述透光性基板側起積層下層與上層而成之構造,上述下層係由含有金屬及矽、且實質上不含氧之材料形成, 上述上層係由含有金屬、矽、氮及氧之材料形成,上述下層之厚度較上述上層之厚度薄,上述上層中之金屬之含量相對於金屬及矽之合計含量的比率較上述下層中之上述金屬之含量相對於上述金屬及矽之合計含量的比率小。
(構成2)
如構成1之光罩基底,其特徵在於:上述上層係上述金屬之含量相對於上述金屬及矽之合計含量的比率為7%以下。
(構成3)
如構成1或2之光罩基底,其特徵在於:上述下層係上述金屬之含量相對於上述金屬及矽之合計含量的比率為8%以上。
(構成4)
如構成1至3中任一項之光罩基底,其特徵在於:上述下層係與上述透光性基板之表面相接而形成。
(構成5)
如構成1至4中任一項之光罩基底,其特徵在於:上述下層係由含有金屬及矽之材料形成。
(構成6)
如構成1至5中任一項之光罩基底,其特徵在於:上述相位偏移膜之厚度為90nm以下。
(構成7)
如構成1至6中任一項之光罩基底,其特徵在於:上述下層之厚度為2nm以上。
(構成8)
如構成1至7中任一項之光罩基底,其特徵在於:上述上層於表層具有氧含量較除該表層以外之部分之上層多之層。
(構成9)
如構成1至8中任一項之光罩基底,其特徵在於:於上述相位偏移膜上具備遮光膜。
(構成10)
一種相位偏移光罩,其特徵在於:於如構成9之光罩基底之上述相位偏移膜形成有轉印圖案,於上述遮光膜形成有包含遮光帶圖案之圖案。
(構成11)
一種相位偏移光罩之製造方法,其特徵在於:其係使用如構成9之光罩基底之相位偏移光罩之製造方法,且包括如下步驟:藉由乾式蝕刻於上述遮光膜形成轉印圖案;藉由將具有上述轉印圖案之遮光膜作為遮罩之乾式蝕刻而於上述相位偏移膜形成轉印圖案;及藉由將具有包含遮光帶圖案之圖案的抗蝕膜作為遮罩之乾式蝕刻而於上述遮光膜形成包含遮光帶圖案之圖案。
(構成12)
一種半導體裝置之製造方法,其特徵在於:包括使用如構成10之相位偏移光罩將轉印圖案曝光轉印至半導體基板上之抗蝕膜之步驟。
(構成13)
一種半導體裝置之製造方法,其特徵在於:包括如下步驟,即,使用藉由如構成11之相位偏移光罩之製造方法而製造之相位偏移光罩,將轉印圖案曝光轉印至半導體基板上之抗蝕膜。
本發明之光罩基底雖具有於透光性基板上具備包含含有金屬及矽之材料之相位偏移膜的構成,但能夠減少因來自相位偏移膜之金屬 元素之溶出及表層之氧化進一步進展而產生之對曝光之光之透過率或相位偏移量之變化。除此以外,該相位偏移膜能夠使於進行EB缺陷修正時用以檢測相位偏移膜與透光性基板之交界之蝕刻終點之檢測容易,且能夠避免成為缺陷修正不充分之狀態之情況、或意外刻蝕透光性基板之情況。
1‧‧‧透光性基板
2‧‧‧相位偏移膜
2a‧‧‧相位偏移圖案
3‧‧‧遮光膜
3a‧‧‧遮光圖案
3b‧‧‧遮光圖案
4‧‧‧硬質光罩膜
4a‧‧‧硬質光罩圖案
5a‧‧‧第1抗蝕圖案
6b‧‧‧第2抗蝕圖案
21‧‧‧下層
22‧‧‧上層
100‧‧‧光罩基底
200‧‧‧相位偏移光罩
圖1係表示本發明之實施形態中之光罩基底之構成的剖視圖。
圖2(a)~(g)係表示本發明之實施形態中之相位偏移光罩之製造步驟的剖面模式圖。
以下,對本發明之各實施形態進行說明。
本發明者等人將含有以MoSiON為代表之金屬氮氧矽化物之單層構造之相位偏移膜之構成作為基礎,對能夠確保特定之相位差(150度以上且190度以下)並且使透過率為2%以上且未達10%之相位偏移膜、且係於利用EB缺陷修正進行修正時用以檢測相位偏移膜與透光性基板之交界之蝕刻終點之檢測容易之相位偏移膜進行了銳意研究。
於EB缺陷修正中,於對黑點缺陷照射電子束時,檢測自受到照射之部分釋出之歐傑(Auger)電子、二次電子、特性X射線、背向散射電子中之至少任一者,並觀察其變化,藉此檢測蝕刻終點。例如,於檢測自受到電子束之照射之部分釋出之歐傑電子之情形時,藉由歐傑電子分光法(AES),主要觀察材料組成之變化。又,於檢測二次電子之情形時,根據SEM(scanning electron microscope,掃描式電子顯微鏡)像主要觀察表面形狀之變化。進而,於檢測特性X射線之情形時,藉由能量分散型X射線分光法(EDX)或波長分散X射線分光法(WDX),主要觀察材料組成之變化。於檢測背向散射電子之情形時,藉由電子束背向散射繞射法(EBSD),主要觀察材料之組成或結晶狀態之變 化。
一般而言,於由金屬矽化物之化合物形成相位偏移膜之情形時,存在相位偏移膜所要求之光學特性之制約,故而將其材料中之金屬之含量[原子%]除以金屬與矽之合計含量[原子%]所得之比率[%](以下,將該比率稱為「M/[M+Si]比率」)必須至少為20%以下。亦即,自以前以來相位偏移膜中之金屬之含量並不多。
於先前之含有以MoSiN為代表之金屬氮矽化物之單層構造之相位偏移膜之情形時,金屬之含量相對較少(M/[M+Si]比率為20%以下),但不論於EB缺陷修正中使用上述哪一種蝕刻終點檢測方法,均能夠檢測蝕刻終點。然而,如上所述,包含此種材料之相位偏移膜存在如下問題,即,因光罩製作程序時及光罩清洗時等之金屬元素之溶出及表層之氧化進一步發展而產生之透過率或相位偏移量之變化相對較大。
另一方面,於含有金屬氮氧矽化物之單層構造之相位偏移膜之情形時,由於包含構成透光性基板之主要元素即矽與氧之兩者,故而存在不論於EB缺陷修正中使用上述哪一種蝕刻終點檢測方法,均難以檢測蝕刻終點之問題。又,存在難以確保蝕刻選擇性之問題。於為了抑制伴隨金屬元素之溶出之相位偏移膜之透過率及相位偏移量之變化而減少金屬元素之含量之構成(例如,M/[M+Si]比率為7%以下)之情形時,蝕刻終點之檢測及蝕刻選擇性之確保變得特別困難。
本發明者等人認為藉由設為積層包含實質上不含氧之金屬矽化物系材料(不含氧之金屬矽化物系材料)之層與含有金屬氮氧矽化物之層而成之構造之相位偏移膜,應該能發揮各材料之層各自所具有之優點,並且消除缺點。首先,形成將包含不含氧之金屬矽化物系材料之層作為下層配置於透光性基板側,且於該下層之上積層含有金屬氮氧矽化物之層作為上層而成之構造之相位偏移膜。藉此,於EB缺陷修 正時可使相位偏移膜與透光性基板之間之蝕刻終點檢測容易。
其次,使相位偏移膜中之含有金屬氮氧矽化物之上層之M/[M+Si]比率較包含不含氧之金屬矽化物系材料之下層之M/[M+Si]比率小。進而,使相位偏移膜中之包含不含氧之金屬矽化物系材料之下層之厚度較含有金屬氮氧矽化物之上層之厚度薄。藉由使容易曝露於蝕刻氣體或清洗液之上層之M/[M+Si]比率較下層之M/[M+Si]比率小,且使耐性相對較高之上層之厚度較下層之厚度厚,而與先前相比能夠減小伴隨金屬元素之溶出之相位偏移膜之透過率及相位偏移量之變化。
另一方面,於相位偏移膜之下層及上層中,即便於各層之材料中含有主構成元素(下層為金屬、矽及氮,上層為金屬、矽、氮及氧)以外之元素之情形時,亦可知只要相位偏移膜中之主構成元素以外之元素之合計含量為10原子%以下之範圍,則對相位偏移膜之光學上之諸特性或與EB缺陷修正相關之諸特性之影響較小,為可容許之範圍。得出如下結論:藉由設為如上之相位偏移膜之構成,能夠解決上述技術性課題。
即,本發明係一種光罩基底,其特徵在於:其係於透光性基板上具備相位偏移膜之光罩基底,且相位偏移膜具有以下功能:使ArF準分子雷射之曝光之光以2%以上且未達10%之透過率透過之功能;及相對於透過相位偏移膜之曝光之光,在該曝光之光與於空氣中僅通過和上述相位偏移膜之厚度相同之距離之曝光之光之間產生150度以上且190度以下之相位差之功能;相位偏移膜包含自透光性基板側起積層下層與上層而成之構造,下層係由含有金屬及矽、且實質上不含氧之材料形成,上層係由含有金屬、矽、氮及氧之材料形成,下層之厚度較上述上層之厚度薄,上層中之金屬之含量相對於金屬及矽之合計含量的比率較下層中之金屬之含量相對於金屬及矽之合計含量的比率 小。
圖1係表示本發明之實施形態之光罩基底100之構成的剖視圖。圖1所示之本發明之光罩基底100具有於透光性基板1上依序積層相位偏移膜2、遮光膜3及硬質光罩膜4而成之構造。
對相位偏移膜2要求對如ArF準分子雷射之波長為200nm以下之曝光之光(以下,稱為ArF曝光之光)之透過率為2%以上且未達10%。為了於透過相位偏移膜2之內部之曝光之光與透過空氣中之曝光之光之間產生充分之相位偏移效果,而對曝光之光之透過率必須至少為2%。相位偏移膜2對曝光之光之透過率較佳為3%以上,更佳為4%以上。另一方面,有如下傾向:相位偏移膜2對曝光之光之透過率越高,則於光罩圖案設計時進行之光學模擬中之電磁場效應所引起之偏壓(EMF(Electron Magnetic Field,電磁場)偏壓)之計算負荷越大。若考慮該方面,則相位偏移膜2對曝光之光之透過率較佳為未達10%,更佳為9%以下,進而較佳為8%以下。
為了獲得適當之相位偏移效果,相位偏移膜2要求相對於透過之ArF曝光之光,在該ArF曝光之光與於空氣中僅通過和該相位偏移膜2之厚度相同之距離之光之間產生之相位差以成為150度以上且190度以下之範圍之方式調整。相位偏移膜2之上述相位差之下限值較佳為160度以上,更佳為170度以上。另一方面,相位偏移膜2之上述相位差之上限值較佳為180度以下,更佳為179度以下。其原因在於:於在相位偏移膜2形成圖案時之乾式蝕刻時,減小因透光性基板1被微小地蝕刻所致之相位差之增加之影響。又,其原因亦在於:近年來之利用曝光裝置進行之向相位偏移光罩照射ArF曝光之光之方式係使ArF曝光之光自相對於相位偏移膜2之膜面之垂直方向以特定角度傾斜之方向入射者增加。
相位偏移膜2具有自透光性基板1側起積層下層21與上層22而成 之構造。相位偏移膜2之下層21係由含有金屬及矽、且實質上不含氧之材料形成。如上所述,下層21係為了於EB缺陷修正時使相位偏移膜2與透光性基板1之間之蝕刻終點檢測容易而設置者,且係亦有助於使相位偏移膜2整體之厚度變薄之層。因此,下層21必須為實質上不含氧之材料。此處,所謂實質上不含氧之材料係材料中之氧含量至少為5原子%以下之材料。形成下層21之材料之氧含量較佳為3原子%以下,更佳為於利用X射線光電子分光法等進行組成分析時為檢測下限值以下。下層21更佳為由含有金屬及矽之材料、或含有金屬、矽及氮之材料形成,更佳為由含有金屬及矽之材料形成。
作為形成下層21之材料中所含有之金屬元素,較佳為過渡金屬元素。作為該情形時之過渡金屬元素,可列舉鉬(Mo)、鉭(Ta)、鎢(W)、鈦(Ti)、鉻(Cr)、鉿(Hf)、鎳(Ni)、釩(V)、鋯(Zr)、釕(Ru)、銠(Rh)、鋅(Zn)、鈮(Nb)及鈀(Pd)中之任一種以上之金屬元素。又,作為形成下層21之材料中所含有之過渡金屬元素以外之金屬元素,可列舉鋁(Al)、銦(In)、錫(Sn)及鎵(Ga)等。形成下層21之材料中除了含有上述元素以外,亦可含有碳(C)、氫(H)、硼(B)、鍺(Ge)及銻(Sb)等元素。又,形成下層21之材料中亦可含有氦氣(He)、氬氣(Ar)、氪氣(Kr)及氙氣(Xe)等惰性氣體。
下層21由含有金屬、矽及氮之材料形成之情形時之氮含量較佳為20原子%以下,更佳為19原子%以下,進而較佳為15原子%以下。另一方面,該情形時之形成下層21之材料之氮含量較佳為5原子%以上,更佳為10原子%以上。
相位偏移膜2之上層22係由含有金屬、矽、氮及氧之材料形成。如上所述,為了減小相位偏移膜2之透過率及相位偏移量之變動,必須於形成上層22時含有氧。若考慮該等方面,則形成上層22之材料之氧含量較佳為多於5原子%,更佳為10原子%以上,進而較佳為12原子 %以上。氧具有隨著材料中之含量變多,而使該材料之折射率n及消光係數k均降低之傾向。因此,隨著上層22中之氧含量變多,為了確保相位偏移膜2整體之對ArF曝光之光之特定之透過率與相位差所需要之相位偏移膜2整體之厚度變厚。若考慮該等方面,則形成上層22之材料之氧含量較佳為30原子%以下,更佳為25原子%以下,進而較佳為20原子%以下。
氮具有隨著材料中之含量變多,而該材料之折射率n相對地上升之傾向,且具有消光係數k相對地下降之傾向。形成上層22之材料之氮含量較佳為20原子%以上,更佳為25原子%以上,進而較佳為30原子%以上。另一方面,形成上層22之材料之氮含量較佳為50原子%以下,更佳為45原子%以下,進而較佳為40原子%以下。
要求上層22中之氮及氧之合計含量較下層21中之氮及氧之合計含量大。自上層22中之氮及氧之合計含量減去下層21中之氮及氧之合計含量所得之差較佳為至少10原子%以上,更佳為15原子%以上,進而較佳為20原子%以上。又,自上層22中之氮及氧之合計含量減去下層21中之氮及氧之合計含量所得之差較佳為至少60原子%以下,更佳為55原子%以下,進而較佳為50原子%以下。
下層21較佳為與透光性基板1之表面相接而形成。其原因在於:於EB缺陷修正時,較佳為在透光性基板1與下層21之間無難以進行EB缺陷修正之材料之膜(例如,鉻系材料之膜)。又,其原因在於:由於在相位偏移膜2具備下層21,故而即便玻璃材料之透光性基板1與下層21相接,於EB缺陷修正時相位偏移膜2與透光性基板1之間之蝕刻終點檢測亦相對較容易。
要求上層22之M/[M+Si]比率較下層21之M/[M+Si]比率小。其原因在於:上層22必須抑制透過率及相位偏移量之變動,而要求M/[M+Si]比率較小,相對於此,下層21必須具有使EB缺陷修正時之 蝕刻終點檢測容易之功能,而要求M/[M+Si]比率較大。自下層21之M/[M+Si]比率減去上層22之M/[M+Si]比率所得之差較佳為至少2%以上,更佳為5%以上。
另一方面,自下層21之M/[M+Si]比率減去上層22之M/[M+Si]比率所得之差較佳為至少15%以下。若於下層21與上層22之間,M/[M+Si]比率之差大於15%,則下層21與上層22之間之EB缺陷修正時之蝕刻速率之差會變大,容易於進行有EB缺陷修正之部分之相位偏移膜2之圖案側壁形狀產生階差。自下層21之M/[M+Si]比率減去上層22之M/[M+Si]比率所得之差更佳為10%以下,進而較佳為8%以下。
為了使下層21充分發揮使EB缺陷修正時之蝕刻終點檢測容易之功能,形成下層21之材料之M/[M+Si]比率要求至少為8%以上,較佳為9%以上,更佳為10%以上。又,形成下層21之材料之M/[M+Si]比率要求至少為20%以下。若使下層21之M/[M+Si]比率大於20%,則下層21之消光係數k會變高,故而為了將相位偏移膜2整體之對ArF曝光之光之透過率調整為特定範圍而必須使下層21之厚度大幅度變薄。若下層21之厚度過薄,則難以使下層21發揮使EB缺陷修正時之蝕刻終點檢測容易之功能。又,下層21對ArF曝光之光之累計照射之耐性亦降低。形成下層21之材料之M/[M+Si]比率較佳為15%以下,更佳為12%以下。
若就減小相位偏移膜2之透過率及相位偏移量之變動之觀點來看,則較理想為不僅使上層22中預先含有氧,而且減少上層22中之金屬含量。然而,若使形成相位偏移膜2之上層22之材料不含有助於提高折射率n與消光係數k之兩者之金屬元素,則會產生相位偏移膜2整體之厚度變厚之問題。又,於利用DC(direct current,直流)濺鍍法成膜上層22之情形時,亦存在因金屬矽化物靶之導電性較低而引起之缺 陷增加之問題。若考慮該等方面,則上層22之M/[M+Si]比率較佳為設為2%以上,更佳為設為3%以上。另一方面,若就減小相位偏移膜2(上層22)之透過率及相位偏移量之變動之觀點來看,則上層22之M/[M+Si]比率較佳為設為7%以下,更佳為設為6%以下,進而較佳為設為5%以下。
關於與形成上層22之材料中所含有之金屬元素相關之事項、與形成上層22之材料中所含有之過渡金屬元素以外之金屬相關之事項、及與形成上層22之材料所含有之其他元素相關之事項,與下層21之情形相同。形成下層21之材料與形成上層22之材料均較佳為含有相同之金屬元素。上層22與下層21係藉由使用相同之蝕刻氣體之乾式蝕刻而圖案化。因此,上層22與下層21較理想為於相同之蝕刻腔室內進行蝕刻。若形成上層22與下層21之各材料中所含有之金屬元素相同,則能夠減小進行乾式蝕刻之對象由上層22向下層21變化時之蝕刻腔室內之環境變化。
要求相位偏移膜2之厚度至少為90nm以下。其原因在於:減小電磁場效應之偏壓(EMF偏壓)。相位偏移膜2之厚度較佳為85nm以下,更佳為80nm以下。又,要求相位偏移膜2之厚度為50nm以上,較佳為55nm以上,更佳為60nm以上。
要求相位偏移膜2中之下層21之厚度較上層22之厚度薄。若使下層21之厚度大於相位偏移膜2整體之厚度之1/2,則難以滿足使相位偏移膜2整體之相對ArF曝光之光之透過率為特定範圍,且為特定之相位偏移量。下層21之厚度較佳為相位偏移膜2整體之厚度之1/3以下,更佳為1/5以下。又,下層21之厚度較佳為相位偏移膜2整體之厚度之1/30以上,更佳為1/20以上,進而較佳為1/15以上。
為了使下層21發揮於EB缺陷修正時使相位偏移膜2與透光性基板1之間之蝕刻終點檢測容易之功能等,而要求厚度為2nm以上。下層 21較佳為3nm以上,更佳為5nm以上。另一方面,若下層21過厚,則難以將相位偏移膜2整體之對ArF曝光之光之透過率設為10%以下。若考慮到該方面,則要求下層21之厚度為30nm以下,較佳為25nm以下,更佳為20nm以下。
於相位偏移膜2之整體,為了滿足上述光學特性與膜之厚度相關之諸條件,而要求將下層21與上層22之光學特性設為特定範圍內。下層21之折射率n較佳為1.00以上,更佳為1.10以上。又,下層21之折射率n較佳為1.90以下,更佳為1.70以下。下層21之消光係數k較佳為2.40以上,更佳為2.60以上。又,下層21之消光係數k較佳為3.30以下,更佳為3.00以下。
另一方面,上層22之折射率n較佳為2.00以上,更佳為2.10以上。另一方面,上層22之折射率n較佳為2.65以下,更佳為2.55以下。上層22之消光係數k較佳為0.20以上,更佳為0.30以上。另一方面,上層22之消光係數k較佳為0.60以下,更佳為0.50以下。
包含相位偏移膜2之薄膜之折射率n與消光係數k並非僅由該薄膜之組成決定。該薄膜之膜密度或結晶狀態等亦為影響折射率n或消光係數k之要素。因此,調整利用反應性濺鍍成膜薄膜時之諸條件,使該薄膜以成為所期望之折射率n及消光係數k之方式成膜。為了使下層21與上層22為上述折射率n與消光係數k之範圍,而於利用反應性濺鍍成膜時,不僅限於調整稀有氣體與反應性氣體(氧氣、氮氣等)之混合氣體之比率。涉及利用反應性濺鍍成膜時之成膜室內之壓力、施加至濺鍍靶之電力、靶與透光性基板1之間之距離等位置關係等多方面。又,該等成膜條件係成膜裝置所固有者,且係以所要形成之下層21及上層22成為所期望之折射率n及消光係數k之方式適當調整者。
相位偏移膜2中之下層21及上層22係藉由濺鍍而形成,但亦可應用DC濺鍍、RF(radio frequency,射頻)濺鍍及離子束濺鍍等任一種濺 鍍。於使用導電性較低之靶之情形時,較佳為應用RF濺鍍或離子束濺鍍,但若考慮成膜速率,則更佳為應用RF濺鍍。
於利用濺鍍分別形成相位偏移膜2中之下層21與上層22之步驟中,無法藉由相同之1個靶形成下層21與上層22。其原因在於:下層21與上層22之M/[M+Si]比率不同。於利用M/[M+Si]比率不同之2個靶分別形成下層21與上層22之情形時,既可於相同之成膜室分別形成,亦可於不同之成膜室分別形成。又,亦可下層21與上層22係使用矽靶與金屬矽化物靶,藉由改變對各靶施加之電壓之濺鍍,而形成M/[M+Si]比率不同之下層21與上層22。進而,亦可下層21與上層22係使用矽靶與金屬矽化物靶,藉由改變對各靶施加之電壓之濺鍍,而形成M/[M+Si]比率不同之下層21與上層22。再者,於在不同之成膜室形成下層21與上層22之情形時,較佳為設為將各成膜室彼此經由例如其他真空室連結之構成。於該情形時,較佳為使將大氣中之透光性基板1導入至真空室內時經由之裝載室與真空室連結。又,較佳為,設置用以於裝載室、真空室及各成膜室之間搬送透光性基板1之搬送裝置(機器手)。
較理想為上層22於表層具有氧含量較除該表層以外之部分之上層22多之層(以下,簡稱為表面氧化層)。作為形成上層22之表面氧化層之方法,能夠應用各種氧化處理。作為該氧化處理,例如,可列舉大氣中等含有氧之氣體中之加熱處理、含有氧之氣體中之利用閃光燈等進行之光照射處理、使臭氧或氧電漿與最上層接觸之處理等。尤佳為,使用亦可同時獲得減少相位偏移膜2之膜應力之作用之加熱處理或利用閃光燈等進行之光照射處理,於上層22形成表面氧化層。上層22之表面氧化層之厚度較佳為1nm以上,更佳為1.5nm以上。又,上層22之表面氧化層之厚度較佳為5nm以下,更佳為3nm以下。
透光性基板1除了由合成石英玻璃形成以外,還能由石英玻璃、 鋁矽酸鹽玻璃、鈉鈣玻璃、低熱膨脹玻璃(SiO2-TiO2玻璃等)等形成。其等之中,合成石英玻璃對ArF準分子雷射光之透過率較高,作為形成光罩基底100之透光性基板1之材料尤佳。
光罩基底100於相位偏移膜2上具備遮光膜3。一般而言,二元型之轉印用光罩中,要求形成轉印圖案之區域(轉印圖案形成區域)之外周區域以抗蝕膜不受使用曝光裝置於半導體晶圓上之抗蝕膜進行曝光轉印時透過外周區域之曝光之光之影響之方式,確保特定值以上之光學濃度(OD)。關於該方面,於相位偏移光罩之情形時亦相同。通常,於包含相位偏移光罩之轉印用光罩之外周區域,較理想為OD為3.0以上,必須至少為2.8以上。相位偏移膜2具有以特定之透過率使曝光之光透過之功能,僅以相位偏移膜2難以確保特定值之光學濃度。因此,於製造光罩基底100之階段,於相位偏移膜2之上,為了確保不足之光學濃度而必須積層有遮光膜3。藉由設為此種光罩基底100之構成,而於製造相位偏移光罩200(參照圖2)之中途,若將使用相位偏移效果之區域(基本上為轉印圖案形成區域)之遮光膜3去除,則能夠製造於外周區域確保了特定值之光學濃度的相位偏移光罩200。
遮光膜3能夠應用單層構造及2層以上之積層構造中之任一者。又,單層構造之遮光膜及2層以上之積層構造之遮光膜之各層既可為於膜或層之厚度方向上為大致相同之組成之構成,亦可為於層之厚度方向上組成傾斜之構成。
圖1所記載之形態中之光罩基底100係設為於相位偏移膜2之上不隔著其他膜而積層有遮光膜3之構成。該構成之情形時之遮光膜3必須應用對在相位偏移膜2形成圖案時所使用之蝕刻氣體具有充分之蝕刻選擇性之材料。該情形時之遮光膜3較佳為由含有鉻之材料形成。作為形成遮光膜3之含有鉻之材料,除了鉻金屬以外,還可列舉於鉻中含有選自氧、氮、碳、硼及氟中之一種以上之元素之材料。一般而 言,鉻系材料係由氯系氣體與氧氣之混合氣體蝕刻,但鉻金屬相對於該蝕刻氣體之蝕刻速率不太高。若考慮提高相對於氯系氣體與氧氣之混合氣體之蝕刻氣體之蝕刻速率之方面,則作為形成遮光膜3之材料,較佳為於鉻中含有選自氧、氮、碳、硼及氟中之一種以上之元素的材料。又,亦可於形成遮光膜3之含有鉻之材料中含有銦、鉬及錫中之一種以上之元素。藉由含有銦、鉬及錫中之一種以上之元素,能夠進一步加快相對於氯系氣體與氧氣之混合氣體之蝕刻速率。
另一方面,於本發明中,作為另一實施形態之光罩基底100,亦包含於相位偏移膜2與遮光膜3之間介置其他膜(蝕刻終止膜)之構成。於該情形時,較佳為設為由上述含有鉻之材料形成蝕刻終止膜,由含有矽之材料或含有鉭之材料形成遮光膜3之構成。
形成遮光膜3之含有矽之材料中既可含有過渡金屬,亦可含有過渡金屬以外之金屬元素。其原因在於:於自該光罩基底100製作相位偏移光罩200之情形時,由遮光膜3形成之圖案基本上為外周區域之遮光帶圖案,與轉印圖案區域相比被照射ArF曝光之光之累計量較少,或該遮光膜3以微細圖案殘留之情況稀少,即便ArF耐光性較低,亦不易產生實質性之問題。又,其原因在於:若使遮光膜3含有過渡金屬,則與不含過渡金屬之情形相比,遮光性能大幅度提高,能夠使遮光膜3之厚度變薄。作為遮光膜3所含有之過渡金屬,可列舉鉬(Mo)、鉭(Ta)、鎢(W)、鈦(Ti)、鉻(Cr)、鉿(Hf)、鎳(Ni)、釩(V)、鋯(Zr)、釕(Ru)、銠(Rh)、鈮(Nb)、鈀(Pd)等中之任一種金屬或該等金屬之合金作為過渡金屬。
於光罩基底100中,較佳為設為於遮光膜3之上進而積層有由對在蝕刻遮光膜3時所使用之蝕刻氣體具有蝕刻選擇性之材料形成之硬質光罩膜4之構成。遮光膜3必須具有確保特定之光學濃度之功能,故而降低其厚度存在極限。硬質光罩膜4只要僅有於在其正下方之遮光 膜3形成圖案之乾式蝕刻結束為止之期間能夠作為蝕刻光罩發揮功能之膜之厚度即足夠,基本上不受光學濃度之限制。因此,可使硬質光罩膜4之厚度與遮光膜3之厚度相比大幅度變薄。而且,有機系材料之抗蝕膜只要僅有於在該硬質光罩膜4形成圖案之乾式蝕刻結束為止之期間作為蝕刻光罩發揮功能之膜之厚度即足夠,故而與先前相比可使厚度大幅度變薄。抗蝕膜之薄膜化具有提高抗蝕劑解像度與防止圖案傾塌之效果,於應對微細化要求之方面極為重要。
該硬質光罩膜4於遮光膜3由含有鉻之材料形成之情形時,較佳為由上述含有矽之材料形成。再者,該情形時之硬質光罩膜4有與有機系材料之抗蝕膜之密接性較低之傾向,故而較佳為對硬質光罩膜4之表面實施HMDS(Hexamethyldisilazane,六甲基二矽氮烷)處理,而提高表面之密接性。再者,該情形時之硬質光罩膜4更佳為由SiO2、SiN、SiON等形成。
又,作為遮光膜3由含有鉻之材料形成之情形時之硬質光罩膜4之材料,除上述以外,還可應用含有鉭之材料。作為該情形時之含有鉭之材料,除了鉭金屬以外,還可列舉於鉭中含有選自氮、氧、硼及碳中之一種以上之元素之材料等。例如,可列舉Ta、TaN、TaO、TaON、TaBN、TaBO、TaBON、TaCN、TaCO、TaCON、TaBCN、TaBOCN等。又,硬質光罩膜4於遮光膜3由含有矽之材料形成之情形時,較佳為由上述含有鉻之材料形成。
於光罩基底100中,較佳為與硬質光罩膜4之表面相接,且以100nm以下之膜厚形成有機系材料之抗蝕膜。於應對DRAM(Dynamic Random Access Memory,動態隨機存取記憶體)hp32nm世代之微細圖案之情形時,有時於應形成於硬質光罩膜4之轉印圖案(相位偏移圖案)設置線寬為40nm之SRAF(Sub-Resolution Assist Feature,次解析度輔助特徵)。然而,即便於該情形時,亦能夠使抗蝕圖案之剖面縱橫 比低至1:2.5以下,故而抑制於抗蝕膜之顯影時、沖洗時等抗蝕圖案倒塌或脫離。再者,抗蝕膜之膜厚更佳為80nm以下。
該實施形態之相位偏移光罩200之特徵在於:於光罩基底100之相位偏移膜2形成有轉印圖案(相位偏移圖案2a),於遮光膜3形成有包含遮光帶圖案之圖案。於在光罩基底100設置有硬質光罩膜4之構成之情形時,在該相位偏移光罩200之作成中途將硬質光罩膜4去除。
本發明之相位偏移光罩200之製造方法之特徵在於:其係使用上述光罩基底100者,且包括如下步驟:藉由乾式蝕刻於遮光膜3形成轉印圖案;藉由將具有轉印圖案之遮光膜3作為遮罩之乾式蝕刻而於相位偏移膜2形成轉印圖案;及藉由將具有包含遮光帶圖案之圖案的抗蝕膜(第2抗蝕圖案)6b作為遮罩之乾式蝕刻而於遮光膜3形成包含遮光帶圖案之圖案。以下,按照圖2所示之製造步驟,對本發明之相位偏移光罩200之製造方法進行說明。再者,此處,對使用於遮光膜3之上積層有硬質光罩膜4之光罩基底100之相位偏移光罩200之製造方法進行說明。又,對遮光膜3應用含有鉻之材料,對硬質光罩膜4應用含有矽之材料。
首先,與光罩基底100中之硬質光罩膜4相接,而藉由旋轉塗佈法形成抗蝕膜。其次,對抗蝕膜,利用電子束曝光描繪應形成於相位偏移膜2之轉印圖案(相位偏移圖案)即第1圖案,進而進行顯影處理等特定之處理,而形成具有相位偏移圖案之抗蝕膜(第1抗蝕圖案)5a(參照圖2(a))。繼而,將第1抗蝕圖案5a作為遮罩,進行使用氟系氣體之乾式蝕刻,而於硬質光罩膜4形成第1圖案(硬質光罩圖案4a)(參照圖2(b))。
其次,將第1抗蝕圖案5a去除後,將硬質光罩圖案4a作為遮罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,而於遮光膜3形成第1圖案(遮光圖案3a)(參照圖2(c))。繼而,將遮光圖案3a作為遮罩, 進行使用氟系氣體之乾式蝕刻,而於相位偏移膜2形成第1圖案(相位偏移圖案2a),且同時亦將硬質光罩圖案4a去除(參照圖2(d))。
其次,於光罩基底100上藉由旋轉塗佈法而形成抗蝕膜。其次,對抗蝕膜,利用電子束曝光描繪應形成於遮光膜3之圖案(遮光圖案)即第2圖案,進而,進行顯影處理等特定之處理,而形成具有遮光圖案之第2抗蝕圖案6b(參照圖2(e))。繼而,將第2抗蝕圖案6b作為遮罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,而於遮光膜3形成第2圖案(遮光圖案3b)(參照圖2(f))。進而,將第2抗蝕圖案6b去除,經過清洗等特定之處理,而獲得相位偏移光罩200(參照圖2(g))。
作為上述乾式蝕刻中所使用之氯系氣體,只要含有Cl則並無特別限制。例如,可列舉Cl2、SiCl2、CHCl3、CH2Cl2、CCl4、BCl3等。又,作為上述乾式蝕刻中所使用之氟系氣體,只要含有F則並無特別限制。例如,可列舉CHF3、CF4、C2F6、C4F8、SF6等。尤其是不含C之氟系氣體對玻璃基板之蝕刻速率相對較低,故而能夠進一步減小對玻璃基板之損傷。
本發明之相位偏移光罩200係使用上述光罩基底100而製作者。因此,形成有轉印圖案之相位偏移膜2(相位偏移圖案2a)對ArF曝光之光之透過率為2%以上且未達10%,且透過相位偏移圖案2a之曝光之光與在空氣中僅通過與相位偏移圖案2a之厚度相同之距離之曝光之光之間的相位差成為150度以上且190度之範圍內。
即,本發明之相位偏移光罩200係於透光性基板1上至少具備相位偏移圖案2a。該相位偏移圖案2a具有如下功能:使ArF準分子雷射之曝光之光以2%以上且未達10%之透過率透過之功能;及相對於透過相位偏移圖案2a之曝光之光,在該曝光之光與於空氣中僅通過和相位偏移圖案2a之厚度相同之距離之曝光之光之間產生150度以上且190度以下之相位差之功能。該相位偏移圖案2a包含自透光性基板1側起積 層下層21與上層22而成之構造。該下層21係由含有金屬及矽、且實質上不含氧之材料形成,上層22係由含有金屬、矽、氮及氧之材料形成。該下層21之厚度較上層22之厚度薄,上層22中之金屬之含量相對於金屬及矽之合計含量的比率較下層21中之上述金屬之含量相對於上述金屬及矽之合計含量的比率小。再者,本發明之相位偏移光罩200亦可於相位偏移圖案2a上具備包含遮光帶圖案之遮光圖案3b。
本發明之相位偏移光罩200與相位偏移圖案2a由含有金屬及矽之材料形成無關,均能夠減少因來自相位偏移圖案2a之金屬元素之溶出及表層之氧化進一步進展而產生之對曝光之光之透過率或相位偏移量之變化。除此以外,於對在相位偏移光罩200之製造步驟之中途進行之光罩檢查中所發現之相位偏移圖案2a之黑點缺陷進行EB缺陷修正時,能夠相對較容易地檢測蝕刻終點。
本發明之半導體裝置之製造方法之特徵在於:使用上述相位偏移光罩200或使用上述光罩基底100而製造之相位偏移光罩200,於半導體基板上之抗蝕膜曝光轉印圖案。因此,光罩清洗之前後之相位偏移光罩200之光學特性之變化較小,即便使用光罩清洗後之相位偏移光罩200對半導體裝置上之抗蝕膜進行曝光轉印,亦能夠於半導體裝置上之抗蝕膜以充分滿足設計規格之精度轉印圖案。又,即便於使用在其製作中途將黑點缺陷部分利用EB缺陷修正進行修正後之相位偏移光罩200於半導體裝置上之抗蝕膜進行曝光轉印之情形時,亦不會於該相位偏移光罩200之與存在黑點缺陷之相位偏移圖案部分對應之半導體裝置上之抗蝕膜產生轉印不良。因此,於將該抗蝕膜之圖案作為遮罩,對下層膜進行乾式蝕刻而形成電路圖案之情形時,能夠形成無因精度不足或轉印不良而引起之配線短路或斷線之高精度之電路圖案。
[實施例]
以下,利用實施例,對本發明之實施形態進一步具體地進行說明。
(實施例1)
[光罩基底之製造]
準備主表面之尺寸為約152mm×約152mm、厚度為約6.35mm之包含合成石英玻璃之透光性基板1。該透光性基板1係將端面及主表面研磨為特定之表面粗糙度,然後,實施特定之清洗處理及乾燥處理而成者。
其次,將透光性基板1設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=11原子%:89原子%),藉由將氬氣(Ar)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於透光性基板1上以7nm之厚度形成含有鉬及矽之相位偏移膜2之下層21(MoSi膜)。
其次,將成膜有下層21之透光性基板1設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=4原子%:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於下層21上以72nm之厚度形成含有鉬、矽、氮及氧之相位偏移膜2之上層22(MoSiON膜)。按照以上之順序,以79nm之厚度形成與透光性基板1之表面相接地積層下層21與上層22而成之相位偏移膜2。
其次,對形成有該相位偏移膜2之透光性基板1,進行用以降低相位偏移膜2之膜應力、及用以於表層形成氧化層之加熱處理。具體而言,使用加熱爐(電爐),於大氣中將加熱溫度設為450℃,將加熱時間設為1小時,而進行加熱處理。準備對另一透光性基板1之主表面,於相同條件下成膜積層有下層21與上層22之相位偏移膜2,並進行加熱處理而成者。使用相位偏移量測定裝置(Lasertec公司製造之 MPM193),測定該相位偏移膜2對波長193nm之光之透過率與相位差,結果透過率為6.1%,相位差為171.1度(deg)。又,對該相位偏移膜2,利用STEM(scanning transmission electron microscope,掃描穿透電子顯微鏡)與EDX進行分析,結果確認自相位偏移膜2之上層22之表面以約1.5nm左右之厚度形成有氧化層。進而,測定該相位偏移膜2之下層21及上層22之各光學特性,結果下層21之折射率n為1.16,消光係數k為2.91,上層22之折射率n為2.38,消光係數k為0.32。又,相位偏移膜2之正面反射率(相位偏移膜2之正面側之反射率)為20.6%,背面反射率(透光性基板1側之反射率)為36.2%。
其次,將形成有相位偏移膜2之透光性基板1設置於單片式DC濺鍍裝置內,使用鉻(Cr)靶,將氬氣(Ar)、二氧化碳(CO2)、氮氣(N2)及氦氣(He)之混合氣體(流量比Ar:CO2:N2:He=22:39:6:33,壓力=0.2Pa)作為濺鍍氣體,將DC電源之電力設為1.9kW,藉由反應性濺鍍(DC濺鍍),而於相位偏移膜2上以30nm之厚度形成含有CrOCN之遮光膜3之最下層。
其次,使用相同之鉻(Cr)靶,將氬氣(Ar)及氮氣(N2)之混合氣體(流量比Ar:N2=83:17,壓力=0.1Pa)作為濺鍍氣體,將DC電源之電力設為1.4kW,藉由反應性濺鍍(DC濺鍍),而於遮光膜3之最下層上以4nm之厚度形成含有CrN之遮光膜3之下層。
其次,使用相同之鉻(Cr)靶,將氬氣(Ar)、二氧化碳(CO2)、氮氣(N2)及氦氣(He)之混合氣體(流量比Ar:CO2:N2:He=21:37:11:31,壓力=0.2Pa)作為濺鍍氣體,將DC電源之電力設為1.9kW,藉由反應性濺鍍(DC濺鍍),而於遮光膜3之下層上以14nm之厚度形成含有CrOCN之遮光膜3之上層。按照以上之順序,自相位偏移膜2側以合計膜厚48nm形成包含含有CrOCN之最下層、含有CrN之下層、及含有CrOCN之上層之3層構造的鉻系材料之遮光膜3。再者,測定該相位 偏移膜2與遮光膜3之積層構造中之波長193nm之光學濃度(OD),結果為3.0以上。
進而,將積層有相位偏移膜2及遮光膜3之透光性基板1設置於單片式RF濺鍍裝置內,使用二氧化矽(SiO2)靶,將氬(Ar)氣作為濺鍍氣體,藉由RF濺鍍而於遮光膜3之上,以5nm之厚度形成含有矽及氧之硬質光罩膜4。按照以上之順序,製造具備於透光性基板1上積層2層構造之相位偏移膜2、遮光膜3及硬質光罩膜4而成之構造之光罩基底100。
[相位偏移光罩之製造]
其次,使用該實施例1之光罩基底100,按照以下之順序製作實施例1之相位偏移光罩200。首先,對硬質光罩膜4之表面實施HMDS處理。繼而,藉由旋轉塗佈法,與硬質光罩膜4之表面相接地以膜厚80nm形成包含電子束描繪用化學增幅型抗蝕劑之抗蝕膜。其次,對該抗蝕膜,電子束描繪應形成於相位偏移膜2之相位偏移圖案即第1圖案,並進行特定之顯影處理及清洗處理,而形成具有第1圖案之第1抗蝕圖案5a(參照圖2(a))。再者,此時,於電子束描繪之第1圖案,以於相位偏移膜2形成黑點缺陷之方式,除了施加本來應形成之相位偏移圖案以外,還添加有程式缺陷。
其次,將第1抗蝕圖案5a作為遮罩,進行使用CF4氣體之乾式蝕刻,而於硬質光罩膜4形成第1圖案(硬質光罩圖案4a)(參照圖2(b))。
其次,將第1抗蝕圖案5a去除。繼而,將硬質光罩圖案4a作為遮罩,進行使用氯氣與氧氣之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,而於遮光膜3形成第1圖案(遮光圖案3a)(參照圖2(c))。其次,將遮光圖案3a作為遮罩,進行使用氟系氣體(SF6+He)之乾式蝕刻,而於相位偏移膜2形成第1圖案(相位偏移圖案2a),且同時將硬質光罩圖案4a去除(參照圖2(d))。
其次,於遮光圖案3a上,藉由旋轉塗佈法,以膜厚150nm形成包含電子束描繪用化學增幅型抗蝕劑之抗蝕膜。其次,對抗蝕膜,曝光描繪應形成於遮光膜3之圖案(遮光圖案)即第2圖案,進而進行顯影處理等特定之處理,而形成具有遮光圖案之第2抗蝕圖案6b(參照圖2(e))。繼而,將第2抗蝕圖案6b作為遮罩,進行使用氯氣與氧氣之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,而於遮光膜3形成第2圖案(遮光圖案3b)(參照圖2(f))。進而,將第2抗蝕圖案6b去除,經過清洗等特定之處理,而獲得相位偏移光罩200(參照圖2(g))。
對所製作之實施例1之半色調式相位偏移光罩200利用光罩檢查裝置進行光罩圖案之檢查,結果確認於配置有程式缺陷之部位之相位偏移圖案2a存在黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果能夠容易地檢測蝕刻終點,且能夠將對透光性基板1之表面進行之蝕刻限定於最小限度。
對進行EB缺陷修正後之實施例1之半色調式相位偏移光罩200,使用AIMS193(Carl Zeiss公司製造),進行以波長193nm之曝光之光於半導體裝置上之抗蝕膜曝光轉印時之轉印像之模擬。對該模擬之曝光轉印像進行驗證,結果充分滿足設計規格。又,進行了EB缺陷修正之部分之轉印像與其以外之區域之轉印像相比毫不遜色。根據該結果,可謂即便將進行EB缺陷修正後之實施例1之相位偏移光罩200設置於曝光裝置之光罩平台,且於半導體裝置上之抗蝕膜進行曝光轉印,亦能以高精度形成最終形成於半導體裝置上之電路圖案。
(實施例2)
[光罩基底之製造]
實施例2之光罩基底100係關於相位偏移膜2以外按照與實施例1相同之順序製造。該實施例2之相位偏移膜2係變更了形成下層21之材料,且變更了下層21與上層22之各膜厚。具體而言,將透光性基板1 設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=13原子%:87原子%),藉由將氬氣(Ar)、氮氣(N2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於透光性基板1上,以7.7nm之厚度形成含有鉬、矽及氮之相位偏移膜2之下層21(MoSiN膜)。
其次,將成膜有下層21之透光性基板1設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=4原子%:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於下層21上,以71.0nm之厚度形成含有鉬、矽、氮及氧之相位偏移膜2之上層22(MoSiON膜)。按照以上之順序,以78.7nm之厚度形成與透光性基板1之表面相接地積層下層21與上層22而成之相位偏移膜2。
又,以與實施例1相同之處理條件,對該實施例2之相位偏移膜2亦進行加熱處理。準備對另一透光性基板1之主表面於相同條件下成膜該實施例2之相位偏移膜2並進行加熱處理而成者。使用相位偏移量測定裝置(Lasertec公司製造之MPM193),測定該相位偏移膜2對波長193nm之光之透過率與相位差,結果透過率為6.13%,相位差為170.5度(deg)。又,對該相位偏移膜2,利用STEM與EDX進行分析,結果確認自相位偏移膜2之上層22之表面以約1.5nm左右之厚度形成有氧化層。進而,測定該相位偏移膜2之下層21及上層22之各光學特性,結果下層21之折射率n為1.20,消光係數k為2.78,上層22之折射率n為2.38,消光係數k為0.32。
按照以上之順序,製造具備於透光性基板1上積層包含MoSiN之下層21與MoSiON之上層22之相位偏移膜2、遮光膜3及硬質光罩膜4而成之構造的實施例2之光罩基底100。
[相位偏移光罩之製造]
其次,使用該實施例2之光罩基底100,按照與實施例1相同之順序,製作實施例2之相位偏移光罩200。
對所製作之實施例2之半色調式相位偏移光罩200利用光罩檢查裝置進行光罩圖案之檢查,結果確認於配置有程式缺陷之部位之相位偏移圖案2a存在黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果能夠容易地檢測蝕刻終點,且能夠將對透光性基板1之表面進行之蝕刻限定於最小限度。
對進行EB缺陷修正後之實施例2之半色調式相位偏移光罩200,使用AIMS193(Carl Zeiss公司製造),進行以波長193nm之曝光之光於半導體裝置上之抗蝕膜曝光轉印時之轉印像之模擬。對該模擬之曝光轉印像進行驗證,結果充分滿足設計規格。又,進行了EB缺陷修正之部分之轉印像與其以外之區域之轉印像相比毫不遜色。根據該結果,可謂即便將進行EB缺陷修正後之實施例2之相位偏移光罩200設置於曝光裝置之光罩平台,且於半導體裝置上之抗蝕膜進行曝光轉印,亦能以高精度形成最終形成於半導體裝置上之電路圖案。
(實施例3)
[光罩基底之製造]
實施例3之光罩基底100係關於相位偏移膜2以外按照與實施例1相同之順序製造。該實施例3之相位偏移膜2係變更了形成下層21之材料,且變更了下層21與上層22之各膜厚。具體而言,將透光性基板1設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=13原子%:87原子%),藉由將氬氣(Ar)、氮氣(N2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於透光性基板1上以4.5nm之厚度形成含有鉬、矽及氮之相位偏移膜2之下層21(MoSiN膜)。
其次,將成膜有下層21之透光性基板1設置於單片式DC濺鍍裝置 內,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=4原子%:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),而於下層21上,以72.1nm之厚度形成含有鉬、矽、氮及氧之相位偏移膜2之上層22(MoSiON膜)。按照以上之順序,以76.6nm之厚度形成與透光性基板1之表面相接地積層下層21與上層22而成之相位偏移膜2。
又,以與實施例1相同之處理條件,對該實施例3之相位偏移膜2亦進行加熱處理。準備對另一透光性基板1之主表面於相同條件下成膜該實施例3之相位偏移膜2並進行加熱處理而成者。使用相位偏移量測定裝置(Lasertec公司製造之MPM193),測定該相位偏移膜2對波長193nm之光之透過率與相位差,結果透過率為6.08%,相位差為170.2度(deg)。又,對該相位偏移膜2,利用STEM與EDX進行分析,結果確認自相位偏移膜2之上層22之表面以約1.5nm左右之厚度形成有氧化層。進而,測定該相位偏移膜2之下層21及上層22之各光學特性,結果下層21之折射率n為1.52,消光係數k為2.73,上層22之折射率n為2.38,消光係數k為0.32。
按照以上之順序,製造具備於透光性基板1上積層包含MoSiN之下層21與MoSiON之上層22之相位偏移膜2、遮光膜3及硬質光罩膜4而成之構造的實施例3之光罩基底100。
[相位偏移光罩之製造]
其次,使用該實施例3之光罩基底100,按照與實施例1相同之順序,製作實施例3之相位偏移光罩200。
對所製作之實施例3之半色調式相位偏移光罩200利用光罩檢查裝置進行光罩圖案之檢查,結果確認於配置有程式缺陷之部位之相位偏移圖案2a存在黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果能夠容易地檢測蝕刻終點,且能夠將對透光性基板1之表面進行之 蝕刻限定於最小限度。
對進行EB缺陷修正後之實施例2之半色調式相位偏移光罩200,使用AIMS193(Carl Zeiss公司製造),進行以波長193nm之曝光之光於半導體裝置上之抗蝕膜曝光轉印時之轉印像之模擬。對該模擬之曝光轉印像進行驗證,結果充分滿足設計規格。又,進行了EB缺陷修正之部分之轉印像與其以外之區域之轉印像相比毫不遜色。根據該結果,可謂即便將進行EB缺陷修正後之實施例3之相位偏移光罩200設置於曝光裝置之光罩平台,且於半導體裝置上之抗蝕膜進行曝光轉印,亦能以高精度形成最終形成於半導體裝置上之電路圖案。
(比較例1)
[光罩基底之製造]
該比較例1之光罩基底係關於相位偏移膜2以外按照與實施例1相同之順序製造。該比較例1之相位偏移膜2係應用含有鉬、矽、氮及氧之單層構造之膜。具體而言,將透光性基板1設置於單片式DC濺鍍裝置內,使用鉬(Mo)與矽(Si)之混合燒結靶(Mo:Si=4原子%:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),以66nm之厚度形成含有鉬、矽、氮及氧之相位偏移膜2。
又,以與實施例1相同之處理條件,對該相位偏移膜2亦進行加熱處理。準備對另一透光性基板1之主表面於相同條件下成膜該比較例1之相位偏移膜2並進行加熱處理而成者。使用相位偏移量測定裝置(Lasertec公司製造之MPM193),測定該相位偏移膜2對波長193nm之光之透過率與相位差,結果透過率為12.1%,相位差為177.1度(deg)。又,對相位偏移膜2,利用STEM與EDX進行分析,結果確認自相位偏移膜2之表面以約1.7nm左右之厚度形成有氧化層。進而,測定該相位偏移膜2之各光學特性,結果折射率n為2.48,消光係數k為0.45。
按照以上之順序,製造具備於透光性基板1上積層含有MoSiON之相位偏移膜2、遮光膜3及硬質光罩膜4而成之構造的比較例1之光罩基底。
[相位偏移光罩之製造]
其次,使用該比較例1之光罩基底,按照與實施例1相同之順序,製作比較例1之相位偏移光罩。
對所製作之比較例1之半色調式相位偏移光罩利用光罩檢查裝置進行光罩圖案之檢查,結果確認於配置有程式缺陷之部位之相位偏移圖案2a存在黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果難以檢測蝕刻終點,蝕刻自透光性基板1之表面進展。
對進行EB缺陷修正後之實施例1之半色調式相位偏移光罩200,使用AIMS193(Carl Zeiss公司製造),進行以波長193nm之曝光之光於半導體裝置上之抗蝕膜進行曝光轉印時之轉印像之模擬。對該模擬之曝光轉印像進行驗證,結果進行了EB缺陷修正之部分以外之部分大致充分滿足設計規格。然而,進行了EB缺陷修正之部分之轉印像係因對透光性基板1進行之蝕刻之影響等而導致產生轉印不良之級別者。根據該結果,預測於將進行EB缺陷修正後之比較例1之相位偏移光罩設置於曝光裝置之光罩平台,且於半導體裝置上之抗蝕膜進行曝光轉印之情形時,會於最終形成於半導體裝置上之電路圖案產生電路圖案之斷線或短路。

Claims (13)

  1. 一種光罩基底,其特徵在於:其係於透光性基板上具備相位偏移膜者,且上述相位偏移膜具有以下功能:使ArF準分子雷射之曝光之光以2%以上且未達10%之透過率透過之功能;及相對於透過上述相位偏移膜之上述曝光之光,在該曝光之光與於空氣中僅通過和上述相位偏移膜之厚度相同之距離之上述曝光之光之間產生150度以上且190度以下之相位差之功能;上述相位偏移膜包含自上述透光性基板側起積層下層與上層而成之構造,上述下層係由含有金屬及矽、且實質上不含氧之材料形成,上述上層係由含有金屬、矽、氮及氧之材料形成,上述下層之厚度較上述上層之厚度薄,上述上層中之金屬之含量相對於金屬及矽之合計含量的比率較上述下層中之上述金屬之含量相對於上述金屬及矽之合計含量的比率小。
  2. 如請求項1之光罩基底,其中上述上層係上述金屬之含量相對於上述金屬及矽之合計含量的比率為7%以下。
  3. 如請求項1之光罩基底,其中上述下層係上述金屬之含量相對於上述金屬及矽之合計含量的比率為8%以上。
  4. 如請求項1之光罩基底,其中上述下層係與上述透光性基板之表面相接而形成。
  5. 如請求項1之光罩基底,其中上述下層係由含有金屬及矽之材料形成。
  6. 如請求項1之光罩基底,其中上述相位偏移膜之厚度為90nm以 下。
  7. 如請求項1之光罩基底,其中上述下層之厚度為2nm以上。
  8. 如請求項1之光罩基底,其中上述上層於表層具有氧含量較除該表層以外之部分之上層為多之層。
  9. 如請求項1之光罩基底,其中於上述相位偏移膜上具備遮光膜。
  10. 一種相位偏移光罩,其特徵在於:於如請求項9之光罩基底之上述相位偏移膜形成有轉印圖案,於上述遮光膜形成有包含遮光帶圖案之圖案。
  11. 一種相位偏移光罩之製造方法,其特徵在於:其係使用如請求項9之光罩基底之相位偏移光罩之製造方法,且包括如下步驟:藉由乾式蝕刻於上述遮光膜形成轉印圖案;藉由將具有上述轉印圖案之遮光膜作為遮罩之乾式蝕刻而於上述相位偏移膜形成轉印圖案;及藉由將具有包含遮光帶圖案之圖案的抗蝕膜作為遮罩之乾式蝕刻而於上述遮光膜形成包含遮光帶圖案之圖案。
  12. 一種半導體裝置之製造方法,其特徵在於:包括使用如請求項10之相位偏移光罩將轉印圖案曝光轉印至半導體基板上之抗蝕膜之步驟。
  13. 一種半導體裝置之製造方法,其特徵在於:包括如下步驟,即,使用藉由如請求項11之相位偏移光罩之製造方法而製造之相位偏移光罩,將轉印圖案曝光轉印至半導體基板上之抗蝕膜。
TW104136205A 2014-12-26 2015-11-03 A mask substrate, a phase offset mask, a manufacturing method of a phase shift mask, and a method of manufacturing the semiconductor device TWI584056B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014265189 2014-12-26

Publications (2)

Publication Number Publication Date
TW201624104A true TW201624104A (zh) 2016-07-01
TWI584056B TWI584056B (zh) 2017-05-21

Family

ID=56149880

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104136205A TWI584056B (zh) 2014-12-26 2015-11-03 A mask substrate, a phase offset mask, a manufacturing method of a phase shift mask, and a method of manufacturing the semiconductor device
TW106107539A TWI648592B (zh) 2014-12-26 2015-11-03 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106107539A TWI648592B (zh) 2014-12-26 2015-11-03 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法

Country Status (5)

Country Link
US (2) US10146123B2 (zh)
JP (2) JP5940755B1 (zh)
KR (2) KR101810805B1 (zh)
TW (2) TWI584056B (zh)
WO (1) WO2016103843A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113064300A (zh) * 2021-03-26 2021-07-02 绵阳惠科光电科技有限公司 一种彩膜基板、彩膜基板的制作方法及液晶显示面板

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6058757B1 (ja) 2015-07-15 2017-01-11 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP6087401B2 (ja) * 2015-08-14 2017-03-01 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
TWI684822B (zh) 2015-09-30 2020-02-11 日商Hoya股份有限公司 空白遮罩、相位移轉遮罩及半導體元件之製造方法
TW201823855A (zh) * 2016-09-21 2018-07-01 日商Hoya股份有限公司 光罩之製造方法、光罩、及顯示裝置之製造方法
JP6772037B2 (ja) * 2016-11-11 2020-10-21 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法および半導体デバイスの製造方法
JP6891099B2 (ja) * 2017-01-16 2021-06-18 Hoya株式会社 位相シフトマスクブランクおよびこれを用いた位相シフトマスクの製造方法、並びに表示装置の製造方法
KR102568807B1 (ko) * 2017-03-28 2023-08-21 호야 가부시키가이샤 위상 시프트 마스크 블랭크 및 그것을 사용한 위상 시프트 마스크의 제조 방법, 그리고 패턴 전사 방법
JP6791031B2 (ja) * 2017-06-13 2020-11-25 信越化学工業株式会社 フォトマスクブランク及びその製造方法
SG10202103395QA (en) * 2017-06-14 2021-05-28 Hoya Corp Mask blank, method for producing transfer mask and method for producing semiconductor device
JP6729508B2 (ja) * 2017-06-29 2020-07-22 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP6753375B2 (ja) * 2017-07-28 2020-09-09 信越化学工業株式会社 フォトマスクブランク、フォトマスクブランクの製造方法及びフォトマスクの製造方法
EP3486721A1 (en) 2017-11-17 2019-05-22 IMEC vzw Mask for extreme-uv lithography and method for manufacturing the same
CN111902772A (zh) * 2018-03-26 2020-11-06 Hoya株式会社 掩模坯料、相移掩模及半导体器件的制造方法
JP6557381B1 (ja) * 2018-05-08 2019-08-07 エスアンドエス テック カンパニー リミテッド 位相反転ブランクマスク及びフォトマスク
JP7361027B2 (ja) 2018-05-25 2023-10-13 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6938428B2 (ja) * 2018-05-30 2021-09-22 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
WO2021108393A1 (en) * 2019-11-27 2021-06-03 Corning Incorporated Glass wafers for semiconductor device fabrication
JP7375065B2 (ja) * 2022-02-24 2023-11-07 Hoya株式会社 マスクブランク、転写用マスクの製造方法、及び表示装置の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001201842A (ja) 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
JP5215421B2 (ja) * 1999-11-09 2013-06-19 アルバック成膜株式会社 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP3818171B2 (ja) 2002-02-22 2006-09-06 Hoya株式会社 位相シフトマスクブランク及びその製造方法
JP2002258458A (ja) 2000-12-26 2002-09-11 Hoya Corp ハーフトーン型位相シフトマスク及びマスクブランク
JP4600629B2 (ja) 2001-06-26 2010-12-15 信越化学工業株式会社 位相シフトマスクブランク及びその製造方法
JP2004537758A (ja) 2001-07-27 2004-12-16 エフ・イ−・アイ・カンパニー 電子ビーム処理
CN100440038C (zh) * 2001-11-27 2008-12-03 Hoya株式会社 半色调型相移掩膜坯料、半色调型相移掩膜及其制造方法
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
JP4525893B2 (ja) 2003-10-24 2010-08-18 信越化学工業株式会社 位相シフトマスクブランク、位相シフトマスク及びパターン転写方法
JP4348536B2 (ja) * 2004-03-31 2009-10-21 信越化学工業株式会社 位相シフトマスクブランク、位相シフトマスク及びパターン転写方法
TWI480675B (zh) 2004-03-31 2015-04-11 Shinetsu Chemical Co 半色調相移空白光罩,半色調相移光罩,以及圖案轉移方法
JP5165833B2 (ja) * 2005-02-04 2013-03-21 信越化学工業株式会社 フォトマスクブランク、フォトマスク、およびフォトマスクブランクの製造方法
JP4881633B2 (ja) * 2006-03-10 2012-02-22 凸版印刷株式会社 クロムレス位相シフトマスク用フォトマスクブランク、クロムレス位相シフトマスク、及びクロムレス位相シフトマスクの製造方法
JP5507860B2 (ja) 2009-02-12 2014-05-28 Hoya株式会社 フォトマスクの製造方法
JP2010217514A (ja) 2009-03-17 2010-09-30 Toppan Printing Co Ltd フォトマスクの製造方法
JP5409298B2 (ja) * 2009-11-26 2014-02-05 Hoya株式会社 マスクブランク及び転写用マスク並びにそれらの製造方法
JP5670502B2 (ja) 2012-04-30 2015-02-18 株式会社エスアンドエス テック 位相反転ブランクマスク及びその製造方法
JP6005530B2 (ja) * 2013-01-15 2016-10-12 Hoya株式会社 マスクブランク、位相シフトマスクおよびこれらの製造方法
KR102166222B1 (ko) 2013-01-15 2020-10-15 호야 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 이들의 제조 방법
JP6185721B2 (ja) * 2013-01-29 2017-08-23 Hoya株式会社 マスクブランク、マスクブランクの製造方法、転写用マスクの製造方法、および半導体デバイスの製造方法
JP6373607B2 (ja) * 2013-03-08 2018-08-15 Hoya株式会社 マスクブランクの製造方法および位相シフトマスクの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113064300A (zh) * 2021-03-26 2021-07-02 绵阳惠科光电科技有限公司 一种彩膜基板、彩膜基板的制作方法及液晶显示面板

Also Published As

Publication number Publication date
US10551734B2 (en) 2020-02-04
KR101810805B1 (ko) 2017-12-19
KR102261621B1 (ko) 2021-06-04
KR20160094999A (ko) 2016-08-10
JP5940755B1 (ja) 2016-06-29
JP6599281B2 (ja) 2019-10-30
WO2016103843A1 (ja) 2016-06-30
TWI648592B (zh) 2019-01-21
KR20170089836A (ko) 2017-08-04
TW201719270A (zh) 2017-06-01
US10146123B2 (en) 2018-12-04
JPWO2016103843A1 (ja) 2017-04-27
US20170285458A1 (en) 2017-10-05
TWI584056B (zh) 2017-05-21
US20190064651A1 (en) 2019-02-28
JP2016164683A (ja) 2016-09-08

Similar Documents

Publication Publication Date Title
TWI584056B (zh) A mask substrate, a phase offset mask, a manufacturing method of a phase shift mask, and a method of manufacturing the semiconductor device
US10942441B2 (en) Mask blank, phase shift mask, and method for manufacturing semiconductor device
KR102205274B1 (ko) 마스크 블랭크, 마스크 블랭크의 제조 방법, 위상 시프트 마스크, 위상 시프트 마스크의 제조 방법, 및 반도체 디바이스의 제조 방법
TWI683174B (zh) 遮罩基底、相位轉移遮罩、相位轉移遮罩之製造方法及半導體元件之製造方法
US11016382B2 (en) Mask blanks, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
US10481485B2 (en) Mask blank, transfer mask, method of manufacturing transfer mask and method of manufacturing semiconductor device
JP6430666B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法
JP6502143B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP6430155B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
WO2019188397A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
TW201805716A (zh) 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法
KR20210056343A (ko) 마스크 블랭크, 전사용 마스크 및 반도체 디바이스의 제조 방법