TW201533868A - 半導體裝置與接合半導體裝置的方法 - Google Patents

半導體裝置與接合半導體裝置的方法 Download PDF

Info

Publication number
TW201533868A
TW201533868A TW103144819A TW103144819A TW201533868A TW 201533868 A TW201533868 A TW 201533868A TW 103144819 A TW103144819 A TW 103144819A TW 103144819 A TW103144819 A TW 103144819A TW 201533868 A TW201533868 A TW 201533868A
Authority
TW
Taiwan
Prior art keywords
layer
protective layer
barrier layer
sub
semiconductor device
Prior art date
Application number
TW103144819A
Other languages
English (en)
Other versions
TWI569389B (zh
Inventor
Ping-Yin Liu
Hsun-Chung Kuang
Cheng-Tai Hsiao
Xin-hua HUANG
Lan-Lin Chao
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201533868A publication Critical patent/TW201533868A/zh
Application granted granted Critical
Publication of TWI569389B publication Critical patent/TWI569389B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67138Apparatus for wiring semiconductor or solid state device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/0218Structure of the auxiliary member
    • H01L2224/02181Multilayer auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/0219Material of the auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/0516Iron [Fe] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05559Shape in side view non conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0651Function
    • H01L2224/06515Bonding areas having different functions
    • H01L2224/06517Bonding areas having different functions including bonding areas providing primarily mechanical bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80012Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80014Thermal cleaning, e.g. decomposition, sublimation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/8084Sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81909Post-treatment of the bump connector or bonding area
    • H01L2224/8192Applying permanent coating, e.g. protective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01026Iron [Fe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體裝置與其製備方法。在部分實施方式中,一或多個保護層形成於一第一基板上。接著形成凹陷於保護層中,再形成一或多個導電墊於凹陷中。一或多個阻障層位於保護層與導電墊之間。其中,第一基板的導電墊對準第二基板的導電墊,且第一基板以直接接合方式與第二基板接合。

Description

晶圓接合結構與其製備方法
本發明是有關一種晶圓接合結構,與製備此晶圓接合結構的方法。
半導體製造業正面臨持續的挑戰,以符合摩爾定律(Moore’s Law)。半導體製造業持續努力以增加元件密度、線路密度與操作頻率,並使特徵尺寸(例如,主動元件與被動元件的尺寸)、內連線路的寬度與厚度、以及功率消耗不斷縮減。相對於習用的封裝體,應用中此些較小的電子元件亦需形成較小的封裝體,以縮減面積。
三維立體封裝(three dimensional integrated circuits,3DICs)是最近發展中的半導體封裝技術,其係以多個半導體晶片相互堆疊,例如:堆疊式封裝(package on package)或系統級封裝(system in package)技術。形成三維立體封裝的方法包含接合二或多個半導體晶圓,而主動電路,例如:邏輯、記憶體、處理器等位於不同的半導體晶圓中。常使用的接合技術包含直接接合、化學活化接合、電漿活化接合、陽極接合、共晶接合、玻璃介質接合、附著接合、 熱壓接合、反應性接合、或其他合適的接合方式。在二個半導體晶圓接合後,半導體晶圓之間的介面能提供導電路徑予堆疊的半導體晶圓。
使用堆疊的半導體元件之好處在於,其可達到 較高的元件密度。此外,堆疊的半導體元件可具有到較小的形狀因素(form factor)與高成本效益,並提高性能及達到更低的功耗。
本發明之一態樣係提供一種半導體裝置,包含一第一基板,且一第一介電層位於第一基板上;一第一保護層覆蓋第一介電層,且第一保護層具有一第一凹陷;一第一阻障層覆蓋第一凹陷的側壁;以及一第一外部接觸墊位於第一凹陷中,第一阻障層位於第一外部接觸墊與第一保護層之間。
根據本發明一或多個實施方式,第一保護層包含一第一子保護層,包含未摻雜矽玻璃;一第二子保護層,包含氮化矽;一第三子保護層,包含未摻雜矽玻璃;以及一第四子保護層,包含氮氧化矽。
根據本發明一或多個實施方式,第一阻障層包含一第一子阻障層,包含氮化鉭;以及一第二子阻障層,包含鈷、鎳或鐵。
本發明之另一態樣係提供一種半導體裝置,包含一第一結構,以及一第二結構直接接合至第一結構。第一結構包含一第一基板;一第一保護層位於第一基板上;複數個 第一導電墊位於第一保護層中;以及一第一阻障層位於此些第一導電墊與第一保護層之間,且第一阻障層包含一第一子阻障層與一第二子阻障層。第二結構則包含一第二基板;一第二保護層位於第二基板上;複數個第二導電墊位於第二保護層中;以及一第二阻障層位於此些第二導電墊與第二保護層之間,且第二阻障層包含一第三子阻障層與一第四子阻障層。第一結構接合至第二結構,以令使此些第一導電墊與此些第二導電墊對應排列。
根據本發明一或多個實施方式,第一阻障層與 此些第一導電墊之間的一還原電位差介於約-1伏特至約+1伏特之間。
本發明之另一態樣係提供一種接合半導體裝置的 方法,包含下列步驟。先提供一第一基板,並形成一第一保護層於第一基板上。接著,形成一第一凹陷於第一保護層中,更形成一第一阻障層於第一凹陷中。最後形成一第一導電墊於第一保護層的第一凹陷中,以令使第一阻障層位於第一導電墊與第一保護層之間。
根據本發明一或多個實施方式,接合半導體裝 置的方法,更包含下列步驟。先提供一第二基板,並形成第二保護層於第二基板上。接著,形成一第二凹陷於第二保護層中,更形成一第二阻障層於第二凹陷中。最後形成一第二導電墊於第二保護層的第二凹陷中,以令使第二阻障層位於第二導電墊與第二保護層之間。
根據本發明一或多個實施方式,更使用一介電 質-介電質直接接合方式接合第一介電層與第二介電層。
根據本發明一或多個實施方式,更使用一金屬-金屬直接接合方式接合第一導電墊與第二導電墊。
根據本發明一或多個實施方式,更進行退火,其中退火的溫度介於250℃至約400℃之間,而退火的時間介於約0.5小時至約4小時之間。
100‧‧‧第一晶圓
101‧‧‧基板
103‧‧‧元件
105‧‧‧層間介電層
107‧‧‧金屬層
1071‧‧‧金屬層
109‧‧‧保護層
111‧‧‧第一保護層
113‧‧‧第二保護層
115‧‧‧第三保護層
117‧‧‧第四保護層
119‧‧‧第一開口
201‧‧‧第一阻障層
301‧‧‧金屬層
203‧‧‧第一子阻障層
205‧‧‧第二子阻障層
401‧‧‧第一接合墊
403‧‧‧第二接合墊
405‧‧‧第一孔洞
500‧‧‧第二晶圓
501‧‧‧第三接合墊
503‧‧‧第四接合墊
601‧‧‧內金屬介電層
603‧‧‧第二開口
605‧‧‧導電元件
701‧‧‧第二阻障層
703‧‧‧第三子阻障層
705‧‧‧第四子阻障層
801‧‧‧金屬材料
901‧‧‧內連線結構
903‧‧‧金屬線
905‧‧‧連通柱
907‧‧‧第二孔洞
1001-1009‧‧‧步驟
為讓本發明之上述和其他目的、特徵、優點與實施例能更明顯易懂,在閱讀下述的說明書時請參照所附圖式。值得注意的是,根據業界的標準做法,各種特徵並非按比例繪製。事實上為清楚說明,此些特徵的尺寸可任意放大或縮小。
第1-5圖繪示本發明之部分實施方式中,晶圓接合製程在各個階段的剖面圖;第6-9圖繪示本發明之部分實施方式中,金屬層的形成方法在各個階段的剖面圖;以及第10圖為本發明之部分實施方式中,晶圓接合方法的流程圖。
下述揭露內容提供許多不同的實施例或範例,用於實施本發明的不同特徵。元件與排列的具體實例方式描述如下以簡化本揭露內容。這些當然僅為示例,並且不用於限制本 揭露內容。例如,說明書中所述第一特徵形成於第二特徵上可包含第一特徵與第二特徵直接接觸的實施例,或亦可包含其他特徵形成於第一與第二特徵之間的實施例,從而使得第一與第二特徵可未直接接觸。此外,本揭露內容可在各種示例中重複元件符號。重複的目的是為了簡化和清楚說明,並不代表討論的各種實施例和/或配置之間的關係。
此外,相對詞彙,如『下』或『底部』與『上』 或『頂部』,用來描述文中在附圖中所示的一元件與另一元件之關係。相對詞彙是用來描述裝置在附圖中所描述之外的不同方位是可以被理解的。例如,如果一附圖中的裝置被翻轉,元件將會被描述原為位於其它元件之『下』側將被定向為位於其他元件之『上』側。例示性的詞彙『下』,根據附圖的特定方位可以包含『下』和『上』兩種方位。同樣地,如果一附圖中的裝置被翻轉,元件將會被描述原為位於其它元件之『下方』或『之下』將被定向為位於其他元件上之『上方』。例示性的詞彙『下方』或『之下』,可以包含『上方』和『上方』兩種方位。
接著將以實施例詳細說明本揭露內容之特定範 圍,即一種晶圓接合方法。此後,請參照附圖以理解本揭露內容的各種實施方式。
請先參閱第1圖,第1圖繪示一第一晶圓100的 局部剖面圖。第一晶圓100可包含一基板101、元件103位於基板101上、一層間介電(interlayer dielectric,ILD)層105位於元件103上、一或多層金屬層107位於層間介電 層105上,以及一保護層109位於金屬層107上。基板101可包含摻雜或非摻雜的塊狀矽基板,或為絕緣層覆矽基板(silicon-on-insulator,SOI)的活性層。通常絕緣層覆矽基板具有一半導體材料層,包含矽、鍺、矽化鍺、絕緣層覆矽、絕緣層覆矽化鍺(silicon germanium on insulator,SGOI)、或上述之組合。亦可使用其他合適的基板,例如多層基板、梯度基板或混合定向基板。
第1圖所示的元件103可為一單晶體。然而,元 件103可包含各種主動元件或被動元件,例如:電晶體、二極體、電容、電阻、電感或其他合適的元件,以符合第一晶圓100設計所需的結構和功能需求。元件103可以任何合適的方法形成於基板101的表面上或表面中,或者形成於覆蓋在基板101上的介電層中。所屬領域具有通常知識者應理解上述實例僅用於說明目的,以進一步解釋說明性實施例的應用方式,並不意味著以任何方式限定本揭露內容。
層間介電層105形成於基板101與元件103 上,其係用於隔離元件103與金屬層107。層間介電層105可包含二氧化矽、低介電常數(low-K)材料(指介電常數低於二氧化矽的材料),例如:氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽玻璃(fluorinated silicate glass,FSG)、碳化矽玻璃(organosilicate glasses,OSG)、碳摻雜玻璃(SiOxCy)、旋塗式玻璃(Spin-On-Glass)、旋塗式高分子 (Spin-On-Polymers)、碳矽化物材料、上述的化合物、上述的複合物、上述之組合、或其他合適的材料。並使用合適的方法沉積此些材料,例如旋塗、化學氣相沉積(CVD)、電漿加強化學氣相沉積(plasma-enhanced CVD,PECVD)、或其他合適的方式。此外,亦可使用上述材料的多孔洞形態。上述提供的材料與製程係用於示例,亦可使用其他的材料與製程。
金屬層107形成於層間介電層105上,其連接至 元件103並形成功能性電路。雖然第1圖繪示單層金屬層107,但金屬層107可以交替的介電材料與導電材料形成多層結構,且能以任何合適的方式形成金屬層107(例如:沉積、金屬鑲嵌法、雙層金屬鑲嵌法等)。金屬層107的層數可視第一晶圓100的設計而定。
值得注意的是,一或多個蝕刻終止層(未繪示) 可位於相鄰層間,舉例來說,位於層間介電層105與基板101之間。在形成穿孔或/及導電接觸時,蝕刻終止層通常用於停止蝕刻製程。蝕刻終止層係以介電材料製成,並具有與相鄰層(在蝕刻終止層上的層間介電層105與在蝕刻終止層下的基板101)不同的蝕刻選擇比。在本發明之部分實施例中,蝕刻終止層之材質可為氮化矽、氮碳化矽、氧碳化矽、氮化碳、上述之組合、或任何合適的材料。且可使用化學氣相沉積(CVD)或電漿加強化學氣相沉積技術沉積蝕刻終止層。
保護層109形成於第一晶圓100上。保護層109 可為單層或多層結構,包含二氧化矽、未摻雜的矽玻璃(undoped silicon glass,USG)、氮氧化矽、磷矽酸鹽玻璃、氮化矽、上述的化合物、上述的複合物、上述之組合、或其他合適的材料。可以任何合適的方式沉積此些材料,例如旋塗、化學氣相沉積(CVD)、電漿加強化學氣相沉積(plasma-enhanced CVD,PECVD)、或其他合適的方式。 此些材料與製程係用於示例,亦可使用其他的材料與製程。
在本發明之部分實施例中,保護層109可為一 複合結構,包含未摻雜的矽玻璃構成的一第一保護層111、由氮化矽構成的一第二保護層113、由未摻雜的矽玻璃構成的一第三保護層115、以及由氮氧化矽構成的一第四保護層117。上述的任何一層均亦可作為蝕刻終止層。所屬領域具有通常知識者應理解上述實例僅用於說明目的,而保護層109的層數以及使用的材料可視第一晶圓100的規格而變化。
微影蝕刻技術可用於圖案化保護層109。通常 形成一光阻層(未繪示)於保護層109上,接著紫外光或準分子雷射(excimer laser)通過一光罩(未繪示)以曝光光阻層,其中光罩上具有預定圖案。然後進行烘烤與固化操作,並視使用的正型光阻或負型光阻而定,以顯影劑移除光阻層的曝光區或非曝光區。藉此,形成一圖案於光阻層,其係用於形成複數個第一開口119於保護層109中。此處所述用於形成多個第一開口119之特定圖案僅用於說明目的,應理解可視第一晶圓100的設計需求來形成其他圖案。
請繼續參閱第1圖,蝕刻保護層109,舉例來 說,使用非等向性乾蝕刻製程移除保護層109的暴露部分,而蝕刻後形成複數個第一開口119於保護層109中。多種蝕刻製程均可用於蝕刻保護層109的各層。接著,搭配使用一灰化製程與一濕清洗製程以移除光阻層。舉例來說,在上述的實施方式中,保護層109包含未摻雜的矽玻璃構成的一第一保護層111、由氮化矽構成的一第二保護層113、由未摻雜的矽玻璃構成的一第三保護層115、以及由氮氧化矽構成的一第四保護層117,蝕刻製程可包含使用六氟化硫(SF6)電漿進行的反應性離子蝕刻製程。
更詳細的說,此些第一開口119在後續製程中 會形成接合墊(bonding pad),且此些接合墊包含合適的金屬材料。接合墊的形成方式包含使用合適的沉積製程來形成晶種層(seed layer)與阻障層,或電鍍製程來形成塊狀金屬。此些接合墊在後續製程中用於接合不同的晶圓,並作為接合的晶圓上元件之間的金屬接觸(metallic contact)。
第2圖繪示形成一第一阻障層201於保護層109 的第一開口119的步驟。第一阻障層201在後續製程中形成導電材料於第一開口119時,能防止導電材料擴散進入保護層109中,減少金屬中毒(metallic poisoning)的機會。形成的第一阻障層201可為單層結構或多層結構,其可包含鈦、氮化鈦、鉭、氮化鉭、鈷、或其他合適的材料。且可使用化學氣相沉積、物理氣相沉積、或其他合適的方法來形成阻障層。
在本發明之部分實施例中,第一阻障層201可 包含一第一子阻障層203與一第二子阻障層205。在本發明之其他部分實施例中,第一子阻障層203係以氮化鉭構成,且第一子阻障層203的一第一厚度小於約500埃,例如250埃。第二子阻障層205係以鈷構成,且第二子阻障層205的一第二厚度小於約100埃。所屬領域具有通常知識者應理解的是,說明書中列舉的尺寸係對應至特定的技術節點(technology node),而此些尺寸可隨著技術節點的縮放而增減。在本發明之部分實施例中,第一子阻障層203係以氮化鉭構成,而第二子阻障層205鎳構成。在本發明之其他部分實施例中,第一子阻障層203係以氮化鉭構成,而第二子阻障層205係以鐵構成。
請繼續參閱第3圖,沉積一金屬層301填充至保 護層109的第一開口119,以形成接合墊。金屬層301可包含銅、銀、金、鎢、鋁、或其他合適的材料,且可使用用化學氣相沉積、物理氣相沉積、電漿加強化學氣相沉積、電鍍、或其他合適的方式來沉積金屬層301。
請接著參閱第4圖,利用化學機械研磨來移除保護層109之上表面上多餘的第一阻障層201與金屬層301。在化學機械研磨製程中,係搭配使用高腐蝕性的化學物,例如酸與鹼,與小磨料顆粒,以將多餘的材料分別以化學與機械方式移除。殘留於第一開口119中的金屬材料形成第一接合墊401與第二接合墊403。
接著,清潔與活化第一晶圓100的表面,以準 備進行第一晶圓100的接合。表面清潔係用於移除第一晶圓100表面的化學機械研磨漿與原生氧化層。表面清潔製程可直接與不直接接觸第一晶圓的表面,例如低溫清潔、機械式擦拭與洗滌(mechanical wiping and scrubbing)、氣體,電漿或液體蝕刻、超音波震盪與清潔、雷射清潔、或其他合適的方式。接著,可以去離子水清洗第一晶圓100,並使用旋轉乾燥器或異丙醇乾燥器來乾燥第一晶圓100。在本發明之其他實施例中,可使用RCA清潔技術或其他合適的方式來清潔第一晶圓100。
表面活化係用以準備進行第一晶圓100的接 合。可使用任何合適的方式進行表面活化製程,例如電漿蝕刻或濕蝕刻,以移除在晶圓清洗製程後形成於第一晶圓100表面的原生氧化物。在本發明之部分實施例中,接合墊可包含銅,並使用檸檬酸移除接合墊表面的氧化銅。接著,以去離子水清洗第一晶圓100,並使用旋轉乾燥器或異丙醇乾燥器來乾燥第一晶圓100。
請繼續參閱第4圖。如第4圖所示,複數個第一 孔洞405形成於第一接合墊401與第二接合墊403中,且此些第一孔洞405位於接合墊與第一阻障層201的介面。在化學機械研磨、晶圓清洗、晶圓活化製程時,接合墊產生電化侵蝕(galvanic corrosion)而形成此些第一孔洞405。為減少此些第一孔洞405的尺寸,第一子阻障層203、第二子阻障層205與接合墊選用的材質使第一阻障層201與接合墊之間的一還原電位差介於約-1伏特至約+1伏特之間。在本發 明之部分實施例中,第一孔洞405的尺寸小於約500埃。
請參閱第5圖,第一晶圓100接合至一第二晶圓 500。在本發明之部分實施例中,繪示的第二晶圓500之結構與第一晶圓100相似。然而,所屬領域具有通常知識者應理解上述實例僅用於說明目的,以進一步解釋說明性實施例的應用方式,並不意味著以任何方式限定本揭露內容。在本發明之其他部分實施例中,第二晶圓500可包含與第一晶圓100不同的元件與電路。在本發明之其他部分實施例中,第一晶圓100係以互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)製程製備,而第二晶圓500係以微機電系統(micro electrical mechanical system,MEMS)製程製備。
在本發明之部分實施例中,第一晶圓100可以 任何方式與第二晶圓500接合,例如:直接接合製程,如金屬-金屬接合(銅-銅接合)、介電質-介電質接合(氧化物-氧化物接合)、金屬-介電質接合(銅-氧化物接合)、混合接合(同時使用金屬-金屬接合與介電質-介電質接合)、上述的組合、或其他合適的接合方式。舉例來說,第一晶圓100可使用混合接合方式與第二晶圓500接合,其中第一晶圓100中的第一接合墊401與第二接合墊403係分別對準第二晶圓500中的第三接合墊501與第四接合墊503。接著,將第一晶圓100與第二晶圓500的表面置於室溫、常壓與大氣環境物理接觸,使第一接合墊401與第三接合墊501,以及第二接合墊403與第四接合墊503以金屬-金屬直接接合方式進 行接合。同時,第一晶圓100與第二晶圓500中的保護層亦以介電質-介電質直接接合方式進行接合。之後,可選擇性的進行退火以增加第一晶圓100與第二晶圓500之間的接合強度。在本發明之部分實施例中,退火的溫度介於250℃至約400℃之間,而退火的時間介於約0.5小時至約4小時之間。
值得注意的是,上述的實施方式為晶圓級 (wafer-level)接合,以接合第一晶圓100與第二晶圓500,接著再切割成複數個分離的晶片。但此接合步驟亦可用於晶片-晶片(die-to-die level)接合,或晶片-晶圓(die-to-wafer)接合。
請繼續參閱第5圖,在本發明之部分實施例中, 第一接合墊401、第二接合墊403、第三接合墊501與第四接合墊503可具有雙重功能性(dual functionality)。舉例來說,第一接合墊401與第三接合墊501可提供第一晶圓100與第二晶圓500之間的機械接合與電性連接。其中,形成的第一接合墊401電性接觸至第一晶圓100的金屬層107,而形成的第三接合墊501電性接觸至第二晶圓500的金屬層。接合的第一接合墊401第三接合墊501堤供電通道(electrical pathway)於第一晶圓100的元件103以及第二晶圓的元件之間。在本發明之部分實施例中,如前所述減少第一孔洞405的尺寸,此將提升第一接合墊401第三接合墊501之間電性連接的品質,同時也提升第一晶圓100與第二晶圓500之間電性連接的品質。
在本發明之部分實施例中,第二接合墊403可 作為虛擬接合墊,其不提供第一晶圓100與第二晶圓500之間的電性連接,只作為第一晶圓100與第二晶圓500之間的機械接合。舉例來說,形成的第二接合墊403可具有一或多個介電層位於第二接合墊403與金屬層107之間,因此第二接合墊403可與第一晶圓100的元件103電性絕緣。無論第四接合墊503與第二晶圓500的金屬層是否具有電通道,第二接合墊403與第四接合墊503的接合並無電性連接作用,而只作為第一晶圓100與第二晶圓500之間的機械接合。在本發明之部分實施例中,虛擬接合墊可用於減少製程中的不利影響。舉例來說,虛擬接合墊可增加化學機械研磨製程的效率,並減少金屬凹陷與介電質剝落所帶來的影響。
所屬領域具有通常知識者應理解上述形成接合 墊的方法亦可用於形成金屬線與連通柱(via)中的金屬層,以及金屬線與連通柱中的重佈局線路層。
第6-9圖為第一晶圓100在製程各個階段的剖 面圖,以繪示本發明之部分實施方式中金屬層107的形成方法。在第6-9圖中,與第1-5圖相同的元件均具有相同的元件符號。相應地,第6-9圖繪示本發明之部分實施方式中,形成一內連線結構於第1圖所示的其中一金屬層107中的步驟。為了更清楚的說明本揭露內容,第6-9圖繪示形成一內連線結構於一第一金屬層1071的步驟。其他實施方式亦可形成上述的內連線結構於其他金屬層中。在本發明之部分實施方式中,更詳細地說,可使用鑲嵌方法、雙鑲嵌方法、或 其他合適的方式來形成內連線結構。
請參閱第6圖,在本發明之部分實施例中,第一 金屬層1071中的一內金屬介電層(inter-metal dielectric,IMD)601之材質與層間介電層105相似,並可使用與形成層間介電層105相似的方法來形成內金屬介電層601。微影蝕刻技術可用以圖案化第一金屬層1071的內金屬介電層601。通常形成一光阻層(未繪示)於內金屬介電層601上,接著紫外光或準分子雷射(excimer laser)通過一光罩(未繪示)以曝光光阻層,其中光罩上具有預定圖案。進行烘烤與固化操作,並視使用的正型光阻或負型光阻,以顯影劑移除光阻層的曝光區或非曝光區。藉此,光阻層具有一圖案,其係用於形成第二開口603於內金屬介電層601中。此處所述用以形成第二開口603的特定圖案僅用於說明目的,應理解可視第一晶圓100的設計需求來形成其他圖案。
接著蝕刻內金屬介電層601。舉例來說,使用 非等向性乾蝕刻製程移除內金屬介電層601的暴露部分,以暴露層間介電層105中的導電元件605之至少一部分。蝕刻後更形成第二開口603於內金屬介電層601中。在本發明之部分實施例中,一或多種蝕刻製程均可用於圖案化內金屬介電層601,且可形成一或多層蝕刻終止層(未繪示)於內金屬介電層601中以協助圖案化製程。接著搭配使用一灰化製程與一濕清洗製程以移除光阻層。
請參閱第7圖,第7圖形成一第二阻障層701於 內金屬介電層601的第二開口603。第二阻障層701在後續 製程中形成導電材料於第二開口603時,能防止導電材料擴散進入內金屬介電層601中,減少金屬中毒(metallic poisoning)的機會。形成的第二阻障層701可為單層結構或多層結構,其可包含,例如:鈦、氮化鈦、鉭、氮化鉭、鈷、或其他合適的材料。且可使用化學氣相沉積、物理氣相沉積、或其他合適的方法來形成第二阻障層701。
舉例來說,第二阻障層701可為一複合結構, 如第7圖所示包含一第三子阻障層703與一第四子阻障層705。在本發明之其他部分實施例中,第三子阻障層703係以氮化鉭構成,且第三子阻障層703的一第一厚度小於500埃,例如250埃。第四子阻障層705係以鈷構成,且第四子阻障層705的一第二厚度小於100埃。所屬領域具有通常知識者應理解的是,說明書中列舉的尺寸係對應至特定的技術節點,而此些尺寸可隨著技術節點的縮放而增減。
請繼續參閱第8圖,第8圖繪示沉積一金屬材料 801以填充至內金屬介電層601的第二開口603的步驟,以形成內連線結構。金屬材料801可包含銅、銀、金、鎢、鋁、或其他合適的材料,且可使用用化學氣相沉積、物理氣相沉積、電漿加強化學氣相沉積、電鍍、或其他合適的方式來沉積金屬材料801。
請接著參閱第9圖,利用化學機械研磨移除保護層109之上表面上多餘的第二阻障層701與金屬材料801。在化學機械研磨製程中,係搭配使用高腐蝕性的化學物,例如酸與鹼、以及小磨料顆粒,將多餘的材料分別以化學與機 械方式移除。殘留於第二開口603中的金屬材料801形一成內連線結構901。在本發明之部分實施例中,內連線結構901可包含一金屬線903與一連通柱905。此處所述的內連線結構901僅用於說明目的,可視第一金屬層1071的規格形成具有不同設計的一或多個內連線結構於內金屬介電層601中。舉例來說,在本發明之部分實施例中,內連線結構901可包含一連通柱,而在本發明之其他部分實施例中,內連線結構901可包含一金屬線。
請繼續參閱第9圖。如第9圖所示,複數個第二 孔洞907形成於金屬線903與第二阻障層701的介面。在化學機械研磨、晶圓清洗、晶圓活化製程時,接合墊產生電化侵蝕(galvanic corrosion)而形成此些第二孔洞907。為減少此些第二孔洞907的尺寸,第三子阻障層703、第四子阻障層705與內連線結構901選用的材質使第二阻障層701與內連線結構901之間的一還原電位差介於約-1伏特至約+1伏特之間。在本發明之部分實施例中,第二孔洞907的尺寸小於500埃。
第10圖繪示依據本發明之部分實施方式中,晶圓接合方法的流程圖。晶圓接合方法先進行步驟1001,如第1圖所示,形成一或多個保護層於第一晶圓上。繼續參閱步驟1003,如第1圖所示,形成一或多個開口於保護層中。接著請參閱步驟1005,並同時參閱第2圖,形成一或多個阻障層於此些開口中。接著進行步驟1007,如第3圖與第4圖所示,沉積金屬材料於此些開口中,以形成接合墊。最後進 行步驟1009,如第5圖所示,接合第一晶圓與第二晶圓。
在本發明之部份實施例中,一種半導體裝置, 包含一第一介電層位於第一基板上,一第一保護層覆蓋第一介電層,且第一保護層具有一第一凹陷。半導體裝置更包含一第一阻障層覆蓋第一凹陷的側壁,以及一第一外部接觸墊位於第一凹陷中,第一阻障層位於第一外部接觸墊與第一保護層之間。
在本發明之其他部份實施例中,一種半導體裝 置,包含一第一結構。第一結構包含一第一基板,一第一保護層位於第一基板上,複數個第一導電墊位於第一保護層中,以及一第一阻障層位於此些第一導電墊與第一保護層之間,且第一阻障層包含一第一子阻障層與一第二子阻障層。 半導體結構更包含一第二結構直接接合至第一結構。第二結構則包含一第二基板,一第二保護層位於第二基板上,複數個第二導電墊位於第二保護層中,以及一第二阻障層位於此些第二導電墊與第二保護層之間,且第二阻障層包含一第三子阻障層與一第四子阻障層。第一結構接合至第二結構,以令使此些第一導電墊與此些第二導電墊對應排列。
在本發明之其他部份實施例中,一種接合半導 體裝置的方法,包含下列步驟。先提供一第一基板,並形成一第一保護層於第一基板上。接著,形成一第一凹陷於第一保護層中,更形成一第一阻障層於第一凹陷中。最後形成一第一導電墊於第一保護層的第一凹陷中,以令使第一阻障層位於第一導電墊與第一保護層之間。
雖然本發明已以實施方式揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧第一晶圓
101‧‧‧基板
103‧‧‧元件
105‧‧‧層間介電層
107‧‧‧金屬層
109‧‧‧保護層
111‧‧‧第一保護層
113‧‧‧第二保護層
115‧‧‧第三保護層
117‧‧‧第四保護層
203‧‧‧第一子阻障層
205‧‧‧第二子阻障層
401‧‧‧第一接合墊
403‧‧‧第二接合墊
405‧‧‧第一孔洞
500‧‧‧第二晶圓
501‧‧‧第三接合墊
503‧‧‧第四接合墊

Claims (20)

  1. 一種半導體裝置,包含:一第一基板,且一第一介電層位於該第一基板上;一第一保護層覆蓋該第一介電層,且該第一保護層具有一第一凹陷;一第一阻障層覆蓋該第一凹陷的側壁;以及一第一外部接觸墊位於該第一凹陷中,該第一阻障層位於該第一外部接觸墊與該第一保護層之間。
  2. 如請求項1所述之半導體裝置,其中該第一保護層包含:一第一子保護層,包含未摻雜矽玻璃;一第二子保護層,包含氮化矽;一第三子保護層,包含未摻雜矽玻璃;以及一第四子保護層,包含氮氧化矽。
  3. 如請求項1所述之半導體裝置,其中該第一阻障層包含:一第一子阻障層,包含氮化鉭;以及一第二子阻障層,包含鈷、鎳或鐵。
  4. 如請求項1所述之半導體裝置,其中該第一阻障層延伸至該第一凹陷的一底部。
  5. 如請求項1所述之半導體裝置,其中該第 一外部接觸墊包含複數個孔洞,且該些孔洞小於500埃。
  6. 如請求項1所述之半導體裝置,更包含:一第二基板,且一第二介電層位於該第二基板上;一第二保護層覆蓋該第二介電層,且該第二保護層具有一第二凹陷;一第二阻障層覆蓋該第二凹陷的側壁;以及一第二外部接觸墊位於該第一凹陷中,該第二阻障層位於該第二外部接觸墊與該第二保護層之間。
  7. 如請求項6所述之半導體裝置,其中該第一外部接觸墊係以金屬-金屬直接接合方式與該第二外部接觸墊接合,而該第一介電層係以介電質-介電質直接接合方式與該第二介電層接合。
  8. 一種半導體裝置,包含:一第一結構,包含:一第一基板;一第一介電層位於該第一基板上;複數個第一導電墊位於該第一保護層中;以及一第一阻障層位於該些第一導電墊與該第一保護層之間,且該第一阻障層包含一第一子阻障層與一第二子阻障層;以及一第二結構直接接合至該第一結構,該第二結構包含:一第二基板; 一第二介電層位於該第二基板上;複數個第二導電墊位於該第二保護層中;以及一第二阻障層位於該些第二導電墊與該第二保護層之間,且該第二阻障層包含一第三子阻障層與一第四子阻障層,其中該第一結構接合至該第二結構,以令使該些第一導電墊與該些第二導電墊對應排列。
  9. 如請求項8所述之半導體裝置,其中該第一保護層包含:一第一子保護層,包含未摻雜矽玻璃;一第二子保護層,包含氮化矽;一第三子保護層,包含未摻雜矽玻璃;以及一第四子保護層,包含氮氧化矽。
  10. 如請求項8所述之半導體裝置,中該第一阻障層包含:一第一子阻障層,包含氮化鉭;以及一第二子阻障層,包含鈷、鎳或鐵。
  11. 如請求項8所述之半導體裝置,其中該些第一導電墊包含銅。
  12. 如請求項8所述之半導體裝置,其中該第一阻障層與該些第一導電墊之間的一還原電位差介於約 -1伏特至約+1伏特之間。
  13. 如請求項8所述之半導體裝置,其中該第一接觸墊包含複數個孔洞,且該些孔洞小於500埃。
  14. 如請求項8所述之半導體裝置,其中該些第一接觸墊的一第一上表面與該第一保護層的一第二上表面為同平面。
  15. 一種接合半導體裝置的方法,包含:提供一第一基板形成一第一保護層於該第一基板上;形成一第一凹陷於該第一保護層中;形成一第一阻障層於該第一凹陷中;以及形成一第一導電墊於該第一保護層的該第一凹陷中,以令使該第一阻障層位於該第一導電墊與該第一保護層之間。
  16. 如請求項15所述之接合半導體裝置的方法,其中形成該第一阻障層包含形成一第一子阻障層與一第二子阻障層於該第一保護層的該第一凹陷中。
  17. 如請求項15所述之接合半導體裝置的方法,更包含:提供一第二基板 形成一第二保護層於該第二基板上;形成一第二凹陷於該第二保護層中;形成一第二阻障層於該第二凹陷中;以及形成一第二導電墊於該第二保護層的該第二凹陷中,以令使該第二阻障層位於該第二導電墊與該第二保護層之間。
  18. 如請求項17所述之接合半導體裝置的方法,更包含使用一介電質-介電質直接接合方式接合該第一介電層與該第二介電層。
  19. 如請求項18所述之接合半導體裝置的方法,更包含使用一金屬-金屬直接接合方式接合該第一導電墊與該第二導電墊。
  20. 如請求項18所述之接合半導體裝置的方法,更包含進行退火,其中退火的溫度介於250℃至約400℃之間,而退火的時間介於約0.5小時至約4小時之間。
TW103144819A 2014-02-25 2014-12-22 半導體裝置與接合半導體裝置的方法 TWI569389B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/189,917 US9425155B2 (en) 2014-02-25 2014-02-25 Wafer bonding process and structure

Publications (2)

Publication Number Publication Date
TW201533868A true TW201533868A (zh) 2015-09-01
TWI569389B TWI569389B (zh) 2017-02-01

Family

ID=53882955

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144819A TWI569389B (zh) 2014-02-25 2014-12-22 半導體裝置與接合半導體裝置的方法

Country Status (4)

Country Link
US (2) US9425155B2 (zh)
KR (1) KR101705950B1 (zh)
CN (1) CN104867895B (zh)
TW (1) TWI569389B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709212B (zh) * 2019-03-05 2020-11-01 台灣積體電路製造股份有限公司 晶圓接合結構及其形成方法
TWI721564B (zh) * 2019-06-14 2021-03-11 台灣積體電路製造股份有限公司 半導體結構及其製作方法
TWI751702B (zh) * 2019-09-17 2022-01-01 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11315903B2 (en) 2020-03-05 2022-04-26 Nanya Technology Corporation Semiconductor device with connecting structure and method for fabricating the same
TWI770790B (zh) * 2020-03-19 2022-07-11 南亞科技股份有限公司 半導體元件及其製備方法
TWI799053B (zh) * 2022-01-03 2023-04-11 力晶積成電子製造股份有限公司 半導體結構的製造方法
TWI807638B (zh) * 2021-04-22 2023-07-01 台灣積體電路製造股份有限公司 封裝及其形成方法
TWI824634B (zh) * 2021-11-04 2023-12-01 群創光電股份有限公司 電性連接結構

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105938825B (zh) * 2011-05-24 2019-04-05 索尼公司 半导体图像接收装置
FR3021455B1 (fr) * 2014-05-21 2017-10-13 St Microelectronics Crolles 2 Sas Procede d'aplanissement d'evidements remplis de cuivre
FR3036225B1 (fr) * 2015-05-13 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de collage d'une premiere structure et d'une seconde structure
DE102016223203A1 (de) * 2016-11-23 2018-05-24 Robert Bosch Gmbh MEMS-Bauelement mit niederohmiger Verdrahtung und Verfahren zur Herstellung desselben
FR3065321B1 (fr) * 2017-04-14 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un dispositif d'affichage emissif a led
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) * 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
JP2020150232A (ja) 2019-03-15 2020-09-17 キオクシア株式会社 半導体装置およびその製造方法
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) * 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
CN111243972B (zh) * 2020-02-24 2022-06-10 哈尔滨工业大学 一种多步协同表面活化低温混合键合方法
US11211362B2 (en) * 2020-03-20 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D trench capacitor for integrated passive devices
US11373971B2 (en) * 2020-06-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11430753B2 (en) * 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11817373B2 (en) * 2021-03-26 2023-11-14 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of making
US20220310550A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal bonding structure and manufacturing method thereof

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5023413B1 (zh) * 1971-05-17 1975-08-07
JP3606095B2 (ja) 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
JP5023413B2 (ja) 2001-05-11 2012-09-12 ソニー株式会社 半導体装置およびその製造方法
KR20030002529A (ko) 2001-06-29 2003-01-09 주식회사 하이닉스반도체 다층 배선 형성 방법
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4057407B2 (ja) * 2002-12-12 2008-03-05 三菱電機株式会社 半導体パワーモジュール
JP2005277355A (ja) * 2004-03-26 2005-10-06 Sanyo Electric Co Ltd 回路装置
US7560361B2 (en) 2004-08-12 2009-07-14 International Business Machines Corporation Method of forming gate stack for semiconductor electronic device
US8119500B2 (en) 2007-04-25 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding
KR20100078344A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그 제조방법
US8846447B2 (en) * 2012-08-23 2014-09-30 Invensas Corporation Thin wafer handling and known good die test method
US10043706B2 (en) * 2013-01-18 2018-08-07 Taiwan Semiconductor Manufacturing Company Limited Mitigating pattern collapse
US9305880B2 (en) * 2013-10-24 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects for semiconductor devices

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709212B (zh) * 2019-03-05 2020-11-01 台灣積體電路製造股份有限公司 晶圓接合結構及其形成方法
TWI721564B (zh) * 2019-06-14 2021-03-11 台灣積體電路製造股份有限公司 半導體結構及其製作方法
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US11923302B2 (en) 2019-09-17 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
TWI751702B (zh) * 2019-09-17 2022-01-01 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11410929B2 (en) 2019-09-17 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11315903B2 (en) 2020-03-05 2022-04-26 Nanya Technology Corporation Semiconductor device with connecting structure and method for fabricating the same
TWI763277B (zh) * 2020-03-05 2022-05-01 南亞科技股份有限公司 半導體元件及其製備方法
TWI770790B (zh) * 2020-03-19 2022-07-11 南亞科技股份有限公司 半導體元件及其製備方法
TWI807638B (zh) * 2021-04-22 2023-07-01 台灣積體電路製造股份有限公司 封裝及其形成方法
US11869869B2 (en) 2021-04-22 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Heterogeneous dielectric bonding scheme
TWI824634B (zh) * 2021-11-04 2023-12-01 群創光電股份有限公司 電性連接結構
TWI799053B (zh) * 2022-01-03 2023-04-11 力晶積成電子製造股份有限公司 半導體結構的製造方法

Also Published As

Publication number Publication date
US20150243611A1 (en) 2015-08-27
US10128209B2 (en) 2018-11-13
US9425155B2 (en) 2016-08-23
CN104867895A (zh) 2015-08-26
TWI569389B (zh) 2017-02-01
CN104867895B (zh) 2018-01-05
KR101705950B1 (ko) 2017-02-10
US20160358882A1 (en) 2016-12-08
KR20150100478A (ko) 2015-09-02

Similar Documents

Publication Publication Date Title
TWI569389B (zh) 半導體裝置與接合半導體裝置的方法
US11984431B2 (en) 3DIC structure and methods of forming
US10586724B2 (en) Fan-out interconnect structure and methods forming the same
KR101769187B1 (ko) 3차원 집적 회로 상호연결 장치 및 그 형성 방법
TWI411084B (zh) 半導體元件與其形成方法
TWI416692B (zh) 半導體裝置及其製造方法
TWI492354B (zh) 半導體裝置及其製造方法
TWI429046B (zh) 半導體裝置及其製造方法
US8455984B2 (en) Integrated circuit structure and method of forming the same
US20220367322A1 (en) Semiconductor Device and Method of Manufacture
TWI402957B (zh) 半導體元件及其製法
JP2010045371A (ja) 導電性保護膜を有する貫通電極構造体及びその形成方法
CN109427746A (zh) 具有内连结构的装置及其制造方法
JP2020074436A (ja) アンダーバンプメタル構造体用のカラー並びにそれに関連するシステム及び方法
US9437578B2 (en) Stacked IC control through the use of homogenous region
TWI569316B (zh) 半導體晶圓之接合結構的形成方法
TWI783464B (zh) 半導體結構及其形成方法
TWI459507B (zh) 一種製作矽貫通電極的方法