TW201528347A - 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化 - Google Patents

用於後段製程(beol)互連之前層自對準通孔及插塞圖案化 Download PDF

Info

Publication number
TW201528347A
TW201528347A TW103130412A TW103130412A TW201528347A TW 201528347 A TW201528347 A TW 201528347A TW 103130412 A TW103130412 A TW 103130412A TW 103130412 A TW103130412 A TW 103130412A TW 201528347 A TW201528347 A TW 201528347A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
forming
metal
line
Prior art date
Application number
TW103130412A
Other languages
English (en)
Other versions
TWI540621B (zh
Inventor
Charles H Wallace
Paul A Nyhus
Elliot N Tan
Swaminathan Sivakumar
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201528347A publication Critical patent/TW201528347A/zh
Application granted granted Critical
Publication of TWI540621B publication Critical patent/TWI540621B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

描述用於後段製程(BEOL)互連之前層自對準通孔及插塞圖案化。於一範例中,用於積體電路之互連結構包括一配置於基底上之第一層。該互連結構之該第一層包括在第一方向上之交替的金屬線和電介質線之光柵。該互連結構之第二層係配置於該第一層之上。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之光柵。該第二層之該光柵的各金屬線被配置於凹陷電介質線上,該凹陷電介質線係由相應於該互連結構之該第一層的交替金屬線和電介質層線之第一電介質材料和第二電介質材料的交替不同區所組成。

Description

用於後段製程(BEOL)互連之前層自對準通孔及插塞圖案化
本發明之實施例屬於半導體結構及處理之領域,且特別地,係有關用於後段製程(BEOL)互連之前層自對準通孔及插塞圖案化。
於過去數十年,積體電路中之特徵的定標已是不斷成長的半導體工業背後之驅動力。定標越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加生產能力之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。
積體電路通常包括導電微電子結構(其於本技術中已知為通孔),用以將通孔上方之金屬線或其他互連電連接至通孔下方之金屬線或其他互連。通孔通常係由微影程序 所形成。代表性地,光抗蝕劑層可被旋塗於電介質層之上,光抗蝕劑層可通過圖案化遮罩而被暴露至圖案化的光化輻射,且接著暴露層可被顯影以形成開口於光抗蝕劑層中。接下來,用於通孔之開口可藉由使用光抗蝕劑層中之開口為蝕刻遮罩而被蝕刻於電介質層中。此開口被稱為通孔開口。最後,通孔開口可被填充以一或更多金屬或其他導電材料來形成通孔。
過去,通孔之尺寸及間隔已顯著地減少,且預期未來通孔之尺寸及間隔將持續顯著地減少,針對至少某些類型的積體電路(例如,先進微處理器、晶片組組件、圖形晶片,等等)。一種對通孔尺寸的測量是通孔開口之關鍵尺寸。一種對通孔間隔的測量是通孔節距。通孔節距代表介於最接近的相鄰通孔間之中心至中心距離。
當藉由諸如微影程序而以極小的節距來圖案化極小的通孔時,本身即存在數項挑戰,特別當節距是約70奈米(nm)或者更小及/或當通孔開口之關鍵尺寸是約35nm或者更小時。此等挑戰之一在於:通孔與上方互連之間的重疊、以及通孔與下方定位互連之間的重疊通常需被控制達通孔節距的四分之一等級的高容許度。當通孔節距尺度隨著時間越來越小,重疊容許度傾向於甚至以微影設備無法跟上的速度而隨之縮小。
此等挑戰之另一在於:通孔開口之關鍵尺寸的縮小通常傾向於比較微影掃描器之解析能力還更快。存在有縮小科技以縮小通孔開口之關鍵尺寸。然而,縮小量常受限於 最小通孔節距、以及縮小程序之能力而無法為足夠地免於光學鄰近校正(OPC),且無法顯著地折衷線寬粗糙度(LWR)及/或關鍵尺寸均勻度(CDU)。
此等挑戰之又另一在於:光抗蝕劑之LWR及/或CDU特性通常需要隨著通孔開口之關鍵尺寸減少而改良以維持關鍵尺寸預算之相同的整體片段。然而,目前大部分光抗蝕劑之LWR及/或CDU特性並未如通孔開口之關鍵尺寸減少般快速地改良。
此等挑戰之再另一在於:極小通孔節距通常傾向為低於甚至極端紫外線(EUV)微影掃描器之解析能力。結果,通常二、三或更多不同的微影遮罩可被使用,其傾向於增加成本。於某點,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用EUV掃描器來列印這些極小節距之通孔開口。
因此,在通孔製造技術之領域中是需要改良的。
100‧‧‧開始結構
102‧‧‧金屬線
102’‧‧‧線
104‧‧‧層間電介質線
106‧‧‧額外膜
108‧‧‧額外膜
110,112,114‧‧‧結構
116‧‧‧保角層
118‧‧‧結構
119‧‧‧ILD材料層
120‧‧‧永久ILD線
120’‧‧‧凹陷的ILD線
122‧‧‧結構
123‧‧‧保角材料層
124‧‧‧硬遮罩層
126‧‧‧結構
128‧‧‧永久ILD線
128’‧‧‧凹陷的ILD線
130‧‧‧結構
132‧‧‧溝槽
134‧‧‧材料層
136‧‧‧遮罩
136b‧‧‧抗反射塗層(ARC)
136c‧‧‧地形遮蔽部分
137‧‧‧開口
138‧‧‧第二遮罩
140‧‧‧金屬線
142,144‧‧‧保留的插塞
1400‧‧‧計算裝置
1402‧‧‧電路板
1404‧‧‧處理器
1406‧‧‧通訊晶片
圖1-13闡明其代表一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例,其中:圖1闡明針對前層金屬化結構之選擇的平面視圖及相應的橫斷面視圖;圖2闡明針對圖1之下方金屬/ILD光柵結構上的定向自聚合(DSA)生長之選擇的平面視圖及相應的橫斷面 視圖;圖3闡明接續於一種聚合物之移除後的圖2之結構的平面視圖及相應的橫斷面視圖;圖4闡明接續於在金屬線上形成犧牲材料層後的圖3之結構的平面視圖及相應的橫斷面視圖;圖5闡明接續於以永久層間電介質(ILD)材料取代聚合物A後的圖4之結構的平面視圖及相應的橫斷面視圖;圖6闡明接續於以永久ILD線上之選擇性硬遮罩形成後的圖5之結構的平面視圖及相應的橫斷面視圖;圖7闡明接續於犧牲B線之移除及以永久ILD線之取代後的圖6之結構的平面視圖及相應的橫斷面視圖;圖8闡明接續於溝槽形成(例如,光柵界定)後的圖7之結構的平面視圖及相應的橫斷面視圖;圖9闡明接續於在圖8之溝槽中形成犧牲材料光柵後的圖8之結構的平面視圖及相應的橫斷面視圖;圖10闡明接續於遮罩之形成和圖案化以及通孔位置之後續蝕刻後的圖9之結構的平面視圖及相應的橫斷面視圖;圖11闡明接續於遮罩和硬遮罩移除以及後續插塞圖案化和蝕刻後的圖10之結構的平面視圖及相應的橫斷面視圖;圖12闡明接續於遮罩移除以及金屬線溝槽蝕刻後的圖11之結構的平面視圖及相應的橫斷面視圖;及 圖13闡明接續於金屬線沈積及拋光後的圖12之結構的平面視圖及相應的橫斷面視圖。
圖14闡明一計算裝置,依據本發明之一實施方式。
【發明內容及實施方式】
描述用於後段製程(BEOL)互連之前層自對準通孔及插塞圖案化。於下列描述中,提出多項特定細節,諸如特定集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實施而無需這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解圖形中所示之各個實施例為說明性表示且不一定依比例描繪。
文中所述之一或更多實施例係有關前層自對準通孔及插塞圖案化。文中所述之程序的自對準形態可基於一種定向自聚合(DSA)機制,如底下更詳細地描述者。然而,應理解選擇性生長機制可被利用以取代(或結合與)DSA為基的方式。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。
為了提供上下文,以小於約50奈米節距之特徵的圖案化及對準需要許多標線片及關鍵對準策略,其對於半導體製程而言是極昂貴的。
通常,文中所述之實施例係涉及根據下方層之位置以製造金屬及通孔圖案。亦即,相反於傳統上至下圖案化方 式,金屬互連製程被有效地反轉並從前層向上建立。此係相反於傳統方式,其中層間電介質(ILD)被首先沈積,以金屬及通孔層之圖案依序地圖案化於其中。於傳統方式中,使用一種微影掃描器對準系統以執行與前層之對準。 ILD被接著蝕刻。
更明確地,一或更多實施例係有關一種方式,其係利用下方金屬為模板以建立導電通孔及非導電間隔或者介於金屬之間的中斷(稱為「插塞」)。通孔(依其定義)被用以落在前層金屬圖案上。以此方式,文中所述之實施例致能一種更強韌的互連製造技術,因為藉由微影設備之對準不再影響通孔或插塞放置。此一互連製造技術可被用以節省許多對準/曝光、可被用以改良電接觸(例如藉由減少通孔電阻)、及可被用以減少總製程操作及處理時間,相較於使用傳統方式以圖案化此等特徵所需要者。
如以下所述,文中所述之自對準通孔及金屬圖案化方式可包括下列形態或屬性之一或更多者:(a)由下而上超自對準通孔/金屬圖案化製程被致能;(b)前層金屬被用以指示上方所形成之層上的通孔之位置;(c)一製程,其產生完全對準之每一可能的通孔及金屬線末端位置但僅保持所需的或想要的通孔及金屬線末端位置;(d)通孔及金屬線末端之位置和形狀被預形成自前層圖案;(e)下面與上面之金屬的交點自然地形成完全自對準通孔位置;(f)通孔及插塞位置、尺寸及形狀係藉由預先存在的光柵微影而被界定自下方金屬層;(g)通孔及插 塞微影僅於選擇一者或另一者時需要而不會影響特徵之位置、形狀、CDU、粗糙度、或尺寸(例如,LWR是不相關的);(h)文中所述之製程可特徵在於倒置雙金屬鑲嵌或通孔/插塞優先方式;(i)相應的微影光抗蝕劑設計可被簡化,因為於一層內之通孔及插塞位置的選擇達成了較大的容許度(此可被稱為「桶」方式,其中僅使用光抗蝕劑以填充複數已產生的洞,其中僅有某些洞被後續地選擇來保持或刪除);(j)通孔及插塞光抗蝕劑LWR不是關鍵的,且較快的抗蝕劑可被使用;(k)特徵之尺寸可被製造為單一形狀和尺寸,且可應用於電子束直接寫入(EBDW)製程;及(k)通孔設計規則被簡化且所有可能的通孔被容許於任何幾何組態,其中通孔之尺寸係完全由上面與下面之金屬的交點來界定。
圖1-13闡明其代表一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各闡明中,平面視圖被顯示於左手邊,而相應的橫斷面視圖被顯示於右手邊。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。
圖1闡明針對前層金屬化結構之選擇的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖選擇(a),開始結構100包括金屬線102及層間電介質線(ILD)104的圖案。開始結構100可被圖案化為光柵狀圖案,以金屬線間隔於恆定節距並具有恆定寬度,如圖1中所描繪者,假如將使用自聚合材料的 話。假如使用一種定向選擇性生長技術的話,則下方圖案不需為單一節距或寬度。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造。某些線可關聯與下方通孔,諸如橫斷面視圖中之一範例所示的線102’。
再次參考圖1,替代的選擇(b)-(f)係處理其中於金屬線102及層間電介質線104之一者(或兩者)的表面上形成一額外膜(例如,沈積、生長、或保留如從先前圖案化製程留下的人造物)的情況。於範例(b)中,額外膜106被配置於層間電介質線104上。於範例(c)中,額外膜108被配置於金屬線102上。於範例(d)中,額外膜106被配置於層間電介質線104上,而額外膜108被配置於金屬線102上。再者,雖然金屬線102及層間電介質線104被描述為共面的於(a)中,但是於其他實施例中,其可為非共面的。例如,於(e)中,金屬線102突出於層間電介質線104之上。於範例(f)中,金屬線102凹陷於層間電介質線104之下。
再次參考範例(b)-(d),額外層(例如,層106或108)可被使用為硬遮罩(HM)或保護層或者被用以致能以下關聯後續處理操作所描述的選擇性生長及/或自聚合。此等額外層亦可被用以保護ILD線不被進一步處理。此外,選擇性地沈積另一材料於金屬線之上可能由於類似理由而為有利的。再次參考範例(e)及(f),亦得以藉由任一或兩表面上之保護/HM材料的任何組合來凹陷ILD線或金屬線。總之,於此階段存在有數個用以準備針 對選擇性或定向自聚合製程之最終下方表面的選擇。
於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料(諸如層間電介質線104之材料)係由(或包括)電介質或絕緣材料所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的各種低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。
於一實施例中,如亦遍及本說明書所使用者,互連材料(諸如金屬線102之材料)係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如文中所使用者,術語金屬係包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層、不同金屬或合金之堆疊,等等。互連線在本技術領域有時亦被稱為軌線、佈線、線、金屬、或僅為互連。如底下將進一步描述者,下互連線之頂部表面可被用於自對準通孔及插塞形成。
於一實施例中,如亦遍及本說明書所使用者,硬遮罩材料(諸如層106或108,假如包括為硬遮罩的話)係由不同於層間電介質材料的電介質材料所組成。於一實施例中,不同的硬遮罩材料可被使用於不同的區以提供彼此不 同及不同於金屬層及下方電介質的生長或蝕刻選擇性。於某些實施例中,硬遮罩層包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料。於另一實施例中,硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他硬遮罩層可根據特定實施方式而被使用。硬遮罩層可藉由CVD、PVD、或藉由其他沈積方法而被形成。
應理解其與圖1關聯而描述的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)之上或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體材料所形成之類似基底。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,圖1中所描繪之結構可被製造於下方較低階互連層上。
圖2闡明根據本發明實施例,針對下方金屬/ILD光柵上(例如,於諸如圖1中所示之結構上)的定向自聚合(DSA)生長之選擇的平面視圖及相應的橫斷面視圖。參 考平面視圖,結構110包括一具有交替的聚合物或交替的聚合物成分之層。例如,如圖所示,聚合物A(或聚合物成分A)被形成於圖1之層間電介質(ILD)線104上或上面,而聚合物B(或聚合物成分B)被形成於圖1之金屬線102上或上面。參考橫斷面視圖,於(a)中,聚合物A(或聚合物成分A)被形成於ILD線104上,及聚合物B(或聚合物成分B)被形成於金屬線102上。於(b)中,聚合物A(或聚合物成分A)被形成於ILD線104上所形成之額外膜106上,而聚合物B(或聚合物成分B)被形成於金屬線102上。於(c)中,聚合物A(或聚合物成分A)被形成於ILD線104上,而聚合物B(或聚合物成分B)被形成於金屬線102上所形成之額外膜108上。於(d)中,聚合物A(或聚合物成分A)被形成於ILD線104上所形成之額外膜106上,而聚合物B(或聚合物成分B)被形成於金屬線102上所形成之額外膜108上。
再次參考圖2,於一實施例中,一旦下方結構(例如,圖1之結構100)之表面已被準備,則一種50-50雙區塊共聚物(diblock copolymer),諸如聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA),被塗佈於基底上並退火以驅動自聚合,導致圖2之結構110的聚合物A/聚合物B層。於此一實施例中,利用適當的表面能量條件,區塊共聚物根據結構100之下方材料而分離。例如,於一特定實施例中,聚苯乙烯選擇性地對準至下方金屬線102(或相 應的金屬線封蓋或硬遮罩材料)。同時,聚甲基丙烯酸甲酯選擇性地對準至ILD線104(或相應的金屬線封蓋或硬遮罩材料)。
因此,於一實施例中,下方金屬及ILD柵格被再生於區塊共聚物(BCP,亦即,聚合物A/聚合物B)。假如BCP節距與下方光柵節距相當則可能特別是如此。聚合物柵格(聚合物A/聚合物B),於一實施例中,針對從此一完美柵格之某少量偏差是強韌的。例如,假如小插塞有效地設置氧化物或相似材料(其中完美柵格將具有金屬),則仍可達成完美的聚合物A/聚合物B柵格。然而,因為ILD線光柵(於一實施例中)為理想化的光柵結構,無ILD骨幹之金屬破裂,所以可能需要使ILD表面中性,因為兩類型的聚合物(A與B)將(於此一例子中)被暴露至ILD類材料而僅有一類型被暴露至金屬。
於一實施例中,塗佈的聚合物(A/B)之厚度約略相同於(或稍微厚於)最終形成於其位置中之ILD的最終厚度。於一實施例中,如底下更詳細地描述,聚合物柵格不被形成為蝕刻抗蝕劑,而為用以最終地生長永久ILD層於其周圍的支架。如此一來,聚合物(A/B)之厚度可能是重要的,因為其可被用以界定後續形成之永久ILD層的最終厚度。亦即,於一實施例中,圖2中所示之聚合物光柵最後被取代以約略相同厚度的ILD光柵。
於一實施例中,如上所述,圖2之聚合物A/聚合物B的柵格為區塊共聚物。於此一實施例中,區塊共聚物分子 是由共價接合單體之鏈所形成的聚合物分子。於區塊共聚物中,有至少兩不同類型的單體,且這些不同類型的單體被主要地包括於單體之不同區塊或相鄰序列內。共聚物分子之圖示區塊包括聚合物A之區塊及聚合物B之區塊。 於一實施例中,聚合物A之區塊顯著地包括共價鏈結的單體A之鏈(例如,A-A-A-A-A...),而聚合物B之區塊顯著地包括共價鏈結的單體B之鏈(例如,B-B-B-B-B...)。 單體A及B可代表本技術中已知之區塊共聚物中所使用的不同類型單體之任一者。舉例而言,單體A可代表用以形成聚苯乙烯之單體,而單體B可代表用以形成聚甲基丙烯酸甲酯(PMMA)之單體,雖然本發明之範圍並非如此限制。於其他實施例中,可有多於兩個區塊。此外,於其他實施例中,每一該些區塊可包括不同類型的單體(例如,各區塊本身可為共聚物)。於一實施例中,聚合物A之區塊及聚合物B之區塊被共價地接合在一起。聚合物A之區塊及聚合物B之區塊可為大約相同的長度,或者一區塊可顯著地較另一區塊更長。
通常,區塊共聚物之區塊(例如,聚合物A之區塊及聚合物B之區塊)可各具有不同的化學性質。舉例而言,該些區塊之一可為相對較疏水的(例如,斥水的)而另一者可為相對較親水的(吸水的)。至少觀念上,該些區塊之一可為相對較類似於油而另一區塊可相對較類似於水。介於不同區塊聚合物之間的化學性質之此等差異(無論是親水-疏水差異或其他)可能造成區塊共聚物分子自聚 合。例如,自聚合可根據聚合物區塊之微相分離。觀念上,此可類似於其通常不能混合的油與水之相位分離。類似地,介於聚合物區塊之間的親水性的差異(例如,一區塊是相對疏水的而另一區塊是相對親水的)可能造成約略類似的微相分離,其中不同的聚合物區塊由於化學上不喜歡對方而嘗試彼此「分離」。
然而,於一實施例中,因為聚合物區塊被共價地彼此接合,所以其無法於巨觀尺度上完全地分離。反之,既定類型的聚合物區塊傾向於在極小(例如,毫微尺寸)區或相位中與相同類型之其他分子的聚合物區塊分離或聚集。 區或微相位之特定尺寸及形狀通常至少部分地取決於聚合物區塊之相對長度。於一實施例中,經由第一範例(如圖2中所示),於兩區塊共聚物中,假如區塊為約略相同的長度,則產生交替的聚合物A線與聚合物B線之柵格狀圖案。於另一實施例中,經由第二範例(未顯示),於兩區塊共聚物中,假如該些區塊之一較另一更長,但不會長太多,則可形成垂直柱狀結構。於柱狀結構中,區塊共聚物分子可與微相分離成柱的內部之其較短聚合物區塊以及延伸遠離柱並圍繞柱之其較長聚合物區塊對準。例如,假如聚合物A之區塊較聚合物B之區塊長(但不是太長),則可形成柱狀結構,其中許多區塊共聚物分子與聚合物B之其較短區塊對準,形成由具有聚合物A之較長區塊的相位所圍繞之柱狀結構。當此發生於足夠尺寸的區域中時,則可形成通常六角地封裝之柱狀結構的二維陣 列。
於一實施例中,聚合物A/聚合物B光柵被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料。未聚合形態指的是其中(在沈積的時刻)區塊共聚物尚未實質上相位分離及/或自聚合以形成毫微結構的情境。於此未聚合形式中,區塊聚合物分子是相當高度隨機化的,具有相對高度隨機地定向且設置之不同聚合物區塊,其係相反於配合圖2之所得結構所討論的聚合區塊共聚物層部分。未聚合區塊共聚物層部分可被塗敷以多種不同方式。舉例而言,區塊共聚物可溶解於溶劑中並接著旋塗於表面之上。替代地,未聚合區塊共聚物可被噴塗、浸塗、浸入塗、或其他方式塗佈或塗敷於表面之上。施加區塊共聚物之其他方式、以及用以塗敷類似有機塗層之技術中已知的其他方式可潛在地被使用。接著,未聚合層可形成聚合區塊共聚物層部分,例如,藉由未聚合區塊共聚物層部分之微相分離及/或自聚合。微相分離及/或自聚合係透過區塊共聚物分子之再配置及/或再定位而發生,且特別是區塊共聚物分子的不同聚合物區塊之再配置及/或再定位。
於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加、或者提升微相分離及/或自聚合之品質。於某些實施例中,退火處置可包括可操作以增加區塊共聚物之溫度的處置。此一處置之一範例是烘焙該層、加熱該層於烘箱中或者於熱燈之上,施加紅外線輻射 至該層,或者施加熱至該層或增加該層之溫度。所欲的溫度增加通常將足以顯著地加速區塊聚合物之微相分離及/或自聚合而不損害區塊共聚物或積體電路基底之任何其他重要的材料或結構。通常,加熱範圍可介於約50℃至約300℃,或介於約75℃至約250℃,但不超過區塊共聚物或積體電路基底之熱退化限制。加熱或退火可協助提供能量給區塊共聚物分子以使其更可動/有彈性以增加微相分離之速率及/或增進微相分離之品質。區塊共聚物分子之此微相分離或再配置/再定位可導致自聚合以形成極小(例如,毫微等級)結構。自聚合可於諸如表面張力、分子好惡、及其他表面相關和化學相關力等力的影響之下發生。
於任何情況下,於某些實施例中,區塊共聚物之自聚合(無論是否根據疏水-親水差異或其它者)可被用以形成極小的週期性結構(例如,精確地間隔的毫微等級結構或線)。於某些實施例中,其可被用以形成可最終地用以形成通孔及開口之毫微等級線或其他毫微等級結構。於某些實施例中,區塊共聚物之定向自聚合可被用以形成與互連自對準之通孔,如底下更詳細地描述者。
圖3闡明接續於一種聚合物之移除後的圖2之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考圖3,聚合物B被移除以再暴露金屬線102(或金屬線102上所形成之硬遮罩或封蓋層),而聚合物A被留存於ILD線104中,形成結構112。於一實施例中,接續於濕 式蝕刻或選擇性乾式蝕刻後之深紫外線(DUV)大量曝光被用以選擇性地移除聚合物B。應理解其,取代從金屬線102之聚合物的第一移除(如圖所示),可替代地首先執行從ILD線之移除。
圖4闡明接續於金屬線102上的犧牲材料層之形成後的圖3之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(b),結構114包括形成於金屬線102上面或之上並介於ILD線104上面或之上的聚合物A線之間的犧牲B層。於一實施例中,參考橫斷面視圖(a),低溫沈積係填充介於聚合物A線之間的溝槽,例如,以氧化物(例如,TiOx)或其他犧牲材料當作保角層116。保角層116接著係藉由乾式蝕刻或化學機械平坦化(CMP)製程而被侷限於金屬線102上面的區。所得之層於文中被稱為犧牲B,因為於某些實施例中,其材料被最終地取代以永久ILD材料。然而,於其他實施例中,應理解其永久ILD材料可被替代地形成於此階段。於使用犧牲材料之情況下,於一實施例中,犧牲材料具有必要的沈積性質、熱穩定性、及對於製程中所使用之其他材料的蝕刻選擇性。
圖5闡明接續於以永久層間電介質(ILD)材料取代聚合物A後的圖4之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構118包括於ILD線104之上或上面並介於犧牲B材料線之間的永久層間電介質(ILD)線120。 於一實施例中,如橫斷面視圖(a)中所描繪,聚合物A線被移除。接著,參考橫斷面視圖(b),ILD材料層119被保角地形成在所得結構之上。保角層119接著係藉由乾式蝕刻或化學機械平坦化(CMP)製程而被侷限於ILD線104上面的區。於一實施例中,結構118有效地以極厚材料的光柵(例如,永久ILD 120及犧牲B)來取代圖2的聚合物(A/B)光柵,該光柵與下方金屬光柵相稱並與下方光柵對準。兩不同材料可被用以最終地界定插塞及通孔之可能位置,如底下更詳細地描述。
圖6闡明接續於永久ILD線上之選擇性硬遮罩形成後的圖5之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構122包括形成在永久層間電介質(ILD)線120上之硬遮罩層124。於一實施例中,參考橫斷面視圖(c),選擇性生長製程被用以形成硬遮罩層124為侷限於永久ILD線120之表面。於另一實施例中,保角材料層123被首先形成(橫斷面視圖(a))於一具有凹陷的永久ILD線120之結構上。保角層123接著接受計時的蝕刻及/或CMP製程以形成硬遮罩層124(橫斷面視圖(b))。於後者情況下,ILD線120係相對於犧牲B材料而凹陷,且接著非保角(平坦化)硬遮罩123被沈積於所得光柵上。 材料123在犧牲B線上較在凹陷的ILD線120上更薄以致硬遮罩之計時蝕刻或拋光操作係從犧牲B材料選擇性地移除材料123。
圖7闡明接續於犧牲B線之移除及以永久ILD線128之取代後的圖6之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構126包括取代圖6之犧牲B線的永久ILD線18,亦即,在金屬線102之上並與金屬線102對準。於一實施例中,犧牲B材料被移除(橫斷面視圖(a))並取代以永久ILD線128(橫斷面視圖(c)),例如,藉由保角層之沈積和後續的計時蝕刻或CMP處理(橫斷面視圖(b))。於一實施例中,所得結構126包括均勻ILD材料(永久ILD線120+永久ILD線128),其中所有可能插塞之位置被覆蓋以硬遮罩124且所有可能通孔位於暴露的永久ILD線128之區域中。於此一實施例中,永久ILD線120及永久ILD線128係由相同材料所組成。於另一此實施例中,永久ILD線120及永久ILD線128係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構126中觀察到諸如介於永久ILD線120與永久ILD線128的材料之間的接縫等區別。範例接縫199係顯示於圖7中以利說明。
圖8闡明接續於溝槽形成(例如,光柵界定)後的圖7之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,藉由在圖7之結構中形成溝槽132以界定一光柵於結構130中(垂直於圖7之光柵),以最終地界定介於金屬線的圖案 之間的區。於一實施例中,溝槽132係藉由將光柵圖案圖案化並蝕刻為較早結構之犧牲光柵來形成。於一實施例中,形成一柵格,有效地,同時界定介於最終形成的金屬線之間的所有間隔連同所有插塞和通孔之位置。於一實施例中,溝槽132顯露下方ILD線104及金屬線102之部份。
圖9闡明接續於圖8溝槽中之犧牲材料光柵的形成後的圖8之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,材料層134(其為層間電介質層或犧牲層)被形成於圖8之結構的溝槽132中。於一實施例中,材料層134係藉由利用永久ILD材料或犧牲層之保角沈積及後續的計時蝕刻或CMP來形成(例如,假如將製造空氣間隙的話其可於稍後被移除)。於前者情況下,材料層134最終地變為ILD材料,介於相同金屬層上後續所形成的平行金屬線之間。於後者情況下,材料可被稱為犧牲C材料,如圖所示。於一實施例中,材料層134具有對於其他ILD材料及對於硬遮罩層128的高蝕刻選擇性。
圖10闡明接續於遮罩之形成和圖案化以及通孔位置之後續蝕刻後的圖9之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,遮罩136被形成於圖9之結構上。遮罩係(例如)藉由微影製 程而被圖案化,以具有形成於其中之開口137。於一實施例中,開口係根據所欲的通孔圖案化而決定。亦即,於此階段,所有可能的通孔及插塞(例如,當作佔位)已被圖案化且被自對準至上面和下面的最終金屬層。於此,通孔及插塞位置之子集被選擇以供保留,如用以蝕刻金屬線所在之位置。於一實施例中,ArF或EUV或電子束抗蝕劑被用以切割或選擇待蝕刻之通孔,亦即,在金屬線102之暴露部分的位置上。應理解其硬遮罩124及材料層134係作用為決定通孔之形狀及位置的實際蝕刻遮罩。遮罩136僅作用以阻擋剩餘的通孔不被蝕刻。如此一來,對於開口137尺寸之容許度被釋放,因為選定的通孔位置(亦即,直接位於金屬線102之暴露部分上面的開口137之部分)之周圍材料(例如,硬遮罩124及材料層134)能抵抗用以移除金屬線102之選定部分上面的ILD線128之蝕刻製程,以供最終的通孔製造。於一實施例中,遮罩136係由地形遮蔽部分136c、抗反射塗層(ARC)136b、及光抗蝕劑層136b所組成。於一特定此類實施例中,地形遮蔽部分136c為碳硬遮罩(CHM)層而抗反射塗層136b為矽ARC層。
圖11闡明接續於遮罩和硬遮罩移除以及後續之插塞圖案化和蝕刻後的圖10之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,圖10中所示之遮罩136在通孔位置圖案化之後被移除。 之後,第二遮罩138被形成並圖案化以覆蓋選定的插塞位置。明確地,於一實施例中,且如圖11中所描繪,硬遮罩124之部分被保留於其中插塞所將最終地形成之位置中。亦即,於此階段,存在有硬遮罩插塞之形式的所有可能插塞。圖11之圖案化操作係作用以移除除了那些為插塞保留所選擇的以外之所有硬遮罩124部分。圖案化有效地暴露ILD線120及128之大致上部分,例如,當作統一的電介質層。
圖12闡明接續於遮罩移除以及金屬線溝槽蝕刻後的圖11之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,圖11中所示之遮罩138在通孔位置圖案化之後被移除。之後,ILD線120及128之暴露部分的部分蝕刻被執行以提供凹陷的ILD線120’及128’。凹陷之程度可根據計時的蝕刻製程,如針對所欲金屬線厚度之深度。由保留的硬遮罩124部分所保護之ILD線120的部分並未藉由蝕刻而被凹陷,如圖12中所示。此外,材料層134(其可為犧牲材料或永久ILD材料)亦未被蝕刻或凹陷。應理解其圖12所示之製程並不需要微影操作,因為通孔位置(在金屬線102之暴露部分上)已被蝕刻以及插塞(在其中硬遮罩124被保留之位置上)。
圖13闡明接續於金屬線沈積及拋光後的圖12之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。 參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,用以形成金屬互連線之金屬被保角地形成於圖12之結構上。金屬被接著平坦化(例如,藉由CMP)以提供金屬線140。金屬線係透過預定的通孔位置而被耦合與下方金屬線,且藉由保留的插塞142及144而被隔離。金屬(例如,銅及相關障壁和種子層)沈積及平坦化製程可為標準BEOL雙金屬鑲嵌處理之製程。 應理解其,於後續製造操作中,材料層線134可被移除以提供介於所得金屬線140之間的空氣間隙。
圖13之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖13之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再者,雖然上述製程流程係集中於定向自聚合(DSA)之應用,但選擇性生長製程亦可被替代地使用於製程流程之一或更多位置。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。 亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。
文中所揭露之實施例可被用以製造多種不同類型的積 體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排及其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。
圖14闡明一計算裝置1400,依據本發明之一實施方式。計算裝置1400含有電路板1402。電路板1402可包括數個組件,包括(但不限定於)處理器1404及至少一通訊晶片1406。處理器1404被實體地及電氣地耦合至電路板1402。於某些實施方式中,至少一通訊晶片1406亦被實體地及電氣地耦合至電路板1402。於進一步實施方式中,通訊晶片1406為處理器1404之部分。
根據其應用,計算裝置1400可包括其他組件,其可被或可不被實體地及電氣地耦合至電路板1402。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全 球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片1406致能無線通訊,以供資料之轉移至及自計算裝置1400。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片1406可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置1400可包括複數通訊晶片1406。例如,第一通訊晶片1406可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1406可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置1400之處理器1404包括封裝於處理器1404內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的前層自對準通孔及插塞。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器 及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片1406亦包括封裝於通訊晶片1406內之積體電路晶粒。依據本發明之另一實施方式,通訊晶片之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的前層自對準通孔及插塞。
於進一步實施方式中,計算裝置1400內所包括之另一組件可含有積體電路晶粒,其包括一或更多裝置,諸如依據本發明之實施方式而建造的前層自對準通孔及插塞。
於各種實施方式中,計算裝置1400可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置1400可為處理資料之任何其他電子裝置。
因此,本發明之實施例包括用於後段製程(BEOL)互連之前層自對準通孔及插塞圖案化。
於一實施例中,用於積體電路之互連結構包括一配置於基底上之第一層。該互連結構之該第一層包括在第一方向上之交替的金屬線和電介質線之光柵。該互連結構之第二層係配置於該第一層之上。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之光柵。 該第二層之該光柵的各金屬線被配置於凹陷電介質線上, 該凹陷電介質線係由相應於該互連結構之該第一層的交替金屬線和電介質線之第一電介質材料和第二電介質材料的交替不同區所組成。
於一實施例中,該第二層之金屬線係藉由通孔而被電耦合至該第一層之金屬線,該通孔具有一與該第一層之該金屬線的中心以及與該第二層之該金屬線的中心直接對準的中心。
於一實施例中,該第二層之金屬線係藉由插塞而被中斷,該插塞具有與該第一層之電介質線的中心直接對準的中心。
於一實施例中,該第一電介質材料與該第二電介質材料是不同的。
於一實施例中,該第一電介質材料與該第二電介質材料的該些交替不同區係由接縫所分離。
於一實施例中,該第一電介質材料與該第二電介質材料是相同的。
於一實施例中,一種製造用於積體電路之互連結構的方法涉及提供由具有第一方向之交替金屬線和電介質線光柵圖案所組成的前層金屬化結構。該方法亦涉及形成犧牲結構於該光柵圖案之上。該方法亦涉及用第一電介質層來取代位於該光柵圖案之該些金屬線上面且與其對準的該犧牲結構之部分,以及用第二電介質層來取代位於該光柵圖案之該些電介質線上面且與其對準的該犧牲結構之部分。 該方法亦涉及形成一或更多通孔位置於該第二電介質層 中、暴露該前層金屬化結構之該光柵圖案的該些金屬線之部分。該方法亦涉及使該第一及第二電介質層之部分凹陷。該方法亦涉及形成複數金屬線於該第一和第二電介質層之該些凹陷部分中以及金屬通孔於該些一或更多通孔位置中,該些複數金屬線具有垂直於該第一方向之第二方向。
於一實施例中,該方法進一步涉及形成一或更多插塞位置於該第一電介質層中。
於一實施例中,該第一和第二電介質層之該些凹陷部分中的該些複數金屬線之一金屬線係藉由通孔而被電耦合至該前層金屬化結構之金屬線,該通孔具有一與該前層金屬化結構之該金屬線的中心以及與該第一和第二電介質層之該些凹陷部分中的該些複數金屬線之該金屬線的中心直接對準的中心。
於一實施例中,形成該些複數金屬線及金屬通孔涉及形成並平坦化金屬層。
於一實施例中,該方法進一步涉及形成空氣間隙結構於該第一和第二電介質層之該些凹陷部分中的該些複數金屬線之間。
於一實施例中,形成該犧牲結構於該光柵圖案之上涉及使用定向自聚合(DSA)技術。
於一實施例中,形成該犧牲結構涉及形成50-50雙區塊聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
於一實施例中,形成該犧牲結構於該光柵圖案之上涉 及使用選擇性生長技術。
於一實施例中,形成該第一電介質層及該第二電介質材料層涉及形成不同材料。
於一實施例中,形成該第一電介質層及該第二電介質材料層涉及形成相同材料。
於一實施例中,一種製造用於積體電路之互連結構的方法涉及提供由具有第一方向之交替金屬線和電介質線光柵圖案所組成的前層金屬化結構。該方法亦涉及形成聚合物結構於該光柵圖案之上,該聚合物結構係由配置於該光柵圖案的該些金屬線之上且與其對準的第一聚合物種類以及配置於該光柵圖案的該些電介質線之上且與其對準的第二聚合物種類所組成。該方法亦涉及從該聚合物結構移除該第一聚合物種類。該方法亦涉及形成犧牲材料於該光柵圖案之該些金屬線之上並與其對準,且鄰近於該第二聚合物種類。該方法亦涉及從該聚合物結構移除該第二聚合物種類。該方法亦涉及形成第一永久電介質層於該光柵圖案之該些電介質線之上並與其對準,且鄰近於該犧牲材料。 該方法亦涉及用第二永久電介質層取代該犧牲材料,該第二永久電介質材料層係配置於該光柵圖案之該些金屬線之上並與其對準,且鄰近於該第一永久電介質層。該方法亦涉及形成複數溝槽於該第一永久電介質層及於該第二永久電介質層中,該些複數溝槽具有光柵圖案,該光柵圖案具有一垂直該第一方向之第二方向。該方法亦涉及形成電介質材料於該些複數溝槽中。該方法亦涉及蝕刻一或更多通 孔位置於該第二永久電介質層中、暴露該前層金屬化結構之該光柵圖案的該些金屬線之部分。該方法亦涉及藉由形成硬遮罩圖案於該第一永久電介質層之部分上以保護一或更多插塞位置。該方法亦涉及使該第一及第二永久電介質層之暴露部分凹陷。該方法亦涉及形成金屬層於該第一及第二永久電介質層之該些凹陷部分中。
於一實施例中,該方法進一步涉及形成硬遮罩於該第一永久電介質層上,其中該硬遮罩於該第二永久電介質層中之該些通孔位置的該蝕刻期間保護該第一永久電介質層,及其中藉由形成該硬遮罩圖案以保護該些一或更多插塞位置涉及從該硬遮罩形成該硬遮罩圖案。
於一實施例中,該方法進一步涉及移除該些複數溝槽中之該電介質材料以形成空氣間隙結構。
於一實施例中,形成該聚合物結構於該光柵圖案之上涉及使用定向自聚合(DSA)技術。
於一實施例中,形成該聚合物結構涉及形成50-50雙區塊聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
於一實施例中,形成該聚合物結構於該光柵圖案之上涉及使用選擇性生長技術。
於一實施例中,形成該第一永久電介質層及該第二永久電介質材料層涉及形成不同材料。
於一實施例中,形成該第一永久電介質層及該第二永久電介質材料層涉及形成相同材料。
100‧‧‧開始結構
102‧‧‧金屬線
102’‧‧‧線
104‧‧‧層間電介質線
106‧‧‧額外膜
108‧‧‧額外膜

Claims (24)

  1. 一種用於積體電路之互連結構,該互連結構包含:配置在基底之上的該互連結構之第一層,該第一層包含在第一方向上的交替金屬線和電介質線之光柵;及配置在該第一層之上的該互連結構之第二層,該第二層包含在垂直於該第一方向的第二方向上的交替金屬線和電介質線之光柵,其中該第二層之該光柵的各金屬線被配置於凹陷電介質線上,該凹陷電介質線包含相應於該互連結構之該第一層的該些交替金屬線和電介質層線之第一電介質材料和第二電介質材料的交替不同區。
  2. 如申請專利範圍第1項之互連結構,其中該第二層之金屬線係藉由通孔而被電耦合至該第一層之金屬線,該通孔具有一與該第一層之該金屬線的中心以及與該第二層之該金屬線的中心直接對準的中心。
  3. 如申請專利範圍第1項之互連結構,其中該第二層之金屬線係藉由插塞而被中斷,該插塞具有與該第一層之電介質線的中心直接對準的中心。
  4. 如申請專利範圍第1項之互連結構,其中該第一電介質材料與該第二電介質材料是不同的。
  5. 如申請專利範圍第1項之互連結構,其中該第一電介質材料與該第二電介質材料的該些交替不同區係由接縫所分離。
  6. 如申請專利範圍第1項之互連結構,其中該第一電介質材料與該第二電介質材料是相同的。
  7. 一種製造用於積體電路之互連結構的方法,該方法包含:提供包含具有第一方向之交替金屬線和電介質線光柵圖案的前層金屬化結構;形成犧牲結構於該光柵圖案之上;用第一電介質層來取代位於該光柵圖案之該些金屬線上面且與其對準的該犧牲結構之部分,以及用第二電介質層來取代位於該光柵圖案之該些電介質線上面且與其對準的該犧牲結構之部分;形成一或更多通孔位置於該第一電介質層中、暴露該前層金屬化結構之該光柵圖案的該些金屬線之部分;使該些第一和第二電介質層之部分凹陷;及形成複數金屬線於該些第一和第二電介質層之該些凹陷部分中以及金屬通孔於該些一或更多通孔位置中,該些複數金屬線具有垂直於該第一方向之第二方向。
  8. 如申請專利範圍第7項之方法,進一步包含:形成一或更多插塞位置於該第二電介質層中。
  9. 如申請專利範圍第7項之方法,其中該些第一和第二電介質層之該些凹陷部分中的該些複數金屬線之一金屬線係藉由通孔而被電耦合至該前層金屬化結構之金屬線,該通孔具有一與該前層金屬化結構之該金屬線的中心以及與該些第一和第二電介質層之該些凹陷部分中的該些複數金屬線之該金屬線的中心直接對準的中心。
  10. 如申請專利範圍第7項之方法,其中形成該些複 數金屬線及金屬通孔包含形成並平坦化金屬層。
  11. 如申請專利範圍第7項之方法,進一步包含:形成空氣間隙結構於該些第一和第二電介質層之該些凹陷部分中的該些複數金屬線之間。
  12. 如申請專利範圍第7項之方法,其中形成該犧牲結構於該光柵圖案之上包含使用定向自聚合(DSA)技術。
  13. 如申請專利範圍第12項之方法,其中形成該犧牲結構包含形成50-50雙區塊聚苯乙烯聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
  14. 如申請專利範圍第7項之方法,其中形成該犧牲結構於該光柵圖案之上包含使用選擇性生長技術。
  15. 如申請專利範圍第7項之方法,其中形成該第一電介質層及該第二電介質材料層包含形成不同材料。
  16. 如申請專利範圍第7項之方法,其中形成該第一電介質層及該第二電介質材料層包含形成相同材料。
  17. 一種製造用於積體電路之互連結構的方法,該方法包含:提供包含具有第一方向之交替金屬線和電介質線光柵圖案的前層金屬化結構;形成聚合物結構於該光柵圖案之上,該聚合物結構包含配置於該光柵圖案的該些金屬線之上且與其對準的第一聚合物種類以及配置於該光柵圖案的該些電介質線之上且與其對準的第二聚合物種類; 從該聚合物結構移除該第一聚合物種類;形成犧牲材料於該光柵圖案之該些金屬線之上並與其對準,且鄰近於該第二聚合物種類;從該聚合物結構移除該第二聚合物種類;形成第一永久電介質層於該光柵圖案之該些電介質線之上並與其對準,且鄰近於該犧牲材料;用第二永久電介質層取代該犧牲材料,該第二永久電介質材料層係配置於該光柵圖案之該些金屬線之上並與其對準,且鄰近於該第一永久電介質層;形成複數溝槽於該第一永久電介質層及於該第二永久電介質層中,該些複數溝槽具有光柵圖案,該光柵圖案具有一垂直該第一方向之第二方向;形成電介質材料於該些複數溝槽中;蝕刻一或更多通孔位置於該第二永久電介質層中、暴露該前層金屬化結構之該光柵圖案的該些金屬線之部分;藉由形成硬遮罩圖案於該第一永久電介質層之部分上以保護一或更多插塞位置;使該些第一和第二永久電介質層之暴露部分凹陷;及形成金屬層於該第一及第二永久電介質層之該些凹陷部分中。
  18. 如申請專利範圍第17項之方法,進一步包含:形成硬遮罩於該第一永久電介質層上,其中該硬遮罩於該第二永久電介質層中之該些通孔位置的該蝕刻期間保護該第一永久電介質層,及其中藉由形成該硬遮罩圖案以 保護該些一或更多插塞位置包含從該硬遮罩形成該硬遮罩圖案。
  19. 如申請專利範圍第17項之方法,進一步包含:移除該些複數溝槽中之該電介質材料以形成空氣間隙結構。
  20. 如申請專利範圍第17項之方法,其中形成該聚合物結構於該光柵圖案之上包含使用定向自聚合(DSA)技術。
  21. 如申請專利範圍第20項之方法,其中形成該聚合物結構包含形成50-50雙區塊聚苯乙烯聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
  22. 如申請專利範圍第17項之方法,其中形成該聚合物結構於該光柵圖案之上包含使用選擇性生長技術。
  23. 如申請專利範圍第17項之方法,其中形成該第一永久電介質層及該第二永久電介質材料層包含形成不同材料。
  24. 如申請專利範圍第17項之方法,其中形成該第一永久電介質層及該第二永久電介質材料層包含形成相同材料。
TW103130412A 2013-09-27 2014-09-03 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化 TWI540621B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/062327 WO2015047321A1 (en) 2013-09-27 2013-09-27 Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects

Publications (2)

Publication Number Publication Date
TW201528347A true TW201528347A (zh) 2015-07-16
TWI540621B TWI540621B (zh) 2016-07-01

Family

ID=52744221

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103130412A TWI540621B (zh) 2013-09-27 2014-09-03 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化

Country Status (7)

Country Link
US (2) US9793159B2 (zh)
EP (1) EP3050086A4 (zh)
KR (1) KR102167317B1 (zh)
CN (1) CN105493249B (zh)
SG (1) SG11201601323XA (zh)
TW (1) TWI540621B (zh)
WO (1) WO2015047321A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804184B2 (en) 2018-11-30 2020-10-13 Nanya Technology Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US10170361B2 (en) * 2014-05-28 2019-01-01 International Business Machines Corporation Thin film interconnects with large grains
US9508642B2 (en) * 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US10211088B2 (en) * 2015-09-10 2019-02-19 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
US10559529B2 (en) 2016-03-28 2020-02-11 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US10867853B2 (en) 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US9991156B2 (en) 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US9852946B1 (en) 2016-06-08 2017-12-26 International Business Machines Corporation Self aligned conductive lines
US9773700B1 (en) 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
US9786554B1 (en) 2016-06-08 2017-10-10 International Business Machines Corporation Self aligned conductive lines
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9607886B1 (en) 2016-06-30 2017-03-28 International Business Machines Corporation Self aligned conductive lines with relaxed overlay
EP3479397B1 (en) * 2016-07-01 2021-05-19 INTEL Corporation Dielectric helmet-based approaches for back end of line (beol) interconnect fabrication and structures resulting therefrom
US9779944B1 (en) 2016-09-13 2017-10-03 International Business Machines Corporation Method and structure for cut material selection
US11527433B2 (en) 2016-09-30 2022-12-13 Intel Corporation Via and plug architectures for integrated circuit interconnects and methods of manufacture
KR102303129B1 (ko) * 2016-10-20 2021-09-15 도쿄엘렉트론가부시키가이샤 비아 투 그리드 패터닝의 오버레이 오류를 감소시키기 위한 방법
US9953865B1 (en) 2016-10-26 2018-04-24 International Business Machines Corporation Structure and method to improve FAV RIE process margin and electromigration
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
WO2018089351A1 (en) 2016-11-08 2018-05-17 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
FR3059146A1 (fr) * 2016-11-22 2018-05-25 Stmicroelectronics (Rousset) Sas Procede de formation d'au moins une discontinuite electrique dans une partie d'interconnexion d'un circuit integre, et circuit integre correspondant
CN110337715B (zh) 2016-12-23 2023-08-25 英特尔公司 高级光刻和自组装装置
US11417567B2 (en) * 2016-12-23 2022-08-16 Intel Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10134580B1 (en) 2017-08-15 2018-11-20 Globalfoundries Inc. Metallization levels and methods of making thereof
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10199271B1 (en) 2017-09-01 2019-02-05 Globalfoundries Inc. Self-aligned metal wire on contact structure and method for forming same
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
DE112017007857T5 (de) * 2017-09-30 2020-04-30 Intel Corporation Herstellung von leitfähigen durchkontaktierungen und metalllinienenden und daraus resultierende strukturen
WO2019089045A1 (en) * 2017-11-03 2019-05-09 Intel Corporation Techniques for forming vias and other interconnects for integrated circuit structures
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
KR102503941B1 (ko) 2017-12-07 2023-02-24 삼성전자주식회사 반도체 장치
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10734234B2 (en) * 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US11069526B2 (en) * 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11004740B2 (en) 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
KR102580659B1 (ko) 2018-10-01 2023-09-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10957579B2 (en) 2018-11-06 2021-03-23 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same
US10832947B2 (en) 2019-02-28 2020-11-10 International Business Machines Corporation Fully aligned via formation without metal recessing
CN111640658B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11139242B2 (en) 2019-04-29 2021-10-05 International Business Machines Corporation Via-to-metal tip connections in multi-layer chips
US11075161B2 (en) 2019-06-13 2021-07-27 International Business Machines Corporation Large via buffer
US10978343B2 (en) 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
EP3836198B1 (en) * 2019-12-12 2022-08-24 Imec VZW A method for forming a via hole self-aligned with a metal block on a substrate
US11211291B2 (en) 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
US20210375745A1 (en) * 2020-06-02 2021-12-02 Intel Corporation, Santa Clara, CA Directed self-assembly structures and techniques
EP3982399A1 (en) 2020-10-06 2022-04-13 Imec VZW A method for producing an interconnect via

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888897A (en) * 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
US6143640A (en) * 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
TW424302B (en) 1999-10-12 2001-03-01 Vanguard Int Semiconduct Corp Manufacturing method for interconnect structure on the semiconductor substrate
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
TWI343622B (en) 2007-04-10 2011-06-11 Nanya Technology Corp Metal interconnect structure
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8299622B2 (en) 2008-08-05 2012-10-30 International Business Machines Corporation IC having viabar interconnection and related method
US8021897B2 (en) * 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US8614144B2 (en) * 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
JP2013183014A (ja) 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
CN102709180A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种铝薄膜的制备工艺
CN105518837B (zh) * 2013-09-27 2019-04-16 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804184B2 (en) 2018-11-30 2020-10-13 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
TWI741270B (zh) * 2018-11-30 2021-10-01 南亞科技股份有限公司 半導體元件的製造方法

Also Published As

Publication number Publication date
US9793159B2 (en) 2017-10-17
US10204830B2 (en) 2019-02-12
TWI540621B (zh) 2016-07-01
KR20160061984A (ko) 2016-06-01
WO2015047321A8 (en) 2016-03-17
CN105493249A (zh) 2016-04-13
EP3050086A4 (en) 2017-05-03
US20180033692A1 (en) 2018-02-01
EP3050086A1 (en) 2016-08-03
US20160190009A1 (en) 2016-06-30
SG11201601323XA (en) 2016-03-30
KR102167317B1 (ko) 2020-10-19
CN105493249B (zh) 2019-06-14
WO2015047321A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
TWI540621B (zh) 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化
US10991599B2 (en) Self-aligned via and plug patterning for back end of line (BEOL) interconnects
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
KR102475024B1 (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
TWI544549B (zh) 積體電路之內連線結構及其製造方法
TWI705482B (zh) 用於後段製程(beol)互連的預形成通孔和插塞的自對準等向蝕刻
US9236292B2 (en) Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
TW201521173A (zh) 用於後段製程(beol)互連之減成的自對準通孔及插塞圖案化
EP3479397B1 (en) Dielectric helmet-based approaches for back end of line (beol) interconnect fabrication and structures resulting therefrom
TW201709464A (zh) 利用使用由下而上的交聯之介電質的影像調性反轉以用於後段製程(beol)互連
TW201946223A (zh) 用於導電通孔製造之蝕刻停止層為基的方式以及其所得的結構