TWI646601B - 積體電路之內連線結構及其製造方法 - Google Patents

積體電路之內連線結構及其製造方法 Download PDF

Info

Publication number
TWI646601B
TWI646601B TW105117616A TW105117616A TWI646601B TW I646601 B TWI646601 B TW I646601B TW 105117616 A TW105117616 A TW 105117616A TW 105117616 A TW105117616 A TW 105117616A TW I646601 B TWI646601 B TW I646601B
Authority
TW
Taiwan
Prior art keywords
grating
layer
dielectric
lines
image storage
Prior art date
Application number
TW105117616A
Other languages
English (en)
Other versions
TW201717280A (zh
Inventor
羅伯特 布里斯托
啟文 林
肯瓦爾 辛格
艾倫 梅爾斯
理查 史肯克
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201717280A publication Critical patent/TW201717280A/zh
Application granted granted Critical
Publication of TWI646601B publication Critical patent/TWI646601B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

描述用於後段(BEOL)內連線之以影像儲存桶的自對準導孔及栓塞圖案化。於一實施例中,一種用於積體電路之內連線結構包括配置在基底之上的該內連線結構之第一層,該第一層具有在第一方向上的交替金屬線和電介質線之第一光柵。電介質線具有高於金屬線之最上表面的最上表面。積體電路亦包括配置於內連線結構之第一層上方的內連線結構之第二層。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之第二光柵。該些電介質線具有低於該第二光柵的該些金屬線之最低表面的最低表面。該第二光柵之該些電介質線重疊並接觸,但係不同於該第一光柵之該些電介質線。積體電路亦包括電介質材料之區,其係配置於該第一光柵的該些金屬線與該第二光柵的該些金屬線之間、且於與該第一光柵之該些電介質線的上部分及該第二光柵之該些電介質線的下部分 相同的平面中。電介質材料之該區係由交聯可光解材料所組成。

Description

積體電路之內連線結構及其製造方法
本發明之實施例屬於半導體結構及處理之領域,且特別地,係有關用於後段(BEOL)內連線之使用影像儲存桶的自對準導孔及栓塞圖案化。
於過去數十年,積體電路中之特徵的定標已是不斷成長的半導體工業背後之驅動力。定標越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加生產能力之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。
積體電路通常包括導電微電子結構(其於本技術中已知為導孔),用以將導孔上方之金屬線或其他內連線電連接至導孔下方之金屬線或其他內連線。導孔通常係由微影程序所形成。代表性地,光抗蝕劑層可被旋塗於電介質層 之上,光抗蝕劑層可通過圖案化遮罩而被暴露至圖案化的光化輻射,且接著暴露層可被顯影以形成開口於光抗蝕劑層中。接下來,用於導孔之開口可藉由使用光抗蝕劑層中之開口為蝕刻遮罩而被蝕刻於電介質層中。此開口被稱為導孔開口。最後,導孔開口可被填充以一或更多金屬或其他導電材料來形成導孔。
過去,導孔之尺寸及間隔已顯著地減少,且預期未來導孔之尺寸及間隔將持續顯著地減少,針對至少某些類型的積體電路(例如,先進微處理器、晶片組組件、圖形晶片,等等)。導孔之尺寸的一種測量是導孔開口之關鍵尺寸。導孔之間隔的一種測量是導孔節距。導孔節距代表介於最接近的相鄰導孔間之中心至中心距離。
當藉由諸如微影程序而以極小的節距來圖案化極小的導孔時,本身即存在數項挑戰,特別當節距是約70奈米(nm)或者更小及/或當導孔開口之關鍵尺寸是約35nm或者更小時。此等挑戰之一在於:導孔與上方內連線之間的重疊、以及導孔與下方定位內連線之間的重疊通常需被控制達導孔節距的四分之一等級的高容許度。隨著導孔節距尺度越來越小,重疊容許度傾向於以較其微影設備所能夠跟得上的更大速度而隨之縮小。
此等挑戰之另一在於:導孔開口之關鍵尺寸通常傾向於較微影掃描器之解析能力更快地縮小。存在有縮小科技以縮小導孔開口之關鍵尺寸。然而,縮小量常受受限於最小導孔節距、以及縮小程序之能力而無法為足夠地免於光學鄰近校正(OPC),且無法顯著地折衷線寬粗糙度 (LWR)及/或關鍵尺寸均勻度(CDU)。
此等挑戰之又另一在於:光抗蝕劑之LWR及/或CDU特性通常需要隨著導孔開口之關鍵尺寸減少而改良以維持關鍵尺寸預算之相同的整體片段。然而,目前大部分光抗蝕劑之LWR及/或CDU特性並未如導孔開口之關鍵尺寸減少般快速地改良。
此等挑戰之再另一在於:極小導孔節距通常傾向為低於甚至極端紫外線(EUV)微影掃描器之解析能力。結果,通常二、三或更多不同的微影遮罩可被使用,其傾向於增加成本。於某點,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用EUV掃描器來列印這些極小節距之導孔開口。
因此,在導孔製造技術之領域中是需要改良的。
100‧‧‧開始結構
102‧‧‧層間電介質(ILD)層
102’‧‧‧圖案化的ILD層
104‧‧‧第一硬遮罩材料層
106‧‧‧圖案化遮罩
108‧‧‧間隔物
110‧‧‧第一圖案化硬遮罩
112‧‧‧第二圖案化硬遮罩
114‧‧‧硬遮罩蓋層
116‧‧‧第一圖案化硬遮罩
118‧‧‧影像儲存桶
120‧‧‧導孔位置
122‧‧‧硬遮罩材料
124‧‧‧影像儲存桶
126‧‧‧位置
128‧‧‧導孔開口
130‧‧‧金屬線開口
132‧‧‧金屬化
134‧‧‧上區
200‧‧‧開始柵格結構
201‧‧‧基底
202‧‧‧光柵ILD層
204‧‧‧第一硬遮罩層
206‧‧‧第二硬遮罩層
208‧‧‧開口
208’‧‧‧上開口
210‧‧‧電介質層
212‧‧‧影像儲存桶
214‧‧‧導孔位置
214’‧‧‧導孔開口
216‧‧‧剩餘開口
300‧‧‧開始點結構
302‧‧‧金屬線
304‧‧‧層間電介質(ILD)線
306‧‧‧第一階金屬線
308‧‧‧ILD材料層
310‧‧‧硬遮罩層
312‧‧‧溝槽
314‧‧‧圖案化的ILD層
316‧‧‧影像儲存桶
318‧‧‧導孔位置
320‧‧‧最後ILD材料
322‧‧‧金屬線
324‧‧‧導孔
350‧‧‧單一平面
397,398,399‧‧‧接縫
400‧‧‧計算裝置
402‧‧‧電路板
404‧‧‧處理器
406‧‧‧通訊晶片
圖1A-1L闡明其代表一種減成自對準導孔及栓塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例,其中:圖1A闡明接續於層間電介質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖;圖1B闡明接續於藉由節距加倍的硬遮罩層之圖案化後的圖1A之結構;圖1C闡明接續於第二圖案化硬遮罩之形成後的圖1B 之結構;圖1D闡明接續於硬遮罩蓋層之沈積後的圖1C之結構;圖1E闡明接續於硬遮罩蓋層之圖案化後的圖1D之結構;圖1F闡明接續於第一圖案化硬遮罩之進一步圖案化及複數影像儲存桶之後續形成後的圖1E之結構;圖1G闡明接續於影像儲存桶曝光和顯影以留下選定的導孔位置、及後續的導孔開口蝕刻入下方ILD後的圖1F之結構;圖1H闡明接續於剩餘影像儲存桶之移除、硬遮罩材料之後續形成、及第二複數影像儲存桶之後續形成後的圖1G之結構;圖1I闡明接續於栓塞位置選擇後之圖1H的結構;圖1J闡明接續於從導孔及線位置移除最近形成之硬遮罩後的圖1I之結構;圖1K闡明接續於未被栓塞形成影像儲存桶所保護之位置中的圖案化ILD層之凹陷後的圖1J之結構;圖1L闡明接續於金屬填充後之圖1K的結構;圖2A-2G闡明其代表一種減成自對準導孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例,其中:圖2A闡明形成於基底上的開始正交柵格之平面視圖及相應的橫斷面視圖; 圖2B闡明接續於電介質層之開口填充及蝕刻回後的圖2A之結構的平面視圖及相應的橫斷面視圖;圖2C闡明接續於影像儲存桶填充、曝光及顯影以留下選定栓塞位置後的圖2B之結構的平面視圖及相應的橫斷面視圖;圖2D闡明接續於圖2B之電介質層的部分之移除後的圖2C之結構的平面視圖及相應的橫斷面視圖;圖2E闡明接續於影像儲存桶填充、曝光及顯影以留下選定栓塞位置後的圖2D之結構的平面視圖及相應的橫斷面視圖;圖2F闡明接續於導孔開口蝕刻入下方ILD後的圖2E之結構的平面視圖及相應的橫斷面視圖;圖2G闡明接續於第二硬遮罩層及剩餘影像儲存桶材料之移除後的圖2F之結構的平面視圖及相應的橫斷面視圖。
圖3A-3I闡明其代表一種減成自對準導孔及栓塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例,其中:圖3A闡明接續於深金屬線製造後之用於減成導孔及栓塞製程的開始點結構;圖3B闡明接續於金屬線之凹陷後的圖3A之結構;圖3C闡明接續於層間電介質(ILD)層之形成後的圖3B之結構;圖3D闡明接續於硬遮罩層之沈積及圖案化後的圖3C 之結構;圖3E闡明接續於使用圖3D之硬遮罩的圖案所界定的溝槽形成後之圖3D的結構;圖3F闡明接續於所有可能導孔位置中之影像儲存桶形成後的圖3E之結構;圖3G闡明接續於導孔位置選擇後之圖3F的結構;圖3H闡明接續於剩餘影像儲存桶之轉換至永久ILD材料後的圖3G之結構;圖3I闡明接續於金屬線及導孔形成後的圖3H之結構;圖4闡明一計算裝置,依據本發明之一實施方式。
【發明內容及實施方式】
描述用於後段(BEOL)內連線之以影像儲存桶的自對準導孔及栓塞圖案化。於下列描述中,提出多項特定細節,諸如特定集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實施而無這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖形中所示之各個實施例為說明性表示且不一定依比例描繪。
文中所述之一或更多實施例係有關用於自對準導孔及栓塞圖案化之減成方式,以及由此所得之結構。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準 金屬化的實現。對於下一世代導孔及栓塞圖案化所預期的重疊問題可由文中所述之一或更多方式來處理。
為了提供背景,用於導孔之當前製造技術涉及一種「盲」製程,其中導孔開口被圖案化於ILD溝槽上方遠處的堆疊中。導孔開口型態被接著蝕刻向下深入溝槽中。重疊誤差會累積並可能造成各種問題,例如,短路至相鄰金屬線。於一範例中,以小於約50奈米節距之特徵的圖案化及對準需要許多標線片及關鍵對準策略,其對於半導體製程而言是極昂貴的。反之,於一實施例中,文中所述之方式致能自對準栓塞及/或導孔之製造,顯著地簡化重疊誤差之網,並僅留下一關鍵重疊步驟(Mx+1光柵)。於是,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。
通常,一或更多實施例係有關一種方式,其係利用一種減成技術以形成導電導孔及非導電間隔或者介於金屬之間的中斷(稱為「栓塞」)。導孔(依其定義)被用以落在前層金屬圖案上。以此方式,文中所述之實施例致能一種更強韌的內連線製造技術,因為不再依賴藉由微影設備之對準。此一內連線製造技術可被用以節省許多對準/曝光、可被用以改良電接觸(例如藉由減少導孔電阻)、及可被用以減少總製程操作及處理時間,相較於使用傳統方式以圖案化此等特徵所需要者。
更明確地,一或更多文中所述之實施例涉及使用一種 減成方法以使用已蝕刻的溝槽來預形成每一導孔及栓塞。接著使用一額外操作以選擇留存哪些導孔及栓塞。此等操作可使用「影像儲存桶」來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來執行選擇程序。
於第一形態中,使用導孔第一、栓塞第二方式。舉例而言,圖1A-1L闡明其代表一種減成自對準導孔及栓塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各圖示中,顯示橫斷面及/或有角度的視圖。這些視圖將於文中被稱為相應的橫斷面視圖及有角度視圖。
圖1A闡明接續於層間電介質(ILD)層102上所形成之第一硬遮罩材料層104的沈積後(但在圖案化前)之開始結構100的橫斷面視圖,依據本發明之實施例。參考圖1A,圖案化遮罩106具有於第一硬遮罩材料層104上或之上(沿著其側壁)所形成的間隔物108。
圖1B闡明接續於藉由節距加倍的第一硬遮罩層之圖案化後的圖1A之結構,依據本發明之實施例。參考圖1B,圖案化遮罩106被移除而間隔物108之所得圖案被轉移(例如,藉由蝕刻製程)至第一硬遮罩材料層104以形成第一圖案化硬遮罩110。於一此類實施例中,第一圖案化硬遮罩110被形成以光柵圖案,如圖1B中所描繪者。於一實施例中,第一圖案化硬遮罩110之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成(遮罩106),但該節距可藉由使用間隔物遮罩圖案化而被減半,如圖1A及1B中所描繪者。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1B的第一圖案化硬遮罩110之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。
圖1C闡明接續於第二圖案化硬遮罩之形成後的圖1B之結構,依據本發明之實施例。參考圖1C,第二圖案化硬遮罩112被形成為與第一圖案化硬遮罩110交插。於一此類實施例中,第二圖案化硬遮罩112係藉由第二硬遮罩材料層(具有不同於第一硬遮罩材料層104之組成)之沈積而被形成。第二硬遮罩材料層被接著平坦化(例如藉由化學機械拋光(CMP))以提供第二圖案化硬遮罩112。
圖1D闡明接續於硬遮罩蓋層之沈積後的圖1C之結構,依據本發明之實施例。參考圖1D,硬遮罩蓋層114被形成於第一圖案化硬遮罩110及第二圖案化硬遮罩112上。於一此類實施例中,硬遮罩蓋層114之材料組成及蝕刻選擇性係不同於第一圖案化硬遮罩110及第二圖案化硬遮罩112。
圖1E闡明接續於硬遮罩蓋層之圖案化後的圖1D之結構,依據本發明之實施例。參考圖1E,圖案化的硬遮罩蓋層114被形成於第一圖案化硬遮罩110及第二圖案化硬遮罩112上。於一此類實施例中,圖案化的硬遮罩層114被形成有正交於第一圖案化硬遮罩110及第二圖案化 硬遮罩112之光柵圖案的光柵圖案,如圖1E中所示。於一實施例中,由圖案化的硬遮罩蓋層114所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1E之圖案化的硬遮罩蓋層114之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。
圖1F闡明接續於第一圖案化硬遮罩之進一步圖案化及複數影像儲存桶之後續形成後的圖1E之結構,依據本發明之實施例。參考圖1F,使用圖案化的硬遮罩蓋層114為遮罩,第一圖案化硬遮罩110被進一步圖案化以形成第一圖案化硬遮罩116。第二圖案化硬遮罩112未被進一步圖案化於此製程中。之後,圖案化的硬遮罩蓋層114被移除,且影像儲存桶118被形成於ILD層102之上的所得開口中。影像儲存桶118(於此階段)代表所得金屬化層中之所有可能的導孔位置。
圖1G闡明接續於影像儲存桶曝光和顯影以留下選定的導孔位置、及後續的導孔開口蝕刻入下方ILD後的圖1F之結構,依據本發明之實施例。參考圖1G,選定的影像儲存桶118被曝光並移除以提供選定的導孔位置120。導孔位置120接受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸導孔開口入下方ILD層102,形成圖案化的 ILD層102’。蝕刻對於:剩餘的影像儲存桶118、第一圖案化硬遮罩116、及第二圖案化硬遮罩112是選擇性的。
圖1H闡明接續於剩餘影像儲存桶之移除、硬遮罩材料之後續形成、及第二複數影像儲存桶之後續形成後的圖1G之結構,依據本發明之實施例。參考圖1H,剩餘影像儲存桶被移除,例如,藉由選擇性蝕刻製程。所有形成的開口(例如,於影像儲存桶118以及導孔位置120之移除時所形成的開口)被接著填充以硬遮罩材料122,諸如碳為基的硬遮罩材料。之後,第一圖案化硬遮罩116被移除(例如,以一種選擇性蝕刻製程),且所得的開口被填充以第二複數影像儲存桶124。影像儲存桶124(於此階段)代表所得金屬化層中之所有可能的栓塞位置。應理解:第二圖案化硬遮罩112未被進一步圖案化於製程中之此階段。
圖1I闡明接續於栓塞位置選擇後的圖1H之結構,依據本發明之實施例。參考圖1I,來自圖1H之影像儲存桶124被移除自其中將不會形成栓塞之位置126。於其中被選來形成栓塞之位置中,影像儲存桶124被留存。於一實施例中,為了形成其中將不會形成栓塞之位置126,使用微影以暴露相應的影像儲存桶124。暴露的影像儲存桶可接著藉由顯影劑而被移除。
圖1J闡明接續於從導孔及線位置移除最近形成之硬遮罩後的圖1I之結構,依據本發明之實施例。參考圖1J,圖1I中所描繪之硬遮罩材料122被移除。於一此類 實施例中,硬遮罩材料122係碳為基的硬遮罩材料且係以電漿灰製程來移除。如圖所示,剩餘的特徵包括:圖案化的ILD層102’、為了栓塞形成而留存的影像儲存桶124、及導孔開口128。雖然未顯示,應理解:於一實施例中,第二硬遮罩層112亦被留存於此階段。
圖1K闡明接續於未被栓塞形成影像儲存桶所保護的位置中之圖案化ILD層之凹陷後的圖1J之結構,依據本發明之實施例。參考圖1K,未被影像儲存桶124所保護之圖案化的ILD層102’之部分被凹陷以提供金屬線開口130,除了導孔開口128之外。
圖1L闡明接續於金屬填充後的圖1K之結構,依據本發明之實施例。參考圖1L,金屬化132被形成於開口128及130中。於一此類實施例中,金屬化132係藉由金屬填充及拋光回製程來形成。參考圖1L之左手邊部分,其結構係顯示為包括下部分,該下部分包括其中形成有金屬線及導孔(集合地顯示為132)之圖案化的ILD層102’。結構之上區134包括第二圖案化硬遮罩112以及剩餘(栓塞位置)影像儲存桶124。於一實施例中,上區134被移除(例如,藉由CMP或蝕刻回),在後續製造以前。然而,於一替代實施例中,上區134被留存於最終結構中。
圖1L之結構可接著被使用為用以形成後續金屬線/導孔及ILD層之基礎。替代地,圖1L之結構可代表積體電路中之最後金屬內連線層。應理解其上述製程操作可被施 行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再次參考圖1L,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外內連線層,諸如傳統雙或單金屬鑲嵌方式。
於第二形態中,使用栓塞第一、導孔第二方式。舉例而言,圖2A-2G闡明其代表一種減成自對準栓塞及導孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各所述操作之各闡明中,平面視圖被顯示於頂部,而相應的橫斷面視圖被顯示於底部。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。
圖2A闡明形成於基底201上的開始正交柵格之平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),開始柵格結構200包括光柵ILD層202,具有第一硬遮罩層204配置於其上。第二硬遮罩層206被配置於第一硬遮罩層204上且被圖案化以具有一種正交於下方光柵結構之光柵結構。此外,開口208保持於第二硬遮罩層206的光柵結構與由ILD層202和第一硬遮罩層204所形成的下方光柵之間。
圖2B闡明接續於開口填充及蝕刻回後的圖2A之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的 橫斷面視圖(a)及(b),圖2A之開口208被填充以電介質層210(諸如氧化矽層)。此一電介質層210可被形成有沈積的氧化物膜,諸如藉由化學氣相沈積(CVD)、高密度電漿沈積(HDP)、或電介質上旋塗。沈積的材料可能需要蝕刻回以達成圖2B中所示之相對高度,留下上開口208’。
圖2C闡明接續於影像儲存桶填充、曝光及顯影以留下選定栓塞位置後的圖2B之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),影像儲存桶被形成於圖2B之上開口208’中。之後,大部分影像儲存桶被曝光並移除。然而,選擇影像儲存桶212未被曝光而因此留存以提供選定的栓塞位置,如圖2C中所示。
圖2D闡明接續於電介質層210的部分之移除後的圖2C之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),未被影像儲存桶212所覆蓋之電介質層210的部分被移除。然而,被影像儲存桶212所覆蓋之電介質層210的部分保留於圖2D之結構中。於一實施例中,未被影像儲存桶212所覆蓋之電介質層210的部分係藉由濕式蝕刻製程而被移除。
圖2E闡明接續於影像儲存桶填充、曝光及顯影以留下選定導孔位置後的圖2D之結構的平面視圖及相應的橫 斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),影像儲存桶被形成於電介質層210之部分的移除時所形成的開口中。之後,選擇影像儲存桶被曝光並移除以提供選定的導孔位置214,如圖2C中所示。
圖2F闡明接續於導孔開口蝕刻入下方ILD後的圖2E之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),圖2E之導孔位置214接受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸導孔開口214至開口214’,其被形成入下方ILD層202中。
圖2G闡明接續於第二硬遮罩層及剩餘影像儲存桶材料之移除後的圖2F之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),第二硬遮罩層206以及任何剩餘的影像儲存桶材料(亦即,尚未被曝光及顯影之影像儲存桶材料)被移除。該移除係針對所有其他剩餘特徵有選擇性地被執行。於一此類實施例中,第二硬遮罩層206為碳基的硬遮罩材料,且該移除係藉由O2電漿灰製程來執行。再次參考圖2G,此階段所剩餘者為:其中形成有導孔開口214’之ILD層202、以及其被保留給栓塞位置之電介質層210的部分(例如,由上方影像儲存桶材料所保留)。因此,於一實施例中,圖2G之結構包括以導孔開口(用於後續的金屬填充)圖案化之 ILD層202,其具有用以產生栓塞之電介質層210的位置。剩餘開口216可被填充以金屬來形成金屬線。應理解其硬遮罩204可被移除。
因此,一旦以金屬內連線材料填充後,圖2G之結構可接著被使用為用以形成後續金屬線/導孔及ILD層之基礎。替代地,一旦以金屬內連線材料填充後,圖2G之結構可代表積體電路中之最後金屬內連線層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再次參考圖2G,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外內連線層,諸如傳統雙或單金屬鑲嵌方式。
應理解與圖1A至1L及2A至2G關聯所描述的方式不一定被執行為形成對準於下方金屬化層之導孔。如此一來,於某些背景中,這些製程技術可被視為涉及針對任何下方金屬化層以由上而下方向盲目射擊。於第三形態中,減成方式提供與下方金屬化層之對準。舉例而言,圖3A-3I闡明其代表一種減成自對準導孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各描述操作之各圖示中,提供一有角度的三維橫斷面視圖。
圖3A闡明接續於深金屬線製造後之用於減成導孔及栓塞製程的開始點結構300,依據本發明之實施例。參考 圖3A,結構300包括具有中間層間電介質(ILD)線304之金屬線302。亦應理解其某些線302可與下方導孔關聯以便耦合至先前內連線層。於一實施例中,金屬線302係藉由將溝槽圖案化入ILD材料(例如,線304之ILD材料)來形成。溝槽接著由金屬來填充且(假如需要的話)被平坦化至ILD線304之頂部。於一實施例中,金屬溝槽及填充製程係涉及高的高寬比特徵。例如,於一實施例中,金屬線高度(h)與金屬線寬度(w)之高寬比約於5-10之範圍中。
圖3B闡明接續於金屬線之凹陷後的圖3A之結構,依據本發明之實施例。參考圖3B,金屬線302被選擇性地凹陷以提供第一階金屬線306。凹陷被選擇性地對ILD線304來執行。該凹陷可藉由透過乾式蝕刻、濕式蝕刻、或其組合之蝕刻來執行。凹陷程度可由第一階金屬線306之目標厚度來決定,以供使用為後段製程(BEOL)內連線結構內之適當的導電內連線。
圖3C闡明接續於層間電介質(ILD)層之形成後的圖3B之結構,依據本發明之實施例。參考圖3C,ILD材料層308被沈積,且(假如需要的話)被平坦化,至凹陷金屬線306及ILD線304之上的位準。
圖3D闡明接續於硬遮罩層之沈積及圖案化後的圖3C之結構,依據本發明之實施例。參考圖3D,硬遮罩層310被形成於ILD層308上。於此一實施例中,硬遮罩層310被形成以一正交於第一階金屬線306/ILD線304之光柵圖 案的光柵圖案,如圖3D中所示。於一實施例中,由硬遮罩層310所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖3D的第二硬遮罩層310之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。
圖3E闡明接續於使用圖3D之硬遮罩的圖案所界定的溝槽形成後之圖3D的結構,依據本發明之實施例。參考圖3E,ILD層308之暴露區(亦即,未被310所保護者)被蝕刻以形成溝槽312及圖案化的ILD層314。蝕刻係停止在(且因而暴露)第一階金屬線306及ILD線304之頂部表面上。
圖3F闡明接續於所有可能導孔位置中之影像儲存桶形成後的圖3E之結構,依據本發明之實施例。參考圖3F,影像儲存桶316被形成於凹陷金屬線306之暴露部分上方的所有可能導孔位置中。於一實施例中,影像儲存桶316被形成為基本上與ILD線304之頂部表面共面,如圖3F中所描繪者。此外,再次參考圖3F,硬遮罩層310可被移除自圖案化的ILD層314。
圖3G闡明接續於導孔位置選擇後的圖3F之結構,依據本發明之實施例。參考圖3G,在選擇導孔位置318時來自圖3F之影像儲存桶316被移除。於其中被選來形 成導孔之位置中,影像儲存桶316被留存。於一實施例中,為了形成導孔位置318,微影被使用以暴露相應的影像儲存桶316。暴露的影像儲存桶可接著藉由顯影劑而被移除。
圖3H闡明接續於剩餘影像儲存桶之轉換至永久ILD材料後的圖3G之結構,依據本發明之實施例。參考圖3H,影像儲存桶316之材料被修改(例如,藉由在烘烤操作時之交聯)於位置中以形成最後ILD材料320。於一此類實施例中,交聯係提供烘烤時之溶解度切換。最終的、交聯的材料具有電介質間性質,而因此可被留存於最終金屬化結構中。
再次參考圖3H,於一實施例中,所得結構包括高達三個不同的電介質材料區(ILD線304+ILD線314+交聯影像儲存桶320)於金屬化結構之單一平面350中。於此一實施例中,ILD線304、ILD線314及交聯影像儲存桶320之兩者或全部係由相同材料所組成。於另一此實施例中,ILD線304、ILD線314及交聯影像儲存桶320均由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線304與ILD線314的材料之間的垂直接縫(例如,接縫397)及/或介於ILD線304與交聯影像儲存桶320之間的垂直接縫(例如,接縫398)及/或介於ILD線314與交聯影像儲存桶320之間的垂直接縫(例如,接縫399)等區別。
圖3I闡明接續於金屬線及導孔形成後的圖3H之結 構,依據本發明之實施例。參考圖3I,金屬線322及導孔324被形成於圖3H之開口的金屬填充上。金屬線322係藉由導孔324而被耦合至下方金屬線306。於一實施例中,開口被填充以金屬鑲嵌方式或由下而上填充方式以提供圖3I中所示之結構。因此,於上述方式中用以形成金屬線及導孔之金屬(例如,銅及相關的障壁和種子層)沈積可為典型地用於標準後段製程(BEOL)處理者。於一實施例中,於後續製造操作中,ILD線314可被移除以提供介於所得金屬線324之間的空氣間隙。
圖3I之結構可接著被使用為用以形成後續金屬線/導孔及ILD層之基礎。替代地,圖3I之結構可代表積體電路中之最後金屬內連線層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之導孔的製造。亦即,導孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,導孔之中心被直接地與金屬線之中心對準(匹配)。再者,用以選擇哪些栓塞及導孔之ILD將可能是極不同於主要ILD且將被完美地自對準於兩方向上。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。再次參考圖3I,接著,藉由減成方式之自對準製造可完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟 動。替代地,其他方式可被使用於此階段以提供額外內連線層,諸如傳統雙或單金屬鑲嵌方式。
總之,依據本發明之一或更多實施例,文中所述之方式係涉及使用影像儲存桶層間電介質(ILD)以選擇用於栓塞及導孔之位置。影像儲存桶ILD組成通常是極不同於標準ILD,且(於一實施例中)是完美地自對準於兩方向。更一般地,於一實施例中,如文中所使用之術語「影像儲存桶」係涉及使用超快光抗蝕劑或e光束抗蝕劑或其他光敏材料,如已蝕刻開口中所形成者。於此一實施例中,進入開口之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,影像儲存桶係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解其影像儲存桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。於一實施例中,微影被用以暴露其被選擇以供移除之相應的影像儲存桶。然而,微影限制可被釋放且失準容許度可能很高,因為影像儲存桶係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30mJ/cm2,此類影像儲存桶可被曝光以(例如)3mJ/cm2。通常此將導致極差的關鍵尺寸(CD)控制及粗糙度。但於此例中,CD及粗糙度控制將由影像儲存桶所界定,其可被極佳地控制及界定。因此,影像儲存桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。於一實施例中,影像儲存桶接受超紫外線 (EUV)光之曝光以暴露影像儲存桶,其中於特定實施例中,EUV係於5-15奈米之範圍中。
於一實施例中,針對金屬線、ILD線或硬遮罩線之術語「光柵結構」被用以指稱緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,上述光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之金屬線、ILD線或硬遮罩線。圖案可藉由節距減半或節距減為四分之一方式來製造。
於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。
於一實施例中,如亦遍及本說明書所使用者,內連線材料(例如,金屬線及/或導孔)係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。 如文中所使用者,術語金屬係包括數個金屬之合金、堆疊、及其他組合。例如,金屬內連線可包括障壁層、不同金屬或合金之堆疊,等等。在本技術中內連線有時亦被稱為軌線、佈線、線、金屬、或僅為內連線。
於一實施例中,如亦遍及本說明書所使用者,栓塞及/或封蓋及/或硬遮罩材料係由不同於層間電介質材料的電介質材料所組成。於一實施例中,這些材料為犧牲性的,而層間電介質材料被保留於最後結構之至少某處。於某些實施例中,栓塞及/或封蓋及/或硬遮罩材料包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料。於另一實施例中,栓塞及/或封蓋及/或硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他栓塞及/或封蓋及/或硬遮罩材料層可根據特定實施方式而被使用。栓塞及/或封蓋及/或硬遮罩材料層可藉由CVD、PVD、或藉由其他沈積方法而被形成。
應理解其上述的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)之上或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體 材料所形成之類似基底。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,上述結構可被製造於下方較低階後段製程(BEOL)內連線層上。
文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排或其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。
圖4闡明一計算裝置400,依據本發明之一實施方式。計算裝置400含有電路板402。電路板402可包括數個組件,包括(但不限定於)處理器404及至少一通訊晶片406。處理器404被實體地及電氣地耦合至電路板402。於某些實施方式中,至少一通訊晶片406亦被實體地及電氣地耦合至電路板402。於進一步實施方式中,通訊晶片406為處理器404之部分。
根據其應用,計算裝置400可包括其他組件,其可被 或可不被實體地及電氣地耦合至電路板402。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片406致能無線通訊,以供資料之轉移至及自計算裝置400。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片406可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置400可包括複數通訊晶片406。例如,第一通訊晶片406可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片406可專用於較長距離無線通訊,諸如GPS、EDGE、 GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置400之處理器404包括封裝於處理器404內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的自對準導孔及栓塞。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片406亦包括封裝於通訊晶片406內之積體電路晶粒。依據本發明之另一實施方式,通訊晶片之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的自對準導孔及栓塞。
於進一步實施方式中,計算裝置400內所包括之另一組件可含有積體電路晶粒,其包括一或更多結構,諸如依據本發明之實施方式而建造的自對準導孔及栓塞。
於各種實施方式中,計算裝置400可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置400可為處理資料之任何其他電子裝置。
因此,本發明之實施例包括用於後段製程(BEOL)內連線之自對準導孔及栓塞圖案化。
於一實施例中,一種用於積體電路之內連線結構包括配置在基底之上的該內連線結構之第一層,該第一層具有在第一方向上的交替金屬線和電介質線之第一光柵。電介質線具有高於金屬線之最上表面的最上表面。積體電路亦包括配置於內連線結構之第一層上方的內連線結構之第二層。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之第二光柵。該些電介質線具有低於該第二光柵的該些金屬線之最低表面的最低表面。該第二光柵之該些電介質線重疊並接觸,但係不同於該第一光柵之該些電介質線。積體電路亦包括電介質材料之區,其係配置於該第一光柵的該些金屬線與該第二光柵的該些金屬線之間、且於與該第一光柵之該些電介質線的上部分及該第二光柵之該些電介質線的下部分相同的平面中。電介質材料之該區係由交聯可光解材料所組成。
於一實施例中,該內連線結構進一步包括一配置於該第一光柵的金屬線與該第二光柵的金屬線之間並將其耦合的導電導孔,該導電導孔係直接鄰接於電介質材料之該區並與其位於相同平面中。
於一實施例中,該導電導孔具有一中心,其係與該第一光柵之該金屬線的中心以及該第二光柵之該金屬線的中心直接對準。
於一實施例中,該第一光柵之該些電介質線係由第一電介質材料所組成,而該第二光柵之該些電介質線係由第二、不同的電介質材料所組成。該第一及第二電介質材料 係不同於交聯可光解材料。
於一實施例中,該第一光柵之該些電介質線及該第二光柵之該些電介質線係由相同的電介質材料所組成,該電介質材料係不同於該交聯可光解材料。
於一實施例中,一種製造用於積體電路之內連線結構的方法涉及提供金屬化結構,其包含具有第一方向之交替的金屬線和電介質線第一光柵,該第一光柵之各電介質線具有與各金屬線之頂部表面基本上共面的頂部表面。該方法亦涉及使該第一光柵之該些金屬線凹陷低於該第一光柵之該些電介質線的該頂部表面,以及形成該第一光柵之凹陷金屬線。該方法亦涉及形成層間電介質(ILD)層於該第一光柵的該些電介質線及該些凹陷金屬線之上,該ILD層具有在垂直於該第一方向之第二方向上的第二光柵,其顯露該些凹陷金屬線之部分。該方法亦涉及形成複數影像儲存桶於該些凹陷金屬線之上的所有可能導孔位置中。該方法亦涉及曝光、顯影並移除該些複數影像儲存桶之部分以形成一或更多導孔開口。該方法亦涉及接著烘烤所有剩餘的影像儲存桶。該方法亦涉及形成金屬線於該些已烘烤影像儲存桶之上、及形成導孔於與該些已烘烤導孔相同的平面中。
於一實施例中,形成該ILD層包含涉及形成該ILD層材料之未圖案化層;形成硬遮罩層於該ILD層之上,該硬遮罩層具有該第二光柵之圖案;及蝕刻該ILD層材料之該未圖案化層至該ILD層。
於一實施例中,形成複數影像儲存桶涉及形成可光解材料之一層,而烘烤該些剩餘影像儲存桶涉及交聯該些剩餘影像儲存桶。
於一實施例中,烘烤該些剩餘影像儲存桶涉及形成永久ILD材料。
於一實施例中,該第一光柵之該些電介質線係由第一電介質材料所組成,而該ILD層係由第二、不同的電介質材料所組成。
於一實施例中,該第一光柵之該些電介質線與該ILD層係由相同的電介質材料所組成。
於一實施例中,曝光、顯影並移除該些複數影像儲存桶之部分涉及使該些複數影像儲存桶之該些部分暴露至超紫外線(EUV)照射。
於一實施例中,一種製造用於積體電路之內連線結構的方法涉及形成第一硬遮罩層於ILD材料層之上,該第一硬遮罩層及該ILD材料層之上部分具有在第一方向上之第一光柵。該方法亦涉及形成第二硬遮罩層於該ILD材料層及該第一硬遮罩層上方,該第二硬遮罩層具有第二光柵於一垂直於該第一方向之第二方向上。該方法亦涉及形成電介質材料於其由該第一和第二硬遮罩層之該些光柵圖案所形成的開口中。該方法亦涉及形成第一複數影像儲存桶於該電介質材料上。該方法亦涉及曝光、顯影並移除該些複數影像儲存桶之部分以形成一或更多相應的非栓塞位置,其中剩餘影像儲存桶係界定栓塞位置。該方法亦涉及移除 未被該些剩餘影像儲存桶所保護之該電介質材料的該些部分。該方法亦涉及形成第二複數影像儲存桶於所有可能的導孔區中。該方法亦涉及曝光、顯影並移除該些第二複數影像儲存桶之部分以形成一或更多導孔開口。該方法亦涉及蝕刻該ILD材料層通過一或更多導孔開口以形成相應的導孔位置。該方法亦涉及移除該些第一及第二複數影像儲存桶之所有剩餘者。該方法亦涉及移除該第二硬遮罩層。該方法亦涉及形成金屬導孔於該些一或更多導孔位置之相應者中,及形成金屬線於該些金屬導孔之上。
於一實施例中,形成該第二硬遮罩層涉及形成碳基的硬遮罩層,而移除該第二硬遮罩層涉及使用灰製程。
於一實施例中,該方法進一步涉及移除該第一硬遮罩層。
於一實施例中,曝光、顯影並移除該些第一複數影像儲存桶之部分及該些第二複數影像儲存桶之部分涉及暴露至超紫外線(EUV)照射。
於一實施例中,一種製造用於積體電路之內連線結構的方法涉及形成第一硬遮罩層於ILD材料層之上,該第一硬遮罩層具有在第一方向上之第一光柵。該方法亦涉及形成第二硬遮罩層於該ILD材料層之上並與該第一硬遮罩層交插。該方法亦涉及形成硬遮罩蓋層於該第一和第二硬遮罩層之上,該硬遮罩蓋層具有第二光柵在一垂直於該第一方向之第二方向上。該方法亦涉及使用該硬遮罩蓋層為遮罩來圖案化該第一硬遮罩層,以形成所有可能的導孔區於 該ILD材料層之上。該方法亦涉及形成第一複數影像儲存桶於所有可能的導孔區中。該方法亦涉及曝光、顯影並移除該些第一複數影像儲存桶之部分以形成一或更多導孔開口。該方法亦涉及蝕刻該ILD材料層通過一或更多導孔開口以形成相應的導孔位置。該方法亦涉及移除該些第一複數影像儲存桶之所有剩餘者。該方法亦涉及接著形成第三硬遮罩層於該些導孔位置以及該些所有可能的導孔區之剩餘者中。該方法亦涉及移除該第一硬遮罩層之所有剩餘部分以形成所有可能的栓塞區於該ILD材料層之上。該方法亦涉及形成第二複數影像儲存桶於該些所有可能的栓塞區中。該方法亦涉及曝光、顯影並移除該些第二複數影像儲存桶之部分以形成一或更多相應的非栓塞位置,其中該些第二複數影像儲存桶之剩餘者係界定栓塞位置。該方法亦涉及凹陷未被該些第二複數影像儲存桶之該些剩餘者所保護之該ILD材料層的該些部分。該方法亦涉及移除該第三硬遮罩及該些第二複數影像儲存桶之所有剩餘者。該方法亦涉及形成金屬導孔於該些一或更多導孔位置之相應者中,及形成金屬線於該些金屬導孔之上。
於一實施例中,該方法進一步涉及,在形成該些第一複數影像儲存桶於該些所有可能的導孔區中以前,移除該硬遮罩蓋層。
於一實施例中,形成該第三硬遮罩層涉及形成碳基的硬遮罩層,而移除該第三硬遮罩層涉及使用灰製程。
於一實施例中,曝光、顯影並移除該些第一複數影像 儲存桶之部分及該些第二複數影像儲存桶之部分涉及暴露至超紫外線(EUV)照射。

Claims (11)

  1. 一種用於積體電路之內連線結構,該內連線結構包含:配置於基底上方之該內連線結構的第一層,該第一層包含沿第一方向之交替金屬線和電介質線的第一光柵,其中該些交替電介質線的最上表面高於該些交替金屬線之最上表面;及配置於該內連線結構之該第一層上方的該內連線結構之第二層,該內連線結構的該第二層包含沿著垂直於該第一方向的第二方向之交替金屬線和電介質線的第二光柵;及電介質材料之區,其係配置於該第一光柵的該些交替金屬線與該第二光柵的該些交替金屬線之間,電介質材料之該區包含交聯可光解材料。
  2. 如申請專利範圍第1項之內連線結構,進一步包含:配置於該第一光柵的金屬線與該第二光柵的金屬線之間並將其耦合的導電導孔,該導電導孔係於與電介質材料之該區相同的平面中。
  3. 如申請專利範圍第2項之內連線結構,其中該導電導孔具有一中心,其係與該第一光柵之該金屬線的中心以及該第二光柵之該金屬線的中心直接對準。
  4. 如申請專利範圍第1項之內連線結構,其中該第一光柵之該些電介質線包含第一電介質材料,而該第二光柵之該些電介質線包含不同於該第一電介質材料的第二電介質材料,及其中該第一及第二電介質材料係不同於交聯可光解材料。
  5. 如申請專利範圍第1項之內連線結構,其中該第一光柵之該些電介質線與該第二光柵之該些電介質線包含與該交聯可光解材料不同的相同電介質材料。
  6. 一種製造用於積體電路之內連線結構的方法,該方法包含:提供金屬化結構,其包含由沿一方向之交替的金屬線和電介質線構成的第一光柵,其中該等交替電介質線的最上表面高於該交替金屬線的最上表面;形成層間電介質(ILD)層於該第一光柵之上,該ILD層具有沿著垂直於該第一光柵的該方向之方向的第二光柵,該第二光柵顯露在該些交替金屬線上之複數導孔位置;在該複數導孔位置中,形成複數影像儲存桶;移除該些複數影像儲存桶之部分以形成一或更多導孔開口;及在該複數影像儲存桶的剩餘影像儲存桶之上,形成金屬線,以及在與該複數影像儲存桶的剩餘影像儲存桶相同的平面中,形成導孔。
  7. 如申請專利範圍第6項之方法,其中形成複數影像儲存桶包含形成可光解材料之一層。
  8. 如申請專利範圍第6項之方法,其中該第一光柵的該電介質線包含第一電介質材料,及該ILD層包含與該第一電介質材料不同的第二電介質材料。
  9. 如申請專利範圍第6項之方法,其中該第一光柵之該電介質線與該ILD層包含相同的電介質材料。
  10. 如申請專利範圍第6項之方法,其中移除該些複數影像儲存桶之部分包含使用超紫外線(EUV)微影製程。
  11. 如申請專利範圍第6項之方法,其中形成該ILD層包含:形成ILD層材料的未圖案化的層;在該ILD層之上形成硬遮罩層,該硬遮罩層具有該第二光柵的圖案;及蝕刻該ILD層材料的該未圖案化的層,以提供用於該ILD層的該第二光柵的圖案。
TW105117616A 2013-12-18 2014-10-29 積體電路之內連線結構及其製造方法 TWI646601B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/133,385 US9236342B2 (en) 2013-12-18 2013-12-18 Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US14/133,385 2013-12-18

Publications (2)

Publication Number Publication Date
TW201717280A TW201717280A (zh) 2017-05-16
TWI646601B true TWI646601B (zh) 2019-01-01

Family

ID=53369422

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103137442A TWI544549B (zh) 2013-12-18 2014-10-29 積體電路之內連線結構及其製造方法
TW105117616A TWI646601B (zh) 2013-12-18 2014-10-29 積體電路之內連線結構及其製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103137442A TWI544549B (zh) 2013-12-18 2014-10-29 積體電路之內連線結構及其製造方法

Country Status (6)

Country Link
US (2) US9236342B2 (zh)
EP (1) EP3084822A4 (zh)
KR (1) KR102280070B1 (zh)
CN (2) CN105745745B (zh)
TW (2) TWI544549B (zh)
WO (1) WO2015094501A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102167351B1 (ko) * 2013-09-27 2020-10-19 인텔 코포레이션 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
WO2016209205A1 (en) 2015-06-22 2016-12-29 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (beol) interconnects
CN108012562B (zh) * 2015-06-26 2022-03-01 英特尔公司 用于自对准互连件、插塞和过孔的织物式图案化
US9793164B2 (en) 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
WO2017086907A1 (en) * 2015-11-16 2017-05-26 Intel Corporation Structures and methods for improved lithographic processing
WO2017105445A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Grid self-aligned metal via processing schemes for back end of line (beol) interconnects and structures resulting therefrom
US10770291B2 (en) * 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
US10319625B2 (en) 2015-12-22 2019-06-11 Intel Corporation Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
US10269697B2 (en) * 2015-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2017136577A1 (en) * 2016-02-02 2017-08-10 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
WO2017171760A1 (en) * 2016-03-30 2017-10-05 Intel Corporation Self-aligned via below subtractively patterned interconnect
US10804141B2 (en) 2016-05-27 2020-10-13 Intel Corporation Damascene plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
CN109075121B (zh) 2016-05-27 2023-10-13 太浩研究有限公司 用于半导体结构的后端线金属化层及其制造方法
US9773700B1 (en) 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
WO2018004699A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Lined photobucket structure for back end of line (beol) interconnect formation
US9607893B1 (en) 2016-07-06 2017-03-28 Globalfoundries Inc. Method of forming self-aligned metal lines and vias
WO2018063323A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Via & plug architectures for integrated circuit interconnects & methods of manufacture
US11171043B2 (en) * 2016-09-30 2021-11-09 Intel Corporation Plug and trench architectures for integrated circuits and methods of manufacture
US10892184B2 (en) 2016-09-30 2021-01-12 Intel Corporation Photobucket floor colors with selective grafting
US9953865B1 (en) 2016-10-26 2018-04-24 International Business Machines Corporation Structure and method to improve FAV RIE process margin and electromigration
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
US11232980B2 (en) * 2016-12-23 2022-01-25 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
EP3559984A4 (en) * 2016-12-23 2020-12-02 INTEL Corporation DIFFERENTIAL HARD MASKS FOR MODULATING THE SENSITIVITY OF AN ELECTRIC CONTAINER
CN117219572A (zh) * 2016-12-23 2023-12-12 英特尔公司 高级光刻和自组装装置
US10181420B2 (en) * 2017-02-06 2019-01-15 Globalfoundries Inc. Devices with chamfer-less vias multi-patterning and methods for forming chamfer-less vias
WO2018169538A1 (en) * 2017-03-16 2018-09-20 Intel Corporation Photoresist with electron-activated photosensitizers for confined patterning lithography
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
KR102435522B1 (ko) 2018-03-27 2022-08-23 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US10935799B2 (en) * 2018-10-23 2021-03-02 Applied Materials, Inc. Optical component having depth modulated angled gratings and method of formation
US10840240B2 (en) * 2018-10-24 2020-11-17 Micron Technology, Inc. Functional blocks implemented by 3D stacked integrated circuit
US11101175B2 (en) 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11563107B2 (en) 2019-03-22 2023-01-24 Intel Corporation Method of contact patterning of thin film transistors for embedded DRAM using a multi-layer hardmask
US10915690B2 (en) 2019-04-12 2021-02-09 International Business Machines Corporation Via design optimization to improve via resistance
US11037821B2 (en) 2019-05-01 2021-06-15 Globalfoundries U.S. Inc. Multiple patterning with self-alignment provided by spacers
US11062943B2 (en) 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner
US11139202B2 (en) 2019-09-27 2021-10-05 International Business Machines Corporation Fully aligned top vias with replacement metal lines
US11094580B2 (en) 2019-10-01 2021-08-17 International Business Machines Corporation Structure and method to fabricate fully aligned via with reduced contact resistance
US11302573B2 (en) 2019-10-04 2022-04-12 International Business Machines Corporation Semiconductor structure with fully aligned vias
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11282768B2 (en) 2019-11-08 2022-03-22 International Business Machines Corporation Fully-aligned top-via structures with top-via trim
US11201112B2 (en) 2020-01-22 2021-12-14 International Business Machines Corporation Fully-aligned skip-vias
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11361987B2 (en) 2020-05-14 2022-06-14 International Business Machines Corporation Forming decoupled interconnects
US11984317B2 (en) * 2020-06-01 2024-05-14 Intel Corporation EUV patterning methods, structures, and materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110147942A1 (en) * 2009-12-18 2011-06-23 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor memory device and semiconductor memory device
CN102646682A (zh) * 2011-02-16 2012-08-22 海力士半导体有限公司 3d结构非易失性存储器件及其制造方法
TW201244007A (en) * 2011-04-11 2012-11-01 Sandisk Technologies Inc 3D vertical NAND and method of making thereof by front and back side processing
TW201304106A (zh) * 2011-06-02 2013-01-16 Micron Technology Inc 包括階梯結構之裝置及其形成之方法
US20130260559A1 (en) * 2012-03-28 2013-10-03 Samsung Electronics Co., Ltd. Methods for forming fine patterns of a semiconductor device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365504B1 (en) * 1999-10-15 2002-04-02 Tsmc-Acer Semiconductor Manufacturing Corporation Self aligned dual damascene method
JP2002009056A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 微細パターン形成方法およびその方法により製造した装置
JP2005150493A (ja) * 2003-11-18 2005-06-09 Sony Corp 半導体装置の製造方法
US7601566B2 (en) * 2005-10-18 2009-10-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9009641B2 (en) * 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7939445B1 (en) * 2007-03-16 2011-05-10 Marvell International Ltd. High density via and metal interconnect structures, and methods of forming the same
US7700466B2 (en) * 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090200683A1 (en) * 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
KR101469098B1 (ko) * 2008-11-07 2014-12-04 삼성전자주식회사 반도체 메모리 소자의 커패시터 형성방법
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
DE102009047873B4 (de) * 2009-09-30 2018-02-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Optischer Signalaustausch in einem Halbleiterbauelement unter Anwendung monolithischer optoelektronischer Komponenten
US8267583B2 (en) * 2009-10-19 2012-09-18 Oracle America, Inc. Three-dimensional macro-chip including optical interconnects
US8222140B2 (en) * 2009-12-23 2012-07-17 Intel Corporation Pitch division patterning techniques
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US8614144B2 (en) * 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US8813012B2 (en) * 2012-07-16 2014-08-19 Synopsys, Inc. Self-aligned via interconnect using relaxed patterning exposure
KR102167351B1 (ko) * 2013-09-27 2020-10-19 인텔 코포레이션 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110147942A1 (en) * 2009-12-18 2011-06-23 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor memory device and semiconductor memory device
CN102646682A (zh) * 2011-02-16 2012-08-22 海力士半导体有限公司 3d结构非易失性存储器件及其制造方法
TW201244007A (en) * 2011-04-11 2012-11-01 Sandisk Technologies Inc 3D vertical NAND and method of making thereof by front and back side processing
TW201304106A (zh) * 2011-06-02 2013-01-16 Micron Technology Inc 包括階梯結構之裝置及其形成之方法
US20130260559A1 (en) * 2012-03-28 2013-10-03 Samsung Electronics Co., Ltd. Methods for forming fine patterns of a semiconductor device

Also Published As

Publication number Publication date
TWI544549B (zh) 2016-08-01
CN110223911B (zh) 2023-06-06
EP3084822A4 (en) 2017-08-30
KR20160098194A (ko) 2016-08-18
US20150171010A1 (en) 2015-06-18
TW201717280A (zh) 2017-05-16
US9236342B2 (en) 2016-01-12
CN105745745A (zh) 2016-07-06
US20160104642A1 (en) 2016-04-14
WO2015094501A1 (en) 2015-06-25
TW201532146A (zh) 2015-08-16
CN105745745B (zh) 2019-06-18
CN110223911A (zh) 2019-09-10
US9553018B2 (en) 2017-01-24
EP3084822A1 (en) 2016-10-26
KR102280070B1 (ko) 2021-07-22

Similar Documents

Publication Publication Date Title
TWI646601B (zh) 積體電路之內連線結構及其製造方法
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
US9793163B2 (en) Subtractive self-aligned via and plug patterning for back end of line (BEOL) interconnects
US9406512B2 (en) Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US20240213095A1 (en) Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
TWI776672B (zh) 利用光刻桶的嵌刻栓塞及突片圖案化以用於後段製程(beol)基於隔層的互連
TW202230621A (zh) 用於半導體晶粒的互連結構的金屬化層、用於製造所述金屬化層的方法、包含所述金屬化層的積體電路結構及包含所述積體電路結構的計算裝置
US11953826B2 (en) Lined photobucket structure for back end of line (BEOL) interconnect formation