TW201521173A - 用於後段製程(beol)互連之減成的自對準通孔及插塞圖案化 - Google Patents

用於後段製程(beol)互連之減成的自對準通孔及插塞圖案化 Download PDF

Info

Publication number
TW201521173A
TW201521173A TW103132260A TW103132260A TW201521173A TW 201521173 A TW201521173 A TW 201521173A TW 103132260 A TW103132260 A TW 103132260A TW 103132260 A TW103132260 A TW 103132260A TW 201521173 A TW201521173 A TW 201521173A
Authority
TW
Taiwan
Prior art keywords
grating
dielectric
lines
layer
plug
Prior art date
Application number
TW103132260A
Other languages
English (en)
Other versions
TWI562317B (en
Inventor
Robert Bristol
Florian Gstrein
Richard E Schenker
Paul A Nyhus
Charles H Wallace
Hui-Jae Yoo
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201521173A publication Critical patent/TW201521173A/zh
Application granted granted Critical
Publication of TWI562317B publication Critical patent/TWI562317B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

描述用於後段製程(BEOL)互連之減成自對準通孔及插塞圖案化。於一範例中,用於積體電路之互連結構包括一配置於基底上方之互連結構的第一層。該第一層包括在第一方向上之交替的金屬線和電介質線之第一光柵。電介質線具有高於金屬線之最上表面的最上表面。互連結構進一步包括配置於互連結構之第一層上方的互連結構之第二層。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之第二光柵。該些電介質線具有低於該些金屬線之最低表面的最低表面。該第二光柵之該些電介質線重疊並接觸,但係不同於該第一光柵之該些電介質線。該第一光柵之該些金屬線被隔開自該第二光柵之該些金屬線。

Description

用於後段製程(BEOL)互連之減成的自對準通孔及插塞圖案化
本發明之實施例屬於半導體結構及處理之領域,且特別地,係有關用於後段製程(BEOL)互連之自對準通孔及插塞圖案化。
於過去數十年,積體電路中之特徵的定標已是不斷成長的半導體工業背後之驅動力。定標越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加生產能力之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。
積體電路通常包括導電微電子結構(其於本技術中已知為通孔),用以將通孔上方之金屬線或其他互連電連接至通孔下方之金屬線或其他互連。通孔通常係由微影程序所形成。代表性地,光抗蝕劑層可被旋塗於電介質層之 上,光抗蝕劑層可通過圖案化遮罩而被暴露至圖案化的光化輻射,且接著暴露層可被顯影以形成開口於光抗蝕劑層中。接下來,用於通孔之開口可藉由使用光抗蝕劑層中之開口為蝕刻遮罩而被蝕刻於電介質層中。此開口被稱為通孔開口。最後,通孔開口可被填充以一或更多金屬或其他導電材料來形成通孔。
過去,通孔之尺寸及間隔已顯著地減少,且預期未來通孔之尺寸及間隔將持續顯著地減少,針對至少某些類型的積體電路(例如,先進微處理器、晶片組組件、圖形晶片,等等)。通孔之尺寸的一種測量是通孔開口之關鍵尺寸。通孔之間隔的一種測量是通孔節距。通孔節距代表介於最接近的相鄰通孔間之中心至中心距離。
當藉由諸如微影程序而以極小的節距來圖案化極小的通孔時,本身即存在數項挑戰,特別當節距是約70奈米(nm)或者更小及/或當通孔開口之關鍵尺寸是約35nm或者更小時。此等挑戰之一在於:通孔與上方互連之間的重疊、以及通孔與下方定位互連之間的重疊通常需被控制達通孔節距的四分之一等級的高容許度。隨著通孔節距尺度越來越小,重疊容許度傾向於以較其微影設備所能夠跟得上的更大速度而隨之縮小。
此等挑戰之另一在於:通孔開口之關鍵尺寸通常傾向於較微影掃描器之解析能力更快地縮小。存在有縮小科技以縮小通孔開口之關鍵尺寸。然而,縮小量常受限於最小通孔節距、以及縮小程序之能力而無法為足夠地免於光學 鄰近校正(OPC),且無法顯著地折衷線寬粗糙度(LWR)及/或關鍵尺寸均勻度(CDU)。
此等挑戰之又另一在於:光抗蝕劑之LWR及/或CDU特性通常需要隨著通孔開口之關鍵尺寸減少而改良以維持關鍵尺寸預算之相同的整體片段。然而,目前大部分光抗蝕劑之LWR及/或CDU特性並未如通孔開口之關鍵尺寸減少般快速地改良。
此等挑戰之再另一在於:極小通孔節距通常傾向為低於甚至極端紫外線(EUV)微影掃描器之解析能力。結果,通常二、三或更多不同的微影遮罩可被使用,其傾向於增加成本。於某點,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用EUV掃描器來列印這些極小節距之通孔開口。
因此,在通孔製造技術之領域中是需要改良的。
100‧‧‧開始結構
102‧‧‧金屬線
104‧‧‧層間電介質(ILD)線
106‧‧‧插塞蓋層
108‧‧‧第一階金屬線
110‧‧‧硬遮罩層
112‧‧‧第二硬遮罩層
114‧‧‧溝槽
116‧‧‧第二ILD線
118‧‧‧開口
120‧‧‧光桶
122‧‧‧通孔位置
124‧‧‧位置
126‧‧‧硬遮罩層
128‧‧‧光桶
130‧‧‧非插塞位置
132‧‧‧區
134‧‧‧開口
136‧‧‧金屬線
138‧‧‧通孔
196,197,198,199‧‧‧接縫
200‧‧‧開始插塞柵格結構
202‧‧‧ILD層
204‧‧‧第一硬遮罩層
206‧‧‧第三硬遮罩層
208‧‧‧第二硬遮罩層
210‧‧‧開口
212‧‧‧光桶
214‧‧‧插塞位置
216‧‧‧插塞
300‧‧‧計算裝置
302‧‧‧電路板
304‧‧‧處理器
306‧‧‧通訊晶片
圖1A-1N闡明其代表一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例,其中:圖1A闡明接續於深金屬線製造後之用於減成通孔及插塞製程的開始點結構;圖1B闡明接續於金屬線之凹陷後的圖1A之結構;圖1C闡明接續於凹陷金屬線之凹陷區中的硬遮罩填充後之圖1B的結構; 圖1D闡明接續於硬遮罩層之沈積及圖案化後的圖1C之結構;圖1E闡明接續於使用圖1D之硬遮罩的圖案所界定的溝槽形成後之圖1D的結構;圖1F闡明接續於圖1E之溝槽中的ILD形成及第二硬遮罩的移除後之圖1E的結構;圖1G闡明接續於其佔據所有可能通孔位置之硬遮罩層的剩餘部分之移除後的圖1F之結構;圖1H闡明接續於所有可能通孔位置中之光桶(photobucket)形成後的圖1G之結構;圖1I闡明接續於通孔位置選擇後之圖1H的結構;圖1J闡明接續於圖1I之開口中的硬遮罩填充後之圖1I的結構;圖1K闡明接續於插塞蓋層之移除及第二複數光桶之形成後的圖1J之結構;圖1L闡明接續於插塞位置選擇後之圖1K的結構;圖1M闡明接續於圖1L之硬遮罩層的移除後之圖1L的結構;以及圖1N闡明接續於金屬線及通孔形成後的圖1M之結構;圖2A-2D闡明其代表一種減成自對準插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例,其中:圖2A闡明開始插塞柵格之平面視圖及相應的橫斷面 視圖; 圖2B闡明接續於光桶填充、曝光及顯影後的圖2A之結構的平面視圖及相應的橫斷面視圖; 圖2C闡明接續於插塞形成後的圖2B之結構的平面視圖及相應的橫斷面視圖;及 圖2D闡明接續於硬遮罩層及剩餘光桶之移除後的圖2C之結構的平面視圖及相應的橫斷面視圖。
圖3闡明一計算裝置,依據本發明之一實施方式。
【發明內容及實施方式】
描述用於後段製程(BEOL)互連之減成自對準通孔及插塞圖案化。於下列描述中,提出多項特定細節,諸如特定集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實施而無這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖形中所示之各個實施例為說明性表示且不一定依比例描繪。
文中所述之一或更多實施例係有關用於自對準通孔及插塞圖案化之減成方式,以及由此所得之結構。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。對於下一世代通孔及插塞圖案化所預期的重疊問題可由文中所述之一或更多方式來處理。
為了提供背景,用於通孔之當前製造技術涉及一種 「盲」製程,其中通孔開口被圖案化於ILD溝槽上方遠處的堆疊中。通孔開口型態被接著蝕刻向下深入溝槽中。重疊誤差會累積並可能造成各種問題,例如,短路至相鄰金屬線。於一範例中,以小於約50奈米節距之特徵的圖案化及對準需要許多標線片及關鍵對準策略,其對於半導體製程而言是極昂貴的。反之,於一實施例中,文中所述之方式致能自對準插塞及/或通孔之製造,顯著地簡化重疊誤差之網,並僅留下一關鍵重疊步驟(Mx+1光柵)。
通常,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔及插塞。接著使用一額外操作以選擇留存哪些通孔及插塞。此等操作可使用「光桶」來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來執行選擇程序。
更明確地,一或更多實施例係有關一種方式,其係利用一種減成技術以形成導電通孔及非導電間隔或者介於金屬之間的中斷(稱為「插塞」)。通孔(依其定義)被用以落在前層金屬圖案上。以此方式,文中所述之實施例致能一種更強韌的互連製造技術,因為不再依賴藉由微影設備之對準。此一互連製造技術可被用以節省許多對準/曝光、可被用以改良電接觸(例如藉由減少通孔電阻)、及可被用以減少總製程操作及處理時間,相較於使用傳統方式以圖案化此等特徵所需要者。
圖1A-1N闡明其代表一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發 明之實施例。於各描述操作之各圖示中,提供一有角度的三維橫斷面視圖。
圖1A闡明接續於深金屬線製造後之用於減成通孔及插塞製程的開始點結構100,依據本發明之實施例。參考圖1A,結構100包括具有中間層間電介質(ILD)線104之金屬線102。ILD線104包括插塞蓋層106。於一實施例中,如底下配合圖1E所更詳細地描述,插塞蓋層106稍後被圖案化以最終地界定用於後續插塞形成之所有可能位置。
於一實施例中,由金屬線102所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1A之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之金屬線。圖案可藉由節距減半或節距減為四分之一方式來製造。亦應理解其某些線102可與下方通孔關聯以便耦合至先前互連層。
於一實施例中,金屬線102係藉由將溝槽圖案化入一具有插塞蓋層106形成於其上之ILD材料(例如,線104之ILD材料)來形成。溝槽接著由金屬來填充且(假如需要的話)被平坦化至插塞蓋層106。於一實施例中,金屬溝槽及填充製程係涉及高的高寬比特徵。例如,於一實施 例中,金屬線高度(h)與金屬線寬度(w)之高寬比約於5-10之範圍中。
於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料(諸如ILD線104之材料)係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的各種低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。
於一實施例中,如亦遍及本說明書所使用者,互連材料(諸如金屬線102之材料)係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如文中所使用者,術語金屬係包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層、不同金屬或合金之堆疊,等等。在本技術互連線有時亦被稱為軌線、佈線、線、金屬、或僅為互連。
於一實施例中,如亦遍及本說明書所使用者,插塞及/或封蓋及/或硬遮罩材料(諸如插塞蓋層106)係由不同於層間電介質材料的電介質材料所組成。於一實施例中,這些材料為犧牲性的,而層間電介質材料被保留於最後結構之至少某處。於某些實施例中,插塞及/或封蓋及 /或硬遮罩材料包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料。於另一實施例中,插塞及/或封蓋及/或硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他插塞及/或封蓋及/或硬遮罩材料層可根據特定實施方式而被使用。插塞及/或封蓋及/或硬遮罩材料層可藉由CVD、PVD、或藉由其他沈積方法而被形成。
應理解其與圖1A關聯而描述的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)之上或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體材料所形成之類似基底。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,圖1A中所描繪之結構可被製造於下方較低階後段製程(BEOL)互連層上。
圖1B闡明接續於金屬線之凹陷後的圖1A之結構,依據本發明之實施例。參考圖1B,金屬線102被選擇性地凹陷以提供第一階金屬線108。該凹陷被選擇性地執行 至ILD線104及插塞蓋層106。該凹陷可藉由透過乾式蝕刻、濕式蝕刻、或其組合之蝕刻來執行。凹陷程度可由第一階金屬線108之目標厚度(th)來決定,以供使用為後段製程(BEOL)互連結構內之適當的導電互連線。
圖1C闡明接續於凹陷金屬線的凹陷區中之硬遮罩填充後的圖1B之結構,依據本發明之實施例。參考圖1C,硬遮罩層110被形成於為了形成第一階金屬線108而凹陷期間所形成的區中。硬遮罩層110可藉由材料沈積及化學機械平坦化(CMP)製程而被形成至插塞蓋層106之位準,或者藉由一種受控制的僅由下而上生長製程。於一特定實施例中,硬遮罩層110係由富含碳之材料所組成。
圖1D闡明接續於硬遮罩層之沈積及圖案化後的圖1C之結構,依據本發明之實施例。參考圖1D,第二硬遮罩層112被形成於硬遮罩層110及插塞蓋層106上或之上。於此一實施例中,第二硬遮罩層112被形成以一正交於第一階金屬線108/ILD線104之光柵圖案的光柵圖案,如圖1D中所示。於一特定實施例中,第二硬遮罩層112係由矽為基的抗反射塗敷材料所組成。於一實施例中,由第二硬遮罩層112所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1D的第二硬遮罩層112之光柵 狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。
圖1E闡明接續於使用圖1D之硬遮罩的圖案所界定的溝槽形成後之圖1D的結構,依據本發明之實施例。參考圖1E,硬遮罩層110及插塞蓋層106之暴露區(亦即,未被112所保護者)被蝕刻以形成溝槽114。蝕刻係停止在(且因而暴露)第一階金屬線108及ILD線104之頂部表面上。
圖1F闡明接續於圖1E之溝槽中的ILD形成及第二硬遮罩的移除後之圖1E的結構,依據本發明之實施例。參考圖1F,第二ILD線116被形成於圖1E之溝槽114中。於一實施例中,可流動的ILD材料被用以填充溝槽114。於一實施例中,溝槽114被填充且填充材料被接著平坦化。平坦化可進一步被用以移除第二硬遮罩層112、再暴露硬遮罩層110及插塞蓋層106,如圖1F中所示者。
再次參考圖1F,於一實施例中,所得結構包括均勻的ILD結構(ILD線104+ILD線116)。所有可能插塞之位置係由插塞蓋層106之剩餘部分所佔據,而所有可能通孔位置係由硬遮罩層110之剩餘部分所佔據。於此一實施例中,ILD線104及ILD線116係由相同材料所組成。於另一此實施例中,ILD線104及ILD線116係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線104與ILD線116 的材料之間的接縫等區別。再者,於一實施例中,並無其中ILD線104與ILD線116相遇之明顯的蝕刻停止層,不同於傳統單或雙金屬鑲嵌圖案化。
圖1G闡明接續於其佔據所有可能通孔位置之硬遮罩層的剩餘部分之移除後的圖1F之結構,依據本發明之實施例。參考圖1G,硬遮罩層110之剩餘部分被選擇性地移除以形成用於所有可能通孔位置之開口118。於此一實施例中,硬遮罩層110係實質上由碳所組成且係以灰製程而被選擇性地移除。
圖1H闡明接續於所有可能通孔位置中之光桶形成後的圖1G之結構,依據本發明之實施例。參考圖1H,光桶120被形成於第一階金屬線108之暴露部分上方的所有可能通孔位置中。於一實施例中,圖1G之開口118被填充以超高速光抗蝕劑或電子束抗蝕劑或其他光敏材料。於此一實施例中,進入開口118之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,光桶120係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解其光桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。
圖1I闡明接續於通孔位置選擇後的圖1H之結構,依據本發明之實施例。參考圖1I,在選擇通孔位置時來自圖1H之光桶120被移除。於其中通孔未被選擇來形成之位置中,光桶120被留存、轉換為永久ILD材料、或者取代 以永久ILD材料。舉例而言,圖1I闡明通孔位置122,以相應的光桶120被移除以暴露第一階金屬線108之一的一部分。先前由光桶120所佔據之其他位置現在被顯示為圖1I中之區124。位置124未被選擇於通孔形成並取代地形成部份最後的ILD結構。於一實施例中,光桶120之材料被留存於位置124中而成為最後ILD材料。於另一實施例中,光桶120之材料被修改(例如,藉由交聯)於位置124中以形成最後ILD材料。於又另一實施例中,位置124中之光桶120的材料被取代以最後ILD材料。
再次參考圖1H,為了形成通孔位置122,微影被使用以暴露相應的光桶120。然而,微影限制可被釋放且失準容許度可能很高,因為光桶120係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30mJ/cm2,這樣的光桶可被曝光以(例如)3mJ/cm2。通常此將導致極差的CD控制及粗糙度。但於此例中,CD及粗糙度控制將由光桶120所界定,其可被極佳地控制及界定。因此,光桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。
再次參考圖1I,於一實施例中,所得結構包括均勻的ILD結構(ILD 124+ILD線104+ILD線116)。於此一實施例中,ILD 124、ILD線104及ILD線116之兩者或全部係由相同材料所組成。於另一此實施例中,ILD 124、ILD線104及ILD線116係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中 觀察到諸如介於ILD 124與ILD線104的材料之間的接縫(例如,接縫197)及/或介於ILD 124與ILD線116的材料之間的接縫(例如,接縫198)等區別。
圖1J闡明接續於圖1I之開口中之硬遮罩填充後的圖1I之結構,依據本發明之實施例。參考圖1J,硬遮罩層126被形成於通孔位置122中以及於ILD位置124之上。硬遮罩層126可藉由沈積及後續的化學機械平坦化而被形成。
圖1K闡明接續於插塞蓋層之移除及第二複數光桶之形成後的圖1J之結構,依據本發明之實施例。參考圖1K,插塞蓋層106被移除,例如,藉由選擇性蝕刻製程。光桶128被接著形成於ILD線104之暴露部分上方的所有可能插塞位置中。於一實施例中,於插塞蓋層106的移除時所形成之開口被填充以超高速光抗蝕劑或電子束抗蝕劑或其他光敏材料。於此一實施例中,進入開口之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,光桶128係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解其光桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。
圖1L闡明接續於插塞位置選擇後的圖1K之結構,依據本發明之實施例。參考圖1L,非在選擇插塞位置中來自圖1K之光桶128被移除。於其中插塞被選擇來形成之位置中,光桶128被留存、轉換為永久ILD材料、或者 取代以永久ILD材料。舉例而言,圖1L闡明非插塞位置130,以相應的光桶128被移除以暴露ILD線104之一部分。先前由光桶128所佔據之其他位置現在被顯示為圖1L中之區132。區132被選擇於插塞形成並形成最後ILD結構之部分。於一實施例中,相應光桶128之材料被留存於區132中而成為最後ILD材料。於另一實施例中,光桶128之材料被修改(例如,藉由交聯)於區132中以形成最後ILD材料。於又另一實施例中,區132中之光桶128的材料被取代以最後ILD材料。於任何情況下,區132亦可被稱為插塞132。
再次參考圖1L,為了形成開口130,微影被使用以暴露相應的光桶128。然而,微影限制可被釋放且失準容許度可能很高,因為光桶128係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30mJ/cm2,此類光桶可被曝光以(例如)3mJ/cm2。通常此將導致極差的CD控制及粗糙度。但於此例中,CD及粗糙度控制將由光桶128所界定,其可被極佳地控制及界定。因此,光桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。
再次參考圖1L,於一實施例中,所得結構包括均勻的ILD結構(插塞132+ILD 124+ILD線104+ILD線116)。於此一實施例中,插塞132、ILD 124、ILD線104及ILD線116之二或更多者係由相同材料所組成。於另一此實施例中,插塞132、ILD 124、ILD線104及ILD 線116係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於插塞132與ILD線104的材料之間的接縫(例如,接縫199)及/或介於插塞132與ILD線116的材料之間的接縫(例如,接縫196)等區別。
圖1M闡明接續於圖1L的硬遮罩層之移除後的圖1L之結構,依據本發明之實施例。參考圖1M,硬遮罩層126被選擇性地移除以形成金屬線及通孔開口134。於此一實施例中,硬遮罩層126係實質上由碳所組成且係以灰製程而被選擇性地移除。
圖1N闡明接續於金屬線及通孔形成後的圖1M之結構,依據本發明之實施例。參考圖1N,金屬線134及通孔(顯示為138之一者)被形成於圖1M之開口134的金屬填充上。金屬線136係藉由通孔138而被耦合至下方金屬線108且藉由插塞132而被中斷。於一實施例中,開口134被填充以金屬鑲嵌方式,其中金屬被用以過填充開口且被接著平坦化以提供圖1N中所示之結構。因此,於上述方式中用以形成金屬線及通孔之金屬(例如,銅及相關的障壁和種子層)沈積及平坦化製程可為典型地用於標準後段製程(BEOL)單或雙金屬鑲嵌處理者。於一實施例中,於後續製造操作中,ILD線116可被移除以提供介於所得金屬線136之間的空氣間隙。
圖1N之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖1N之結構可代表積體電 路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。再者,用以選擇哪些插塞及通孔之ILD將可能是極不同於主要ILD且將被完美地自對準於兩方向上。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其必須另被容許)之偏差不會是文中所述之所得結構的因素。再次參考圖1N,接著,藉由減成方式之自對準製造可完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。
上述製程已說明涉及深溝槽蝕刻之使用的製程流。於另一形態中,較淺的方式係涉及僅有插塞的自對準減成處理技術。舉例而言,圖2A-2D闡明其代表一種減成自對準插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各所述操作之各闡明中,平面視圖被顯示於頂部,而相應的橫斷面視圖被顯示於底部。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。
圖2A闡明針對一開始插塞柵格之平面視圖及相應的 橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),開始插塞柵格結構200包括ILD層202,具有第一硬遮罩層204配置於其上。第二硬遮罩層208被配置於第一硬遮罩層204上且被圖案化以具有光柵結構。第三硬遮罩層206被配置於第二硬遮罩層208上以及第一硬遮罩層204上。此外,開口210保留於第二硬遮罩層208與第三硬遮罩層206的光柵結構之間。
圖2B闡明接續於光桶填充、曝光及顯影後的圖2A之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),光桶212被形成於圖2A之開口210中。之後,選定的光桶被曝光並移除以提供選定的插塞位置214,如圖2B中所示。
圖2C闡明接續於插塞形成後的圖2B之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),插塞216被形成於圖2B之開口214中。於一實施例中,插塞216係藉由旋塗上方式及/或沈積和蝕刻回方式而被形成。
圖2D闡明接續於硬遮罩層及剩餘光桶之移除後的圖2C之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),第三硬遮罩層206被移 除,留下第二硬遮罩層208及插塞216。所得圖案(第二硬遮罩層208及插塞216)可接著被使用以圖案化硬遮罩層204以供ILD層202之最終圖案化。於一實施例中,第三硬遮罩層206係實質上由碳所組成且係藉由執行灰製程而被移除。
因此,圖2D之結構可接著被使用為用以形成ILD線及插塞圖案之基礎。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構係致能自對準插塞之製造。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其必須另被容許)之偏差不會是文中所述之所得結構的因素。
文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排或其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。
圖3闡明一計算裝置300,依據本發明之一實施方 式。計算裝置300含有電路板302。電路板302可包括數個組件,包括(但不限定於)處理器304及至少一通訊晶片306。處理器304被實體地及電氣地耦合至電路板302。於某些實施方式中,至少一通訊晶片306亦被實體地及電氣地耦合至電路板302。於進一步實施方式中,通訊晶片306為處理器304之部分。
根據其應用,計算裝置300可包括其他組件,其可被或可不被實體地及電氣地耦合至電路板302。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片306致能無線通訊,以供資料之轉移至及自計算裝置300。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片306可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置300可包括複數通訊晶片306。例如,第一通訊晶片306可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片306可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置300之處理器304包括封裝於處理器304內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的自對準通孔及插塞。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片306亦包括封裝於通訊晶片306內之積體電路晶粒。依據本發明之另一實施方式,通訊晶片之積體電路晶粒包括一或更多結構,諸如依據本發明之實施方式而建造的自對準通孔及插塞。
於進一步實施方式中,計算裝置300內所包括之另一組件可含有積體電路晶粒,其包括一或更多結構,諸如依據本發明之實施方式而建造的自對準通孔及插塞。
於各種實施方式中,計算裝置300可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入 板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置300可為處理資料之任何其他電子裝置。
因此,本發明之實施例包括用於後段製程(BEOL)互連之減成自對準通孔及插塞圖案化。
於一實施例中,用於積體電路之互連結構包括一配置於基底上方之互連結構的第一層。該第一層包括在第一方向上之交替的金屬線和電介質線之第一光柵。電介質線具有高於金屬線之最上表面的最上表面。互連結構進一步包括配置於互連結構之第一層上方的互連結構之第二層。該第二層包括在垂直於該第一方向的第二方向上之交替的金屬線和電介質線之第二光柵。該些電介質線具有低於該些金屬線之最低表面的最低表面。該第二光柵之該些電介質線重疊並接觸,但係不同於該第一光柵之該些電介質線。該第一光柵之該些金屬線被隔開自該第二光柵之該些金屬線。
於一實施例中,該互連結構進一步包括配置於該第一光柵之金屬線與該第二光柵之金屬線之間並將其耦合的導電通孔。該導電通孔與該第一光柵之電介質線的一部分及該第二光柵之電介質線的一部分係直接鄰接且位於相同平面中。
於一實施例中,該導電通孔具有一中心,其係與該第 一光柵之該金屬線的中心以及該第二光柵之該金屬線的中心直接對準。
於一實施例中,該第一光柵之該些電介質線係由第一電介質材料所組成,而該第二光柵之該些電介質線係由第二、不同的電介質材料所組成。
於一實施例中,該第一光柵之該些電介質線及該第二光柵之該些電介質線係由相同的電介質材料所組成。
於一實施例中,該第二光柵之金屬線係藉由插塞而被中斷,該插塞具有與該第一光柵之電介質線的中心直接對準的中心,該插塞係由第一電介質材料所組成。該插塞係不同於(但接觸與)該第一光柵之該電介質線及該第二光柵之該電介質線。
於一實施例中,該第一光柵之該些電介質線係由第二電介質材料所組成,而該第二光柵之該些電介質線係由第三電介質材料所組成,該第一電介質材料、該第二電介質材料、及該第三電介質材料均不相同。
於一實施例中,該第一光柵之該些電介質線係由第二電介質材料所組成,而該第二光柵之該些電介質線係由第三電介質材料所組成,該第一電介質材料、該第二電介質材料、及該第三電介質材料之二或更多者是相同的。
於一實施例中,該互連結構進一步包括配置於該第一光柵之金屬線與該第二光柵之金屬線之間並將其接觸的電介質區。該電介質區與該第一光柵之電介質線的一部分及該第二光柵之電介質線的一部分係直接鄰接且位於相同平 面中。
於一實施例中,該電介質區係由第一電介質材料所組成,該第一光柵之該些電介質線係由第二電介質材料所組成,而該第二光柵之該些電介質線係由第三電介質材料所組成,該第一電介質材料、該第二電介質材料、及該第三電介質材料均不相同。
於一實施例中,該電介質區係由第一電介質材料所組成,該第一光柵之該些電介質線係由第二電介質材料所組成,而該第二光柵之該些電介質線係由第三電介質材料所組成,該第一電介質材料、該第二電介質材料、及該第三電介質材料之二或更多者是相同的。
於一實施例中,一種製造用於積體電路之互連結構的方法涉及提供由具有第一方向之交替金屬線和電介質線第一光柵所組成的金屬化結構。該第一光柵之各電介質線具有一其上有插塞蓋層之頂部表面,且該第一光柵之各金屬線係基本上與該插塞蓋層之該頂部共面。該方法亦涉及使該第一光柵之該些金屬線凹陷低於該第一光柵之該些電介質線的該頂部表面,以及形成凹陷於該第一光柵之該些金屬線上方。該方法亦涉及形成第一硬遮罩層於該金屬線上方之該些凹陷中,該第一硬遮罩層基本上與該插塞蓋層之該頂部共面。該方法亦涉及形成第二硬遮罩層於該插塞蓋層及該第一硬遮罩層上方,該第二硬遮罩層具有第二光柵於一垂直於該第一方向之第二方向上。該方法亦涉及藉由將其由該第二硬遮罩層所暴露之該插塞蓋層及該第一硬遮 罩層的部分移除來形成溝槽。該方法亦涉及形成電介質層於該些溝槽中以提供電介質線之第三光柵於該第二方向上。該方法亦涉及移除該第二硬遮罩層及該第一硬遮罩層之剩餘部分。該方法亦涉及界定一或更多導電通孔位置於該第一光柵之該些凹陷金屬線的暴露部分上方。該方法亦涉及界定一或更多插塞位置於該插塞蓋層之區中。該方法亦涉及形成金屬線之第四光柵於該第二方向上,介於該第三光柵的該些電介質線之間並位於該第一光柵上方。
於一實施例中,界定該些一或更多通孔位置涉及形成複數光桶及暴露該些複數光桶之一或更多者。
於一實施例中,形成金屬線之該第四光柵進一步涉及形成一或更多導電通孔於該些相應的一或更多導電通孔位置中。該些導電通孔之一被配置於該第一光柵之金屬線與該第四光柵之金屬線之間並將其耦合。該導電通孔與該第一光柵之電介質線的一部分及該第三光柵之電介質線的一部分係直接鄰接且位於相同平面中。
於一實施例中,該些導電通孔之該一者具有一中心,其係與該第一光柵之該金屬線的中心以及該第四光柵之該金屬線的中心直接對準。
於一實施例中,界定該些一或更多插塞位置於該插塞蓋層之區中涉及移除該插塞蓋層,及接著,形成複數光桶並暴露該些複數光桶之一或更多者。
於一實施例中,該方法進一步涉及,接續於暴露該些複數光桶之一或更多者後,以電介質插塞取代該些複數光 桶之該一或更多者。第四光柵之金屬線係由該電介質插塞所中斷。該電介質插塞具有一與該第一光柵之電介質線的中心直接對準的中心。
於一實施例中,該第一光柵之該些電介質線係由第一電介質材料所組成,而該第三光柵之該些電介質線係由第二、不同的電介質材料所組成。
於一實施例中,該第一光柵之該些電介質線及該第三光柵之該些電介質線係由相同的電介質材料所組成。
於一實施例中,一種製造用於積體電路製造之層間電介質(ILD)線及插塞圖案化遮罩的方法涉及形成第一硬遮罩層於ILD材料層上方,該第一硬遮罩層具有第一光柵於第一方向上。該方法亦涉及形成第二硬遮罩層於該ILD材料層及該第一硬遮罩層上方,該第二硬遮罩層具有第二光柵於一垂直於該第一方向之第二方向上。該方法亦涉及形成複數光桶於該第一與第二光柵之間的開口中。該方法亦涉及暴露並移除該些複數光桶之一或更多者以形成一或更多相應的插塞位置。該方法亦涉及形成第三硬遮罩層於該些一或更多相應的插塞位置中。該方法亦涉及移除剩餘的光桶及該第二硬遮罩層以形成該些ILD線及插塞圖案化遮罩。
於一實施例中,該方法進一步涉及藉由蝕刻製程以將該些ILD線及插塞圖案化遮罩之該圖案轉移入該ILD材料層。
於一實施例中,該方法進一步涉及,在形成該第一硬 遮罩層之前,形成第四硬遮罩層於該ILD材料層上。該第一硬遮罩層被形成於該第四硬遮罩層上,而將該些ILD線及插塞圖案化遮罩之該圖案轉移入該ILD材料層涉及首先將該些ILD線及插塞圖案化遮罩之該圖案轉移入該第四硬遮罩層。
於一實施例中,該第二硬遮罩層係由碳所組成,以及移除該第二硬遮罩層涉及使用灰製程。
100‧‧‧開始結構
102‧‧‧金屬線
104‧‧‧層間電介質(ILD)線
106‧‧‧插塞蓋層

Claims (24)

  1. 一種用於積體電路之互連結構,該互連結構包含:配置於基底上方之該互連結構的第一層,該第一層包含於第一方向之交替金屬線和電介質線的第一光柵,其中該些電介質線具有較該些金屬線之最上表面更高的最上表面;及配置於該互連結構之該第一層上方的該互連結構之第二層,該第二層包含於一垂直於該第一方向的第二方向之交替金屬線和電介質線的第二光柵,其中該些電介質線具有較該些金屬線之最低表面更低的最低表面,其中該第二光柵之該些電介質線重疊並接觸該第一光柵之該些電介質線但不同於該第一光柵之該些電介質線,及其中該第一光柵之該些金屬線被隔開自該第二光柵之該些金屬線。
  2. 如申請專利範圍第1項之互連結構,進一步包含:配置於該第一光柵之金屬線與該第二光柵之金屬線之間並將其耦合的導電通孔,該導電通孔與該第一光柵之電介質線的一部分及該第二光柵之電介質線的一部分係直接鄰接且位於相同平面中。
  3. 如申請專利範圍第2項之互連結構,其中該導電通孔具有一中心,其係與該第一光柵之該金屬線的中心以及該第二光柵之該金屬線的中心直接對準。
  4. 如申請專利範圍第1項之互連結構,其中該第一 光柵之該些電介質線包含第一電介質材料,而該第二光柵之該些電介質線包含第二、不同的電介質材料。
  5. 如申請專利範圍第1項之互連結構,其中該第一光柵之該些電介質線與該第二光柵之該些電介質線包含相同的電介質材料。
  6. 如申請專利範圍第1項之互連結構,其中該第二光柵之金屬線係藉由插塞而被中斷,該插塞具有與該第一光柵之電介質線的中心直接對準的中心,該插塞包含第一電介質材料,其中該插塞係不同於(但接觸與)該第一光柵之該電介質線及該第二光柵之電介質線。
  7. 如申請專利範圍第6項之互連結構,其中該第一光柵之該些電介質線包含第二電介質材料,而該第二光柵之該些電介質線包含第三電介質材料,及其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均不相同。
  8. 如申請專利範圍第6項之互連結構,其中該第一光柵之該些電介質線包含第二電介質材料,而該第二光柵之該些電介質線包含第三電介質材料,及其中該第一電介質材料、該第二電介質材料、及該第三電介質材料之二或更多者是相同的。
  9. 如申請專利範圍第1項之互連結構,進一步包含:配置於該第一光柵之金屬線與該第二光柵之金屬線之間並與其接觸的電介質區,該電介質區與該第一光柵之電 介質線的一部分及該第二光柵之電介質線的一部分係直接鄰接且位於相同平面中。
  10. 如申請專利範圍第9項之互連結構,其中該電介質區包含第一電介質材料,該第一光柵之該些電介質線包含第二電介質材料,而該第二光柵之該些電介質線包含第三電介質材料,及其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均不相同。
  11. 如申請專利範圍第9項之互連結構,其中該電介質區包含第一電介質材料,該第一光柵之該些電介質線包含第二電介質材料,而該第二光柵之該些電介質線包含第三電介質材料,及其中該第一電介質材料、該第二電介質材料、及該第三電介質材料之二或更多者是相同的。
  12. 一種製造用於積體電路之互連結構的方法,該方法包含:提供包含具有第一方向之第一光柵的交替金屬線和電介質線之金屬化結構,該第一光柵之各電介質線具有一其上有插塞蓋層之頂部表面,其中該第一光柵之各金屬線係基本上與該插塞蓋層之該頂部共面;使該第一光柵之該些金屬線凹陷低於該第一光柵之該些電介質線的該頂部表面,以及形成凹陷於該第一光柵之該些金屬線上方;形成第一硬遮罩層於該金屬線上方之該些凹陷中,該第一硬遮罩層基本上與該插塞蓋層之該頂部共面;形成第二硬遮罩層於該插塞蓋層及該第一硬遮罩層上 方,該第二硬遮罩層具有於一垂直於該第一方向之第二方向上的第二光柵;藉由將其由該第二硬遮罩層所暴露之該插塞蓋層及該第一硬遮罩層的部分移除來形成溝槽;形成電介質層於該些溝槽中以提供電介質線之第三光柵於該第二方向上;移除該第二硬遮罩層及該第一硬遮罩層之剩餘部分;界定一或更多導電通孔位置於該第一光柵之該些凹陷金屬線的暴露部分上方;界定一或更多插塞位置於該插塞蓋層之區中;及形成金屬線之第四光柵於該第二方向上,介於該第三光柵的該些電介質線之間並位於該第一光柵上方。
  13. 如申請專利範圍第12項之方法,其中界定該些一或更多通孔位置包含形成複數光桶及暴露該些複數光桶之一或更多者。
  14. 如申請專利範圍第12項之方法,其中形成金屬線之該第四光柵進一步包含形成一或更多導電通孔於該些相應的一或更多導電通孔位置中,其中該些導電通孔之一被配置於該第一光柵之金屬線與該第四光柵之金屬線之間並將其耦合,該導電通孔與該第一光柵之電介質線的一部分及該第三光柵之電介質線的一部分係直接鄰接且位於相同平面中。
  15. 如申請專利範圍第14項之方法,其中該些導電通孔之該一者具有一中心,其係與該第一光柵之該金屬線 的中心以及該第四光柵之該金屬線的中心直接對準。
  16. 如申請專利範圍第12項之方法,其中界定該些一或更多插塞位置於該插塞蓋層之區中包含移除該插塞蓋層,及接著,形成複數光桶並暴露該些複數光桶之一或更多者。
  17. 如申請專利範圍第16項之方法,進一步包含:接續於暴露該些複數光桶之一或更多者後,以電介質插塞取代該些複數光桶之該一或更多者,其中該第四光柵之金屬線係由該電介質插塞所中斷,該電介質插塞具有一與該第一光柵之電介質線的中心直接對準的中心。
  18. 如申請專利範圍第16項之方法,進一步包含:接續於暴露該些複數光桶之一或更多者後,將該些複數光桶之該一或更多者轉換為電介質插塞,其中該第四光柵之金屬線係由該電介質插塞所中斷,該電介質插塞具有一與該第一光柵之電介質線的中心直接對準的中心。
  19. 如申請專利範圍第12項之方法,其中該第一光柵之該些電介質線包含第一電介質材料,而該第三光柵之該些電介質線包含第二、不同的電介質材料。
  20. 如申請專利範圍第12項之互連結構,其中該第一光柵之該些電介質線與該第三光柵之該些電介質線包含相同的電介質材料。
  21. 一種製造用於積體電路製造之層間電介質(ILD)線及插塞圖案化遮罩的方法,該方法包含:形成第一硬遮罩層於ILD材料層上方,該第一硬遮罩 層具有於第一方向上之第一光柵;形成第二硬遮罩層於該ILD材料層及該第一硬遮罩層上方,該第二硬遮罩層具有於一垂直於該第一方向之第二方向上的第二光柵;形成複數光桶於該第一與第二光柵之間的開口中;暴露並移除該些複數光桶之一或更多者以形成一或更多相應的插塞位置;形成第三硬遮罩層於該些一或更多相應的插塞位置中;及移除剩餘的光桶及該第二硬遮罩層以形成該些ILD線及插塞圖案化遮罩。
  22. 如申請專利範圍第21項之方法,進一步包含:藉由蝕刻製程以將該些ILD線及插塞圖案化遮罩之該圖案轉移入該ILD材料層。
  23. 如申請專利範圍第22項之方法,進一步包含:在形成該第一硬遮罩層之前,形成第四硬遮罩層於該ILD材料層上,其中該第一硬遮罩層被形成於該第四硬遮罩層上,及其中將該些ILD線及插塞圖案化遮罩之該圖案轉移入該ILD材料層包含首先將該些ILD線及插塞圖案化遮罩之該圖案轉移入該第四硬遮罩層。
  24. 如申請專利範圍第21項之方法,其中該第二硬遮罩層包含碳,及其中移除該第二硬遮罩層包含使用灰製程。
TW103132260A 2013-09-27 2014-09-18 Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects TWI562317B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/062319 WO2015047318A1 (en) 2013-09-27 2013-09-27 Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects

Publications (2)

Publication Number Publication Date
TW201521173A true TW201521173A (zh) 2015-06-01
TWI562317B TWI562317B (en) 2016-12-11

Family

ID=52744218

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103132260A TWI562317B (en) 2013-09-27 2014-09-18 Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects

Country Status (6)

Country Link
US (1) US9793163B2 (zh)
EP (1) EP3050087B1 (zh)
KR (1) KR102167351B1 (zh)
CN (1) CN105493250B (zh)
TW (1) TWI562317B (zh)
WO (1) WO2015047318A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
WO2016209293A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
US10490416B2 (en) 2015-11-16 2019-11-26 Intel Corporation Structures and methods for improved lithographic processing
US10770291B2 (en) * 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
US10867853B2 (en) * 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10811351B2 (en) 2016-09-26 2020-10-20 Intel Corporation Preformed interlayer connections for integrated circuit devices
WO2018063323A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Via & plug architectures for integrated circuit interconnects & methods of manufacture
WO2018063330A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Plug & trench architectures for integrated circuits & methods of manufacture
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
JP6923277B2 (ja) 2016-12-23 2021-08-25 インテル・コーポレーション 高度なリソグラフィおよび自己組織化デバイス
WO2018125109A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Subtractive plug etching
EP3401948B1 (en) * 2017-05-10 2019-12-11 IMEC vzw A method for patterning a target layer
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
JP7348441B2 (ja) 2018-04-03 2023-09-21 東京エレクトロン株式会社 完全自己整合方式を使用するサブトラクティブ相互接続形成
US10192780B1 (en) 2018-05-29 2019-01-29 Globalfoundries Inc. Self-aligned multiple patterning processes using bi-layer mandrels and cuts formed with block masks
US10727124B2 (en) 2018-10-29 2020-07-28 International Business Machines Corporation Structure and method for forming fully-aligned trench with an up-via integration scheme
EP3671821A1 (en) * 2018-12-19 2020-06-24 IMEC vzw Interconnection system of an integrated circuit
US11205588B2 (en) 2019-07-10 2021-12-21 International Business Machines Corporation Interconnect architecture with enhanced reliability
US11322402B2 (en) 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US10978343B2 (en) 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
US11404317B2 (en) * 2019-09-24 2022-08-02 International Business Machines Corporation Method for fabricating a semiconductor device including self-aligned top via formation at line ends
US11094580B2 (en) 2019-10-01 2021-08-17 International Business Machines Corporation Structure and method to fabricate fully aligned via with reduced contact resistance
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11444029B2 (en) 2020-02-24 2022-09-13 International Business Machines Corporation Back-end-of-line interconnect structures with varying aspect ratios
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
US11328954B2 (en) 2020-03-13 2022-05-10 International Business Machines Corporation Bi metal subtractive etch for trench and via formation
US11410879B2 (en) 2020-04-07 2022-08-09 International Business Machines Corporation Subtractive back-end-of-line vias
US11270913B2 (en) 2020-04-28 2022-03-08 International Business Machines Corporation BEOL metallization formation
US11495538B2 (en) 2020-07-18 2022-11-08 International Business Machines Corporation Fully aligned via for interconnect
US11302637B2 (en) 2020-08-14 2022-04-12 International Business Machines Corporation Interconnects including dual-metal vias
EP3982399A1 (en) 2020-10-06 2022-04-13 Imec VZW A method for producing an interconnect via
US11315872B1 (en) 2020-12-10 2022-04-26 International Business Machines Corporation Self-aligned top via
US11682617B2 (en) 2020-12-22 2023-06-20 International Business Machines Corporation High aspect ratio vias for integrated circuits
US11688636B2 (en) 2021-06-18 2023-06-27 International Business Machines Corporation Spin on scaffold film for forming topvia
US11876047B2 (en) 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW483069B (en) 2000-09-13 2002-04-11 Chartered Semiconductor Mfg Laser curing of spin-on dielectric thin films
US7268486B2 (en) * 2002-04-15 2007-09-11 Schott Ag Hermetic encapsulation of organic, electro-optical elements
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
US7917879B2 (en) * 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8299622B2 (en) 2008-08-05 2012-10-30 International Business Machines Corporation IC having viabar interconnection and related method
US8435851B2 (en) * 2011-01-12 2013-05-07 International Business Machines Corporation Implementing semiconductor SoC with metal via gate node high performance stacked transistors
US8614144B2 (en) 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
CN102709180A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种铝薄膜的制备工艺
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects

Also Published As

Publication number Publication date
CN105493250B (zh) 2018-12-18
KR20160064074A (ko) 2016-06-07
EP3050087A1 (en) 2016-08-03
CN105493250A (zh) 2016-04-13
US9793163B2 (en) 2017-10-17
EP3050087B1 (en) 2020-04-29
US20160197011A1 (en) 2016-07-07
TWI562317B (en) 2016-12-11
WO2015047318A1 (en) 2015-04-02
KR102167351B1 (ko) 2020-10-19
EP3050087A4 (en) 2017-07-26

Similar Documents

Publication Publication Date Title
TWI544549B (zh) 積體電路之內連線結構及其製造方法
TW201521173A (zh) 用於後段製程(beol)互連之減成的自對準通孔及插塞圖案化
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
TWI550798B (zh) 用於積體電路之互連結構及製造用於積體電路之互連結構的方法
US11854882B2 (en) Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
TWI776672B (zh) 利用光刻桶的嵌刻栓塞及突片圖案化以用於後段製程(beol)基於隔層的互連
TW201733007A (zh) 用於圖案化後段(beol)互連之金屬線端的方法
US11953826B2 (en) Lined photobucket structure for back end of line (BEOL) interconnect formation