JP6923277B2 - 高度なリソグラフィおよび自己組織化デバイス - Google Patents

高度なリソグラフィおよび自己組織化デバイス Download PDF

Info

Publication number
JP6923277B2
JP6923277B2 JP2019527458A JP2019527458A JP6923277B2 JP 6923277 B2 JP6923277 B2 JP 6923277B2 JP 2019527458 A JP2019527458 A JP 2019527458A JP 2019527458 A JP2019527458 A JP 2019527458A JP 6923277 B2 JP6923277 B2 JP 6923277B2
Authority
JP
Japan
Prior art keywords
layer
integrated circuit
line
metal
view
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019527458A
Other languages
English (en)
Other versions
JP2020515029A (ja
Inventor
イー. シェンカー、リチャード
イー. シェンカー、リチャード
エル. ブリストル、ロバート
エル. ブリストル、ロバート
グストレイン、フローリアン
エル. リン、ケヴィン
エル. リン、ケヴィン
エム. ブラックウェル、ジェームス
エム. ブラックウェル、ジェームス
クライサク、マリー
チャンホック、マニシュ
エー. ニフス、ポール
エー. ニフス、ポール
エイチ. ウォーレス、チャールズ
エイチ. ウォーレス、チャールズ
ダブリュー. ワード、カーティス
ダブリュー. ワード、カーティス
シヴァクマー、スワミナタン
エヌ. タン、エリオット
エヌ. タン、エリオット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2020515029A publication Critical patent/JP2020515029A/ja
Priority to JP2021122723A priority Critical patent/JP7251040B2/ja
Application granted granted Critical
Publication of JP6923277B2 publication Critical patent/JP6923277B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本開示の実施形態は、半導体デバイスおよび処理の分野に関し、特に、サブ10nmピッチのパターニングおよび自己組織化デバイスに関する。
過去数十年の間、集積回路におけるフィーチャのスケーリングは、成長を続ける半導体産業の原動力となってきた。ますます小さくなるフィーチャにスケーリングすることによって、半導体チップの限られたリアルエステート上における機能ユニットの高密度化が可能になる。例えば、トランジスタサイズを縮小すると、チップ上のメモリまたは論理デバイスの数を増やすことが可能になり、容量の大きい製品を製造するのに役立つ。しかし、ますます大容量化を推進することに問題がないわけではない。各デバイスの性能を最適化する必要性はますます重要になっている。
従来の製造プロセスおよび現在知られている製造プロセスにおけるばらつきは、それらをさらにサブ10nmの範囲に拡大する可能性を制限することがある。その結果、将来の技術ノードに必要な機能構成要素の製造は、現在の製造プロセスまたは現在の製造プロセスの代わりに新しい方法論の導入または新しい技術の統合を必要とする可能性がある。
層間誘電体(ILD)層上に形成されたハードマスク材料層の、堆積後であるがパターニング前の、開始構造の断面図である。
ピッチ半減によるハードマスク層のパターニング後の図1Aの構造の断面図である。
係数6のピッチ分割を含むスペーサベースの6重パターニング(SBSP)処理方式における断面図である。
係数9のピッチ分割を含むスペーサベースの9重パターニング(SBNP)処理方式における断面図である。
本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。 本開示の一実施形態による、非平面半導体デバイスを製造する方法における工程の断面図である。
本開示の一実施形態による、複数のフィンの上側部分を露出させた後の図4Nの構造を示す図である。
本開示の一実施形態による、非平面半導体デバイスの断面図である。
本開示の一実施形態による、図6Aの半導体デバイスのa−a'軸線に沿った平面図である。
本開示の実施形態による、半導体層のための非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の断面図である。 本開示の実施形態による、半導体層のための非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の断面図である。
本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。 本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における工程を表す断面図である。
本開示の一実施形態による、ビアおよびプラグのパターニング後の例示的な構造の断面図である。 本開示の一実施形態による、ビアおよびプラグのパターニング後の例示的な構造の断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における工程を表す集積回路層の一部の斜視断面図である。
本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における工程を表す斜視断面図である。 本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における工程を表す斜視断面図である。 本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における工程を表す斜視断面図である。
本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における工程を表す斜視断面図である。
本開示の別の実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する別の方法における工程を表す斜視断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを示す図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図および対応する断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における工程を表す平面図および対応する断面図である。
本開示の一実施形態による、金属ライン、ビアおよびプラグを形成した後の自己整合ビア構造の平面図および対応する断面図である。
本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の別の実施形態による、サブトラクティブ自己整合プラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の別の実施形態による、サブトラクティブ自己整合プラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の別の実施形態による、サブトラクティブ自己整合プラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の別の実施形態による、サブトラクティブ自己整合プラグパターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む方法における工程を表す集積回路層の一部の断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における工程を表す集積回路層の一部の断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における工程を表す平面図および対応する斜視図と断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における工程を表す平面図および対応する斜視断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における工程を表す平面図および対応する断面図である。
現在知られている半導体デバイスのメタライゼーション層の平面図のa−a'軸線に沿った平面図および対応する断面図である。
現在知られている処理方式を使用して製造されたライン端部またはプラグの断面図である。
現在知られている処理方式を使用して製造されたライン端部またはプラグの別の断面図である。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。 本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける工程を表す断面図である。
本開示の一実施形態による、内部にシームを有する誘電体ライン端部またはプラグを含む半導体ダイのための相互接続構造のメタライゼーション層の断面図である。
本開示の一実施形態による、導電ビアに直接隣接していない誘電体ライン端部またはプラグを含む半導体ダイのための相互接続構造のメタライゼーション層の断面図である。
本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、予め形成されたビア位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す斜視断面図である。 本開示の一実施形態による、予め形成されたビア位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す斜視断面図である。 本開示の一実施形態による、予め形成されたビア位置の自己整合等方性エッチングを含む方法における工程を表す集積回路層の一部を示す斜視断面図である。
本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアパターニング方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、1つのフォトバケットタイプのための例示的なデュアルトーンレジストおよび別のフォトバケットタイプのための例示的なシングルトーンレジストを示す図である。
従来のバックエンドオブライン(BEOL)メタライゼーション層の平面図である。
本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層の平面図である。
本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。
本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。 本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における工程を表す斜視断面図である。
本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用してパターニングする方法における工程の断面図および対応する平面図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用してパターニングする方法における工程の断面図および対応する平面図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用してパターニングする方法における工程の断面図および対応する平面図である。
不整合露光後のフォトバケット現像後の従来のレジストフォトバケット構造の断面図である。
本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における工程の概略図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における工程の概略図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における工程の概略図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における工程の概略図である。 本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における工程の概略図である。
本開示の一実施形態による、フォトバケットを使用したパターニングの別の方法における工程の概略図である。
本開示の一実施形態による、フォトバケットを使用したパターニングの別の方法における工程の概略図である。
本開示の一実施形態による、レジストラインの1つに孔が形成された、層間誘電体(ILD)ラインとレジストラインとの交互に配置されたパターンの斜視図である。
本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。 本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。
本開示の一実施形態による、トリシラシクロヘキサン分子を示す図である。
本開示の一実施形態による、架橋材料を形成するための2つの架橋(XL)トリシラシクロヘキサン分子を示す図である。
本開示の一実施形態による、連結トリシラシクロヘキサン構造の理想図である。
本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。 本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における工程を表す集積回路層の一部を示す図である。
本開示の一実施形態による、予めパターニングされたハードマスクを使用したパターニング処理方式の工程を表す断面図および対応する上面図である。 本開示の一実施形態による、予めパターニングされたハードマスクを使用したパターニング処理方式の工程を表す断面図および対応する上面図である。 本開示の一実施形態による、予めパターニングされたハードマスクを使用したパターニング処理方式の工程を表す断面図および対応する上面図である。 本開示の一実施形態による、予めパターニングされたハードマスクを使用したパターニング処理方式の工程を表す断面図および対応する上面図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッド上にオーバーレイされるオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して2分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して任意の値Δのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して任意の値Δのポジティブオーバーレイを有するオーバーレイシナリオの上面図であり、測定可能なΔは、レジスト感度および/または描画フィーチャサイズを変更することによって必要に応じて小さくされる。
本開示の一実施形態による、図36A〜図36Eに関連して上述した手法に適した例示的な計測構造を示す図である。
本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスク上にオーバーレイされるオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのネガティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層がY方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有し、かつY方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
本開示の一実施形態による、リソグラフィマスク構造の断面図である。
電子ビームリソグラフィ装置の電子ビームカラムの断面概略図である。
ラインがアパーチャの下でスキャンされている間に切断されるかまたはビアがターゲット位置に配置されるライン(右)に対するブランキングアパーチャアレイ(BAA)のアパーチャ(左)を示す図である。
ラインがアパーチャの下でスキャンされている間に切断されるかまたはビアがターゲット位置に配置される2つのライン(右)に対するBAAの2つの互い違いでないアパーチャ(左)を示す図である。
本開示の一実施形態による、ラインがアパーチャの下でスキャンされている間に切断されるかまたはビアがターゲット位置に配置される複数のライン(右)に対するBAAの2列の互い違いのアパーチャ(左)を示す図であり、スキャン方向を矢印で示してある。
本開示の一実施形態による、互い違いのBAAを使用してパターニングされたカット(水平ラインの切れ目)またはビア(塗りつぶしたボックス)を有する複数のライン(右)に対するBAAの2列の互い違いのアパーチャ(左)を示す図であり、スキャン方向を矢印で示してある。
本開示の一実施形態による、図21Aに示すタイプの金属ラインレイアウトに基づく集積回路内のメタライゼーション層のスタックの断面図である。
本開示の一実施態様によるコンピューティングデバイスを示す図である。
本開示の1つまたは複数の実施形態を含むインターポーザを示す図である。
高度なピッチパターニングおよび自己組織化デバイス、特にサブ10ナノメートル(nm)のデバイスおよび構造を生成するための高度なピッチパターニング技術および自己組織化デバイス製造方法が記載されている。以下の説明では、本開示の実施形態の完全な理解を提供するために、特定の集積化および材料レジームなどの多数の特定の詳細が説明される。本開示の実施形態がこれらの具体的な詳細なしで実施できることは当業者には明らかであろう。他の例では、本開示の実施形態を不必要に曖昧にしないために、集積回路設計レイアウトなどの周知のフィーチャについては詳細に説明しない。さらに、図面に示されている様々な実施形態は例示的な表現であり、必ずしも一定の縮尺で描かれているわけではないことを理解されたい。
以下の詳細な説明は、本質的に例示的なものにすぎず、主題の実施形態あるいはそのような実施形態の応用および使用を限定することを意図するものではない。本明細書で使用される場合、「例示的な」という語は、「例、事例、または例示として役立つこと」を意味する。例示として本明細書に記載された任意の実施態様は、他の実施態様よりも好ましいまたは有利であると必ずしも解釈するべきではない。さらに、前述の技術分野、背景技術、簡単な要約、または以下の詳細な説明に提示されているいかなる明示的または黙示的な理論によっても拘束されることを意図するものではない。
本明細書は、「一実施形態」または「実施形態」への言及を含む。「一実施形態では」または「実施形態では」というフレーズの出現は、必ずしも同じ実施形態を指すものではない。特定のフィーチャ、構造、または特性は、本開示と矛盾しない任意の適切な方法で組み合わせることができる。
用語。以下の段落は、(添付の特許請求の範囲を含む)本開示に見られる用語の定義および/または文脈を提供する。
「備える」この用語はオープンエンドである。添付の特許請求の範囲で使用されている場合、この用語は追加の構造またはステップを排除するものではない。
「構成される」様々なユニットまたは構成要素は、1つまたは複数のタスクを「実行するように構成される」と記載または主張することができる。そのような文脈では、「構成される」は、ユニット/構成要素が動作中にそれらの1つまたは複数のタスクを実行する構造を含むことを示すことによって構造を暗示するために使用される。したがって、指定されたユニット/構成要素が現在動作していない(例えば、オン/アクティブではない)場合でも、ユニット/構成要素はタスクを実行するように構成されていると言うことができる。ユニット/回路/構成要素が1つまたは複数のタスクを実行するように「構成されている」ことを暗示することは、そのユニット/構成要素について米国特許法第112条第6項を行使しないことを明示的に意図している。
「第1の」、「第2の」など。本明細書で使用される場合、これらの用語は、これらに続く名詞のラベルとして使用されており、いかなるタイプの順序付け(例えば、空間的、時間的、論理的など)も意味していない。例えば、「第1の」太陽電池への言及は、この太陽電池が順番の中で最初の太陽電池であることを必ずしも意味しない。代わりに、「第1」という用語は、この太陽電池を別の太陽電池(例えば、「第2」の太陽電池)と区別するために使用される。
「結合される」−以下の記述は、互いに「結合」している要素またはノードまたはフィーチャを指す。本明細書で使用される場合、他に明示的に述べられない限り、「結合される」は、1つの要素/ノード/フィーチャが別の要素/ノード/フィーチャに直接的または間接的に結合され(あるいは直接的または間接的に通信する)、必ずしも機械的に結合されるのではないことを意味する。
さらに、以下の記述では、参照のみを目的として特定の用語を使用することがあり、したがってそれは限定することを意図するものではない。例えば、「上側」、「下側」、「上方」、「下方」などの用語は、参照される図面中の方向を指す。「前方」、「後方」、「後部」、「側部」、「外側」、および「内側」などの用語は、一貫しているが任意の基準系内の構成要素の一部の向きおよび/または位置を表しており、これは、説明している構成要素を記述する本文および関連する図面を参照することによって明らかになる。そのような用語は、上で具体的に言及された単語、それらの派生語、および類似の意味の単語を含むことができる。
「阻害する」−本明細書で使用される場合、阻害は、低減する効果または最小にする効果を記述するために使用される。構成要素またはフィーチャが、動作、動き、または状態を抑制するものとして説明される場合、それは結果または成果または将来の状態を完全に妨げることができる。さらに、「阻害する」はまた、結果、性能、および/またはそうでなければ生じたであろう効果の低減または軽減を指すことができる。したがって、構成要素、要素、またはフィーチャが結果または状態を阻害するように言及される場合、それは結果または状態を完全に防止または排除する必要はない。
本明細書に記載の実施形態は、フロントエンドオブライン(FEOL)半導体処理および構造を対象とすることができる。FEOLは、集積回路(IC)製造の第1の部分であり、そこでは、個々のデバイス(例えば、トランジスタ、キャパシタ、抵抗器など)が半導体基板または層内にパターニングされる。FEOLは一般的に金属相互接続層の堆積までの(しかしそれは含まない)すべてをカバーする。最後のFEOL工程の後、結果として、通常、絶縁されたトランジスタを有する(例えば、いかなる配線もない)ウェハが得られる。
本明細書に記載の実施形態は、バックエンドオブライン(BEOL)半導体処理および構造を対象とすることができる。BEOLは、IC製造の第2の部分であって、そこでは、個々のデバイス(例えば、トランジスタ、キャパシタ、抵抗器など)がウェハ上の配線、例えば1つまたは複数のメタライゼーション層と相互接続される。BEOLには、コンタクト、絶縁層(誘電体)、金属レベル、およびチップとパッケージとの間の接続用のボンディングサイトが含まれる。製造段階のBEOL部分には、コンタクト(パッド)、相互接続配線、ビアおよび誘電体構造が形成される。最近のICプロセスでは、BEOLに10層を超える金属層を追加することができる。以下に説明する実施形態は、FEOL処理および構造、BEOL処理および構造、あるいはFEOLとBEOLの処理および構造の両方に適用可能であり得る。特に、例示的な処理方式は、FEOL処理シナリオを用いて説明することができるが、そのような手法はまた、BEOL処理にも適用可能であり得る。同様に、例示的な処理方式はBEOL処理シナリオを用いて説明することができるが、そのような手法はFEOL処理にも適用可能であり得る。
ピッチ分割処理およびパターニング方式は、本明細書に記載の実施形態を可能にするように実施することができ、または本明細書に記載の実施形態の一部として含めることができる。ピッチ分割パターニングは、通常、ピッチを半分にすること、ピッチを4分の1にすることなどを指す。ピッチ分割方式は、FEOL処理、BEOL処理、またはFEOL(デバイス)とBEOL(メタライゼーション)処理の両方に適用可能であり得る。本明細書に記載の1つまたは複数の実施形態によれば、光学リソグラフィが最初に実施されて、一方向ライン(例えば、厳密に一方向または主に一方向のいずれか)が所定のピッチで印刷される。次に、ライン密度を上げるための技術としてピッチ分割処理が実施される。
一実施形態では、金属ライン、ILDラインまたはハードマスクラインに対する「グレーティング構造」という用語は、本明細書では、狭ピッチグレーティング構造を指すために使用される。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、当技術分野で知られているように、スペーサマスクパターニングの使用によってピッチを半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、本明細書に記載のグレーティング状パターンは、実質的に一貫したピッチで離間し、実質的に一貫した幅を有する金属ライン、ILDラインまたはハードマスクラインを有することができる。例えば、いくつかの実施形態では、ピッチ変動は10パーセント以内であり、幅変動は10パーセント以内であり、いくつかの実施形態では、ピッチ変動は5パーセント以内であり、幅変動は5パーセント以内である。パターンは、ピッチを半分にする手法、またはピッチを4分の1にする手法、または他のピッチ分割の手法によって作製することができる。一実施形態では、グレーティングは必ずしも単一ピッチでなくてもよい。
第1の例では、ピッチを半分にすることにより、製造されたグレーティング構造のライン密度を2倍にすることができる。図1Aは、層間誘電体(ILD)層上に形成されたハードマスク材料層の、堆積後であるがパターニング前の、開始構造の断面図である。図1Bは、ピッチを半分にすることによるハードマスク層のパターニング後の図1Aの構造の断面図である。
図1Aを参照すると、開始構造100は、層間誘電体(ILD)層102上に形成されたハードマスク材料層104を有する。ハードマスク材料層104の上にパターニングされたマスク106が配置される。パターニングされたマスク106は、ハードマスク材料層104上に、そのフィーチャ(ライン)の側壁に沿って形成されたスペーサ108を有する。
図1Bを参照すると、ハードマスク材料層104はピッチを半分にする手法でパターニングされている。具体的には、パターニングされたマスク106を最初に除去する。結果として得られるスペーサ108のパターンは、マスク106の2倍の密度、すなわち半分のピッチまたはフィーチャを有する。図1Bに示すように、スペーサ108のパターンは、例えばエッチングプロセスによってハードマスク材料層104に転写され、パターニングされたハードマスク110を形成する。そのような一実施形態では、パターニングされたハードマスク110は、一方向ラインを有するグレーティングパターンで形成される。パターニングされたハードマスク110のグレーティングパターンは、狭ピッチグレーティング構造であり得る。例えば、狭いピッチは、従来のリソグラフィ技術により直接達成することができない場合がある。さらに、図示していないが、2回目のスペーサマスクパターニングによって元のピッチを4分の1にすることができる。したがって、図1Bのパターニングされたハードマスク110のグレーティング状パターンは、一定のピッチで離間し、互いに対して一定の幅を有するハードマスクラインを有することができる。達成される寸法は、使用されるリソグラフィ技術の限界寸法よりはるかに小さくなり得る。
したがって、フロントエンドオブライン(FEOL)またはバックエンドオブライン(BEOL)のいずれか、あるいはその両方の集積化方式では、ブランケット薄膜をリソグラフィおよびエッチング処理を使用してパターニングすることができ、これは、例えば、スペーサベースの二重パターニング(SBDP)もしくはピッチ半減、またはスペーサベースの四重パターニング(SBQP)もしくはピッチ四分割を含むことができる。他のピッチ分割手法も実施可能であることを理解されたい。
例えば、図2は、係数6のピッチ分割を含むスペーサベースの6重パターニング(SBSP)処理方式における断面図である。図2を参照すると、工程(a)では、リソグラフィ、スリムおよびエッチング処理後の犠牲パターンXが示されている。工程(b)では、堆積およびエッチングの後のスペーサAおよびBが示されている。工程(c)では、スペーサAの除去後の工程(b)のパターンが示されている。工程(d)では、スペーサCの堆積後の工程(c)のパターンが示されている。工程(e)では、スペーサCのエッチング後の工程(d)のパターンが示されている。工程(f)では、犠牲パターンXの除去およびスペーサBの除去の後のピッチ1/6のパターンが達成される。
別の例では、図3は、係数9のピッチ分割を含むスペーサベースの9重パターニング(SBNP)処理方式における断面図である。図3を参照すると、工程(a)では、リソグラフィ、スリムおよびエッチング処理後の犠牲パターンXが示されている。工程(b)では、堆積およびエッチングの後のスペーサAおよびBが示されている。工程(c)では、スペーサAの除去後の工程(b)のパターンが示されている。工程(d)では、スペーサCおよびDの堆積およびエッチング後の工程(c)のパターンが示されている。工程(e)では、スペーサCを除去した後にピッチ/9のパターンが達成される。
いずれにせよ、一実施形態では、グリッド状レイアウトは、193nm液浸リソグラフィ(193i)などの従来のリソグラフィまたは最先端のリソグラフィによって製造することができる。ピッチ分割を実施して、グリッド状レイアウト内のラインの密度をn倍に増加させることができる。193iリソグラフィとn倍のピッチ分割によるグリッド状レイアウト形成は、193i+P/nピッチ分割と呼ぶことができる。そのような一実施形態では、193nm液浸スケーリングは、費用効果の高いピッチ分割を用いて多くの世代に拡張することができる。
集積回路デバイスの製造において、デバイスの寸法が縮小し続けるにつれて、トライゲートトランジスタなどのマルチゲートトランジスタがより一般的になってきた。従来のプロセスでは、トライゲートトランジスタは一般に、バルクシリコン基板またはシリコンオンインシュレータ基板のいずれかに製造されている。場合によっては、バルクシリコン基板が、それらのより低いコストおよび既存の高歩留まりバルクシリコン基板インフラストラクチャとの適合性のために好ましい。
しかしながら、マルチゲートトランジスタをスケーリングしても影響がないわけではない。超小型電子回路のこれらの基本構成要素の寸法が縮小され、所与の領域に製造される基本構成要素の数が増加するにつれて、これらの構成要素を製作するために使用される半導体プロセスに対する制約は圧倒的なものになる。
一実施形態では、ハードマスクの差別化(例えば、異なるエッチング特性を有するハードマスクの形成)のために指向性自己組織化(DSA)が実施される。いくつかの実施形態では、差別化されたハードマスクは「着色」ハードマスクとも呼ばれ、同じ色を有するハードマスクは同じまたは類似のエッチング選択性を有し、異なる色を有するハードマスクは異なるエッチング選択性を有する。実際には、「色」という用語はハードマスク材料の実際の色を指すものではないことに留意されたい。ハードマスク差別化(または着色)は、複数のグリッド状半導体フィンの中から半導体フィンをパターニングまたは選択的に除去するために使用することができる。本明細書に記載の1つまたは複数の実施形態は、エッジ配置誤差(EPE)修正のための整合ピッチ4分の1(または他の)パターニング手法に基づくおよびそれから生じるプロセスおよび構造に関する。1つまたは複数の実施形態は、半導体フィンパターニングのための差別化または「着色」交互ハードマスク手法として説明することができる。実施形態は、DSA、半導体材料のパターニング、ピッチ4分の1分割などのピッチ分割、差別化されたハードマスク選択性、フィンパターニングのための自己整合のうちの1つまたは複数を含むことができる。1つまたは複数の実施形態は、非平面半導体デバイスの製造に特に適している。
本開示の一実施形態によれば、狭いピッチで狭いフィーチャを切断するために許容されるエッジ配置誤差を2倍にし、切断サイズを2倍にすることが、非常に微細なフィンパターニングのために実施される。一実施形態では、すべてのフィーチャ(例えば、フィンライン)が、単一集団の限界寸法(CD)ばらつきを有する半導体基板に転写される。この手法は、典型的にはライン幅の3つの個別の集団(例えば、バックボーンまたはマンドレル、相補体およびスペーサの寸法)を有するスペーサベースのピッチ4分割に依存する最新技術の手法とは対照的である。
背景を説明するために、フィンまたはトライゲートベースの半導体デバイスにバルクシリコンを使用することが望ましいかもしれない。一実施形態では、指向性自己組織化(DSA)を実施して、ピッチ分割および他のすべてのフィーチャの「着色」を所望のパターンで達成する。そのような一実施形態では、パターニング手法は、トライゲート遷移パターニングフローにおけるシリコンフィンのパターニングに特に適用可能である。一実施形態では、本明細書で説明される手法を実施することの利点は、(1)フィーチャ幅の単一集団を可能にすること、(2)フィーチャ切断のためのエッジ配置誤差要件を2倍にすること、(3)単一のフィーチャを切断するために必要とされる孔または開口部の寸法を2倍にする(例えば、開口部のサイズに対する制限を緩和する)こと、または(4)パターニングプロセスのコストを削減することのうち1つまたは複数を含むことができる。このプロセスから生じる構造上のアーチファクトは、一実施形態では、チップのダイを囲むガードリングにおける、あるピッチから別のピッチへの、および/または1つのグリッドから別のピッチへの遷移における限界寸法の単一集団を含む。実施形態は、エッジ配置誤差要件をスケーリングすることなく狭いピッチのラインの切断を可能にすることができる。
例示的な処理方式では、図4A〜図4Nは、本開示の一実施形態による、非平面半導体デバイスを製造する方法における様々な工程の断面図である。
図4Aは、その上に形成された第1のパターニングされたハードマスク404を有するバルク半導体基板402を示す。一実施形態では、バルク半導体基板402は、フィン402がエッチングされたバルク単結晶シリコン基板である。一実施形態では、バルク半導体基板402は、この段階でアンドープであるか、または低濃度ドーピングされている。例えば、特定の実施形態では、バルク半導体基板402は、約1E17原子/cm未満のホウ素ドーパント不純物原子の濃度を有する。
一実施形態では、第1のパターニングされたハードマスク404は、ピッチ406を有するフィーチャを含む。そのような一実施形態では、第1のパターニングされたハードマスク404は、基板402に最終的に形成される可能な数のフィンの半分を表す。すなわち、ピッチ406は効果的に緩和されて、形成されたフィンの最終パターンのピッチを2倍にする。一実施形態では、第1のハードマスク404は、リソグラフィプロセスを使用して直接パターニングされる。しかしながら、他の実施形態では、ピッチ分割、例えばピッチ半減が適用され、パターニングされたハードマスク404にピッチ406を提供するために使用される。一実施形態では、第1のガイドパターンは、従来のパターニング(リソグラフィ/エッチング)、リソグラフィのみ、スペーサベースの二重パターニング、または他のピッチ分割方法を使用して形成することができることを理解されたい。一実施形態では、ガイドパターンは、CDが単一の集団(例えば、1回のエッチング)から形成されるように、2つ以上のハードマスクを使用してDSAパターンから分離される。
図4Bは、第1のパターニングされたハードマスク404の間に第2のハードマスク層408を形成した後の図4Aの構造を示す。一実施形態では、基板402および第1のパターニングされたハードマスク404上にブランケットハードマスク層を形成し、次いでブランケットハードマスク層を平坦化して、例えば化学機械平坦化(CMP)によって第2のハードマスク層408を形成する。別の実施形態では、ALDまたはCVD技術がウェハの表面の輪郭に従い、例としてフィンカットが使用されるので、プロセスのこの時点でウェハは実質的に平坦である。
一実施形態では、第2のハードマスク層408は、第1のパターニングされたハードマスク404のエッチング特性とは異なるエッチング特性を有する。
一実施形態では、第2のハードマスク層408または第1のパターニングされたハードマスク404の一方または両方は、シリコンの窒化物の層(例えば、窒化シリコン)またはシリコンの酸化物の層、あるいはその両方、あるいはそれらの組み合わせである。他の適切な材料は、炭化シリコンなどの炭素系材料を含むことができる。別の実施形態では、ハードマスク材料は金属種を含む。例えば、ハードマスクまたは他の被覆材料は、チタンの窒化物(例えば、窒化チタン)または他の金属の層を含むことができる。酸素などの潜在的により少ない量の他の材料が、これらの層のうちの1つまたは複数に含まれてもよい。ハードマスク層は、CVD、PVD、または他の堆積方法によって形成されてもよい。
図4Cは、選択的ブラシ材料層410の塗布後の図4Bの構造を示す。選択的ブラシ材料410は、いくつかの実施形態では、ブラシによって塗布することができる選択的材料である。「ブラシ材料」は、DSAプロセスにおける技術用語としてしばしば使用され、選択材料410がブラシとして使用されることを意味しないことに留意されたい。一実施形態では、選択的ブラシ材料層410は、図4Cに示すように、第1のパターニングされたハードマスク404のみに接着する。しかしながら、別の実施形態では、選択的ブラシ材料は代わりに第2のハードマスク層408に塗布される。さらに別の実施形態では、選択的ブラシ材料層410は第1のパターニングされたハードマスク404のみに付着し、第2の異なる選択的ブラシ材料が第2のハードマスク層408上に形成される。
一実施形態では、選択的ブラシ材料層410は、−SH、−PO、−COH、−NRH、−NRR'、および−Si(OR)からなる群から選択される頭部基を有するポリスチレンを含む分子種を含む。別の実施形態では、選択ブラシ材料層410は、−SH、−PO、−COH、−NRH、−NRR'、および−Si(OR)からなる群から選択される頭部基を有するポリメタクリレートを含む分子種を含む。一実施形態では、選択的ブラシ材料層410は、DSAブロックコポリマー(例えば、ポリスチレンまたはポリメチルメタクリレート)の一成分に引き付けられる。選択的材料層410は、他の実施形態では他の適切な材料を含んでもよい。
図4Dは、直接自己組織化(DSA)ブロックコポリマー414/416(A/B)の塗布およびポリマー組織化プロセス後の図4Cの構造を示す。一実施形態では、DSAブロックコポリマーを表面にコーティングし、アニールしてポリマーを第1のポリマーブロック414と第2のポリマーブロック416(図4Dでは416Aおよび416Bとして識別される)に分離する。一実施形態では、ポリマーブロック416は、アニールプロセス中に選択的ブラシ材料層410に優先的に付着する。ポリマーブロック414は第2のハードマスク層408に接着する。しかしながら、特定の実施形態では、組織化のピッチは、第1のパターニングされたハードマスク404のピッチの半分である。この場合、ポリマーブロック416の一部416Aは第1のハードマスク404上の選択的ブラシ材料層410に付着し、ポリマーブロック416の一部416Bはポリマーブロック414の間の第2のハードマスク層408上に形成される。
一実施形態では、ブロックコポリマー分子414/416(A/B)は、共有結合したモノマーの鎖から形成されたポリマー分子である。ジブロックコポリマーには、2つの異なる種類のモノマーがあり、これらの異なる種類のモノマーは、主に、2つの異なるブロックまたは連続するモノマーの配列内に含まれる。図示するブロックコポリマー分子は、ポリマーのブロック414とポリマーのブロック416(A/B)を含む。一実施形態では、ポリマーのブロック414は主に共有結合モノマーA鎖(例えばA−A−A−A−A…)を含み、ポリマーのブロック416(A/B)は主に共有結合モノマーB鎖(例えばB−B−B−B−B…)を含む。モノマーAおよびBは、当技術分野において公知のブロックコポリマーにおいて使用される種々の種類のモノマーのいずれかを表すことができる。例として、モノマーAはポリスチレンを形成するためのモノマーを表すことができ、モノマーBはポリ(メチルメタクリレート)(PMMA)を形成するためのモノマーを表すことができ、またはその逆であるが、本開示の範囲はそのように限定されない。他の実施形態では、3つ以上のブロックがあってもよい。さらに、他の実施形態では、各ブロックは異なる種類のモノマーを含んでもよい(例えば、各ブロックはそれ自体コポリマーであってもよい)。一実施形態では、ポリマーのブロック414とポリマーのブロック416(A/B)は互いに共有結合している。ポリマーのブロック414およびポリマーのブロック416(A/B)は、ほぼ等しい長さであってもよく、または1つのブロックが他のブロックよりも著しく長いものであってもよい。
典型的には、ブロックコポリマーのブロック(例えばポリマーのブロック414およびポリマーのブロック416(A/B))は各々異なる化学的性質を有していてもよい。一例として、ブロックの一方は比較的より疎水性(例えば撥水性)であってもよく、他方は比較的より親水性(吸水性)であってもよい。少なくとも概念的には、ブロックのうちの一方は油に比較的類似していてもよく、他方のブロックは水に比較的類似していてもよい。親水性−疎水性の違いであろうとなかろうと、ポリマーの異なるブロック間の化学的性質のそのような違いは、ブロックコポリマー分子を自己組織化させることがある。例えば、自己組織化はポリマーブロックのミクロ相分離に基づいてもよい。概念的には、これは一般に混和性ではない油と水の相分離に類似してもよい。同様に、ポリマーブロック間の親水性の差(例えば、一方のブロックは比較的疎水性であり、他方のブロックは比較的親水性である)は、他方に対する化学的嫌悪のために異なるポリマーブロックが互いに「分離」しようとするおおよそ類似のミクロ相分離を引き起こすことができる。
しかしながら、一実施形態では、ポリマーブロックは互いに共有結合しているので、それらは巨視的規模で完全に分離することはできない。むしろ、所与の種類のポリマーブロックは、極めて小さい(例えば、ナノサイズの)領域または相において、同じ種類の他の分子のポリマーブロックと分離または集塊する傾向があり得る。領域またはミクロ相の特定のサイズおよび形状は、一般に少なくとも部分的にポリマーブロックの相対長さに依存する。一実施形態では、例として、2つのブロックコポリマーにおいて、ブロックがほぼ同じ長さである場合には、交互に配置されたポリマー414ラインとポリマー416(A/B)ラインのグリッド状パターンが生成される。
一実施形態では、ポリマー414/ポリマー416(A/B)のグレーティングは、例えば、ブラシまたは他のコーティングプロセスによって塗布されたブロックコポリマー材料を含む未組織化ブロックコポリマー層部分として最初に塗布される。未組織化の態様は、堆積時に、ブロックコポリマーがまだ実質的に相分離および/または自己組織化してナノ構造を形成していないシナリオを指す。この未組織化形態では、ブロックポリマー分子は比較的高度にランダム化されており、異なるポリマーブロックは比較的高度にランダムに配向され配置されている。未組織化ブロックコポリマー層部分は、様々な異なる方法で塗布することができる。一例として、ブロックコポリマーを溶媒に溶解し、次いで表面上にスピンコーティングすることができる。あるいは、未組織化ブロックコポリマーをスプレーコーティング、ディップコーティング、浸漬コーティング、またはその他の方法で表面上にコーティングするか塗布することができる。ブロックコポリマーを塗布する他の方法、ならびに同様の有機コーティングを塗布するための当技術分野において公知の他の方法を潜在的に使用することができる。次いで、未組織化層は、例えば、未組織化ブロックコポリマー層部分のミクロ相分離および/または自己組織化によって、組織化ブロックコポリマー層部分を形成すことができる。ミクロ相分離および/または自己組織化は、ブロックコポリマー分子の再配列および/または再配置を通して、特にブロックコポリマー分子の異なるポリマーブロックの再配列および/または再配置を通して起こる。
そのような一実施形態では、ミクロ相分離および/または自己組織化を開始し、加速し、質を高め、またはその他の方法で促進するために、未組織化ブロックコポリマーにアニーリング処理を施すことができる。いくつかの実施形態では、アニーリング処理は、ブロックコポリマーの温度を上昇させるように操作可能である処理を含むことができる。そのような処理の一例は、層をベーキングすること、オーブン中または熱ランプの下で層を加熱すること、層に赤外線を照射すること、あるいは他の方法で層に熱を加えること、または層の温度を上昇させることである。所望の温度上昇は、ブロックコポリマーまたは集積回路基板の他の任意の重要な材料もしくは構造を損傷することなく、ミクロ相分離および/またはブロックポリマーの自己組織化の速度を著しく加速するのに概ね十分である。一般に、加熱は、約50℃〜約300℃、または約75℃〜約250℃の範囲であってもよいが、ブロックコポリマーまたは集積回路基板の熱劣化限界を超えない。加熱またはアニーリングは、ミクロ相分離の速度を増大させるためにおよび/またはミクロ相分離の質を向上させるために、ブロックコポリマー分子にエネルギーを与えてそれらをより移動性/可撓性にするのを助けることができる。そのようなミクロ相分離またはブロックコポリマー分子の再配列/再配置は、自己組織化をもたらして極めて小さい(例えば、ナノスケール)構造を形成すことができる。自己組織化は、表面エネルギー、分子親和性、および他の表面関連力および化学関連力の影響下で起こり得る。
いずれにせよ、いくつかの実施形態では、疎水性−親水性の違いに基づくかそうでないかにかかわらず、ブロックコポリマーの自己組織化を使用して、極めて小さい周期構造(例えば、正確に間隔を置いたナノスケール構造またはライン)を形成することができる。いくつかの実施形態では、それらは、最終的に半導体フィンラインを形成するために使用することができるナノスケールラインまたは他のナノスケール構造を形成するために使用することができる。
図4Eは、ジブロックコポリマーのブロックのうちの1つを除去した後の図4Dの構造を示す。一実施形態では、ポリマー部分414は、部分416(A/B)を残すように湿式または乾式エッチングプロセスを通して選択的に除去される。残りの部分416(A/B)のピッチは、第1のパターニングされたハードマスク404のピッチの約半分である。
図4Fは、残りのポリマー部分のパターンを下地のバルク結晶半導体基板に転写した後の図4Eの構造を示す。一実施形態では、残りのポリマー部分416(A/B)のパターン、すなわちピッチを半分にしたときの第1のパターニングされたハードマスク404のパターンが、バルク半導体基板402にエッチングされる。パターニングは、第2のハードマスク層408をパターニングして、ポリマー部分416Bに対応する第2のパターニングされたハードマスク層424を形成する。第1のパターニングされたハードマスク404はポリマー部分416Aに対応する。一実施形態では、複数のフィン418がバルク基板402内に直接形成され、これがパターニングされた基板420となり、したがって、ほぼ平坦な表面422でバルク基板402/420と連続して形成される。
図4Gは、残りのポリマー層および任意のブラシ層を除去した後の図4Fの構造を示す。一実施形態では、残りのポリマー層416(A/B)およびブラシ層410を除去して、その上に「着色された」第1のパターニングされたハードマスク404および第2のパターニングされたハードマスク424が交互に配置された複数の交互に配置されたフィン418を残す。一実施形態では、残りのポリマー層416(A/B)およびブラシ層410は、アッシングおよび洗浄プロセスを使用して除去される。結果として得られるフィンのピッチ426は、元の第1のパターニングされたハードマスク404のピッチ406の半分である。
図4Hは、複数のフィン418の間に層間誘電体(ILD)層428を形成した後の図4Gの構造を示す。一実施形態では、ILD層428は、浅いトレンチ分離製造プロセスで使用されるような二酸化シリコンからなる。しかしながら、炭化物または窒化物などの他の誘電体が代わりに使用されてもよい。ILD層428は、化学気相成長(CVD)または他の堆積プロセス(例えば、ALD、PECVD、PVD、HDPアシストCVD、低温CVD)によって堆積させることができ、ハードマスク層404、428の最上面を露出させるために化学機械研磨(CMP)技術によって平坦化することができる。
図4Iは、パターニングされたマスク430を形成するためにフォトレジスト材料を形成しパターニングした後の図4Hの構造を示す。一実施形態では、パターニングされたマスク430は、その中に形成された開口部432を有する。開口部432は、最終的なフィン除去のために、第1のパターニングされたハードマスク404を有する複数のフィン418のうちのターゲットの1つを露出させる。開口部432はカット寸法436を有する。一実施形態では、カット寸法436に対する制約が緩和され、第2のパターニングされたハードマスク424を有する隣接するフィンの一部を露出させることさえあり得る。一実施形態では、パターニング工程は、カットサイズがフィーチャ418のピッチ426の2倍になるように(すなわち、元のピッチ406になるように)「着色」またはハードマスク材料の差別化を用いて不要なフィーチャを切り取る準備をする。一実施形態では、ハードマスク材料は、2つのハードマスク材料間のプラズマまたはウェットエッチング選択性による差別化を可能にする。さらに、エッジ配置誤差(EPE)434は半分のピッチである。比較すると、標準的なパターニングプロセスでは、着色なしで、カット寸法は1Xピッチであり、エッジ配置誤差(EPE)は1/4ピッチである。したがって、一実施形態では、本明細書で説明されるプロセスは、エッジ配置誤差許容量を2倍にし、単一のフィーチャを切断するのに必要な孔または開口部のサイズを2倍にする。
一実施形態では、当技術分野で知られているように、パターニングされたマスク430はフォトレジスト層からなり、従来のリソグラフィおよび現像プロセスによってパターニングすることができる。特定の実施形態では、光源に露光されたフォトレジスト層の部分は、フォトレジスト層を現像する際に除去される。したがって、パターニングされたフォトレジスト層はポジ型フォトレジスト材料からなる。特定の実施形態では、フォトレジスト層は、例えば、248nmレジスト、193nmレジスト、157nmレジスト、極紫外線(EUV)レジスト、電子ビームレジスト、インプリント層、またはジアゾナフトキノン増感剤を含むフェノール樹脂マトリックスなどであるが、これらに限定されない、ポジ型フォトレジスト材料からなる。別の特定の実施形態では、光源に露光されたフォトレジスト層の部分は、フォトレジスト層を現像する際に保持される。したがって、フォトレジスト層はネガ型フォトレジスト材料からなる。特定の実施形態では、フォトレジスト層は、これに限定されないが、ポリ−シス−イソプレンまたはポリ−ビニル−シンナメートなどからなるネガ型フォトレジスト材料からなる。一実施形態では、193nm液浸リソグラフィ(193i)、EUVおよび/または電子ビーム直接描画(EBDW)リソグラフィなどを使用してリソグラフィ工程が行われる。ポジ型レジストまたはネガ型レジストを使用することができる。一実施形態では、パターニングされたマスク430は、トポグラフィックマスキング部分、反射防止コーティング(ARC)層、およびフォトレジスト層からなる三層マスクである。そのような特定の実施形態では、トポグラフィックマスキング部分は炭素ハードマスク(CHM)層であり、反射防止コーティング層はシリコン含有ARC層である。そのような一実施形態では、発色団を添加したスピンオンガラス材料を使用して反射率を抑制するのを助ける。化学的にそれらは(シロキサン)シリコン−炭素含有ポリマーである。アニールすると、それらは二酸化シリコンと炭素ポリマーの混合物を形成する。
図4Jは、複数のフィン418のうちの選択された1つをエッチングし、続いてパターニングされたマスク430を除去した後の図4Iの構造を示す。一実施形態では、このプロセスは、プロセスの「フィンカット」または「フィーチャ選択」工程と呼ばれる。一実施形態では、複数のフィン418のうちの1つが位置438で除去されて、第1の遮断パターンを有するパターニングされた複数のフィン418'を形成する。そのような一実施形態では、露光された第1のパターニングされたハードマスク404は、任意の露光された第2のパターニングされたハードマスク424に対して選択的であり、かつILD層428に対して選択的なエッチングプロセスを用いて最初に除去される。別の実施形態では、「フィンキープ」手法が使用され、ここでフィーチャはフォトレジストの反対色調を使用して選択され、背景または保護されていないフィンが除去されている間のエッチングプロセス中に保護される。それは、リソグラフィプロセスの逆極性(例えば、ネガティブ対ポジティブの色調撮像)である。この工程ではどちらのプロセスも使用できることを理解されたい。次に、露出されたフィンは、位置438において、露出された第2のパターニングされたハードマスク424に対して選択的であり、かつILD層428に対して選択的なエッチングプロセスで除去される。第1の実施形態では、フィンが位置438でレベル440まで除去され、平面422の上に突出部分446を残す。第2の実施形態では、フィンが、位置438で平面422とほぼ同一平面上のレベル442まで除去される。第3の実施形態では、フィンが位置438でレベル444まで除去され、平面422の下にリセス部448を残す。
図4Kは、パターニングされたマスク450を形成するためにフォトレジスト材料を形成しパターニングした後の図4Jの構造を示す。一実施形態では、パターニングされたマスク450は、その中に形成された開口部452を有する。開口部452は、最終的なフィン除去のために、第2のパターニングされたハードマスク424を有する複数のフィン418'のうちのターゲットの第2のものを露出させる。一実施形態では、パターニング工程は、カットサイズがフィーチャ418のピッチ426の2倍になるように「着色」またはハードマスク材料の差別化を用いて不要なフィーチャを切り取る準備をする。図4Iに関連して説明したように、本明細書で説明されるプロセスは、エッジ配置誤差許容量を2倍にし、単一のフィーチャを切断するのに必要な孔または開口部のサイズを2倍にする。一実施形態では、パターニングされたマスク450は、図4Iに関連して説明したような材料からなる。
図4Lは、複数のフィン418'のうちの選択された第2のものをエッチングした後の図4Kの構造を示す。一実施形態では、複数のフィン418'のうちの第2のものが位置454で除去されて、第2の遮断されたパターンを有するパターニングされた複数のフィン418''が形成される。そのような一実施形態では、露光された第2のパターニングされたハードマスク424は、任意の露光された第1のパターニングされたハードマスク404に対して選択的であり、かつILD層428に対して選択的なエッチングプロセスを用いて最初に除去される。次に、露出されたフィンは、位置454において、露出された第1のパターニングされたハードマスク404に対して選択的であり、かつILD層428に対して選択的なエッチングプロセスで除去される。第1の実施形態では、フィンが位置454でレベル456まで除去され、突出部分446の表面440より高い高さに平面422の上の突出部分を残す。第2の実施形態では、フィンが位置454でレベル458まで除去され、平面422より高く、突出部分446の表面440とほぼ同じ高さに突出部分464を残す。第3の実施形態では、フィンが、位置454で平面422とほぼ同一平面上のレベル460まで除去される。第4の実施形態では、フィンが位置454でレベル462まで除去され、平面422の下にリセス部466を残す。
図4Mは、パターニングされたマスク450を除去し、複数のフィン418''の上および除去されたフィンの位置438、454において層間誘電体(ILD)層468を形成した後の図4Lの構造を示す。一実施形態では、ILD層468は、浅いトレンチ分離製造プロセスで使用されるような二酸化シリコンからなる。しかしながら、炭化物または窒化物などの他の誘電体が代わりに使用されてもよい。ILD層468は、化学気相成長(CVD)または他の堆積プロセス(例えば、ALD、PECVD、PVD、HDPアシストCVD、低温CVD)によって堆積させることができる。スピンオン材料は、これらの薄膜の別の一般的な選択肢である。多くの低k誘電体材料をウェハ上にスピン塗布して硬化させることができる。これらは業界で一般的に使用されている。
図4Nは、ILD層468の平坦化、ならびに第1および第2のパターニングされたハードマスク404、424の除去後の図4Mの構造を示す。一実施形態では、化学機械研磨(CMP)技術を使用して、第1のパターニングされたハードマスク404および第2のハードマスク424を除去し、ILD層428、468をリセスして平坦化されたILD層428'、468'をそれぞれ形成し、複数のフィン418''の表面を露出させる。一実施形態では、平坦化されたILD層428'は、平坦化されたILD層468'と実質的に同じ材料からなる。別の実施形態では、平坦化されたILD層428'は、平坦化されたILD層468'とは異なる材料からなる。いずれの場合も、一実施形態では、ILD層468'とILD層428'との間に、例えば位置438または位置454でシームが形成される。一実施形態では、複数のフィン418''の露出表面を使用して平面半導体デバイスを形成することができることを理解されたい。
別の実施形態によれば、図5は、複数のフィン418''の上側部分を露出させた後の図4Nの構造を示す。図5を参照すると、ILD層468'およびILD層428'がリセスされて、フィン418'の突出部分472を露出させ、リセス高さ476までのリセスされたILD層468''およびリセスされたILD層428''を提供する。リセス高さ476は、上側フィン部分472と下側フィン部分474との間の位置を画定する。ILD層468'およびILD層428'のリセス処理は、プラズマ、蒸気または湿式エッチングプロセスによって実行されてもよい。一実施形態では、シリコンフィン418''に対して選択的なドライエッチングプロセスが使用され、ドライエッチングプロセスは、通常30〜100mTorrの範囲の圧力および50〜1000ワットのプラズマバイアスで、限定はしないが、NF、CHF、C、HBr、およびOなどのガスから発生したプラズマに基づく。
例示的な実施形態では、図4J、図4Lおよび図5を再び参照すると、半導体構造は、半導体基板420の実質的に平坦な表面422から突出する複数の半導体フィン418''を含む。複数の半導体フィン418''は、第1の高さを有する第1のフィン部分446を有する第1の位置438によって遮断されたグレーティングパターンを有する。半導体フィンのグレーティングパターンは、第2の高さを有する第2のフィン部分464を有する第2の位置454によってさらに遮断されている。一実施形態では、第2のフィン部分454の第2の高さは、第1のフィン部分446の第1の高さとは異なる。別の実施形態では、第2のフィン部分454の第2の高さは、第1のフィン部分446の第1の高さと同じである。一実施形態では、グレーティングパターンは、遮断なしに見た場合に一定のピッチ126を有する。
例示的な実施形態では、図4J、図4L、および図5を再び参照すると、半導体構造は、半導体基板420の実質的に平坦な表面422から突出する複数の半導体フィン418''を含む。複数の半導体フィン418''は、第1のリセス部を有する第1の位置438によって遮断されたグレーティングパターンを有する。一実施形態では、半導体フィンのグレーティングパターンは、第2のリセス部またはフィン部分のうちの1つを有する第2の位置454によってさらに遮断される。一実施形態では、グレーティングパターンは、遮断なしに見た場合に一定のピッチ426を有する。一実施形態では、トレンチ分離層468''がリセス部内およびリセス部の上に配置される。
上記の手法は、半導体フィンを超えて他の半導体形状を製造するために適用できることを理解されたい。例えば、一実施形態では、上記の手法は、半導体ナノワイヤまたは半導体ナノリボンを製造するために実施される。一実施形態では、「半導体本体」または「半導体本体(複数)」という用語は一般に、フィン、ナノワイヤ、およびナノリボンなどの幾何学的形状を指す。
上記の例示的な処理方式から得られる構造、例えば、図4Nおよび図5の構造は、PMOSおよびNMOSデバイス製造などのデバイス製造を完了するための後続の処理工程のために同じまたは類似の形態で使用することができることを理解されたい。完成したデバイスの一例として、図6Aおよび図6Bは、本開示の一実施形態による、非平面半導体デバイスの断面図および平面図(断面図のa−a'軸線に沿ったもの)をそれぞれ示す。
図6Aを参照すると、半導体構造またはデバイス600は、基板602から分離領域606内に形成された非平面活性領域(例えば、突出するフィン部分604およびサブフィン領域605を含むフィン構造)を含む。ゲートライン608は、非平面活性領域の突出するフィン部分604の上ならびに分離領域606の一部の上に配置されている。図示するように、ゲートライン608はゲート電極650およびゲート誘電体層652を含む。一実施形態では、ゲートライン608は誘電体キャップ層654も含むことができる。この観点からは、ゲートコンタクト614、およびその上にあるゲートコンタクトビア616も、上にある金属相互接続660と共に見られ、そのすべてが層間誘電体スタックまたは層670内に配置されている。図6Aの斜視図からも分かるように、一実施形態では、ゲートコンタクト614は、分離領域606上に配置されるが、非平面活性領域の上には配置されない。
図6Aにも示されているように、一実施形態では、フィン選択リセス処理のアーチファクトが最終構造に残る。例えば、図示する実施形態では、残留突出部分699が残っている。他の実施形態では、上述のようにリセス部が残っていてもよい。
図6Aにも示されるように、一実施形態では、突出するフィン部分604とサブフィン領域605との間に界面680が存在する。界面680は、ドープされたサブフィン領域605と軽くドープされたまたはドープされていない上側フィン部分604との間の遷移領域であり得る。そのような一実施形態では、各フィンは約10ナノメートル以下の幅であり、サブフィンのドーパントは、サブフィン位置で隣接する固体ドーピング層から供給される。そのような特定の実施形態では、各フィンは10ナノメートル幅未満である。
図6Bを参照すると、ゲートライン608は、突出したフィン部分604の上に配置されているように示されている。突出したフィン部分604のソース領域604Aおよびドレイン領域604Bはこの視点から見ることができる。一実施形態では、ソース領域604Aおよびドレイン領域604Bは、突出したフィン部分604の元の材料のドープされた部分である。別の実施形態では、突出したフィン部分604の材料を除去し、例えばエピタキシャル堆積によって別の半導体材料と交換する。いずれの場合も、ソース領域604Aおよびドレイン領域604Bは、誘電体層606の高さより下、すなわちサブフィン領域605内へと延びることができる。本開示の一実施形態によれば、より高濃度にドープされたサブフィン領域、すなわち界面680の下のフィンのドープされた部分は、バルク半導体フィンのこの部分を通るソースからドレインへのリークを抑制する。
一実施形態では、半導体構造またはデバイス600は、限定はしないが、フィンFETまたはトライゲートデバイスなどの非平面デバイスである。そのような実施形態では、対応する半導体チャネル領域は、3次元体で構成されるか、またはその中に形成される。そのような一実施形態では、ゲートライン608のゲート電極スタックは、3次元体の少なくとも上部表面と一対の側壁とを囲む。
基板602は、製造プロセスに耐えることができ、電荷が移動することができる半導体材料から構成することができる。一実施形態では、基板602は、活性領域604を形成するために、限定はしないが、リン、ヒ素、ホウ素、またはそれらの組み合わせなどの電荷キャリアをドープした結晶シリコン、シリコン/ゲルマニウム、またはゲルマニウム層からなるバルク基板である。一実施形態では、バルク基板602中のシリコン原子の濃度は97%より高い。別の実施形態では、バルク基板602は、別個の結晶基板の上に成長したエピタキシャル層、例えばホウ素をドープしたバルクシリコン単結晶基板上に成長したシリコンエピタキシャル層からなる。バルク基板602は、代替として、III−V族材料から構成されてもよい。一実施形態では、バルク基板602は、限定はしないが、窒化ガリウム、リン化ガリウム、ヒ化ガリウム、リン化インジウム、アンチモン化インジウム、ヒ化インジウムガリウム、ヒ化アルミニウムガリウム、リン化インジウムガリウム、またはそれらの組み合わせなどのIII−V族材料からなる。一実施形態では、バルク基板602はIII−V族材料からなり、電荷担体ドーパント不純物原子は、炭素、シリコン、ゲルマニウム、酸素、硫黄、セレンまたはテルルなどであるが、これらに限定されない。
分離領域606は、下地のバルク基板から恒久的なゲート構造の一部を最終的に電気的に分離する、または分離に寄与する、あるいは、分離フィン活性領域などの、下地のバルク基板内に形成された活性領域を分離するのに適した材料で構成することができる。例えば、一実施形態では、分離領域606は、限定はしないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、または炭素ドープ窒化シリコンなどの誘電体材料からなる。
ゲートライン608は、ゲート誘電体層652とゲート電極層650とを含むゲート電極スタックから構成することができる。一実施形態では、ゲート電極スタックのゲート電極は金属ゲートからなり、ゲート誘電体層は高K材料からなる。例えば、一実施形態では、ゲート誘電体層は、限定はしないが、酸化ハフニウム、酸窒化ハフニウム、ケイ酸ハフニウム、酸化ランタン、酸化ジルコニウム、ケイ酸ジルコニウム、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタル、ニオブ酸鉛亜鉛、またはそれらの組み合わせなどの材料からなる。さらに、ゲート誘電体層の一部は、基板602の最上部の数層から形成された自然酸化物の層を含むことができる。一実施形態では、ゲート誘電体層は、最上部の高k部分と半導体材料の酸化物からなる下側部分とからなる。一実施形態では、ゲート誘電体層は、酸化ハフニウムの最上部分および二酸化シリコンまたは酸窒化シリコンの底部部分からなる。いくつかの実施態様では、ゲート誘電体の一部は、基板の表面に対して実質的に平行な底部部分と、基板の上部表面に対して実質的に垂直な2つの側壁部分と、を含む「U」字型構造である。
一実施形態では、ゲート電極は、限定はしないが、金属窒化物、金属炭化物、金属ケイ化物、金属アルミナイド、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ルテニウム、パラジウム、白金、コバルト、ニッケルまたは導電性金属酸化物などの金属層からなる。特定の実施形態では、ゲート電極は、金属の仕事関数設定層の上に形成された非仕事関数設定充填材料からなる。ゲート電極層は、トランジスタがPMOSトランジスタになるかNMOSトランジスタになるかに応じて、P型仕事関数金属またはN型仕事関数金属からなることができる。いくつかの実施態様では、ゲート電極層は、1つまたは複数の金属層が仕事関数金属層であり、少なくとも1つの金属層が導電性充填層である、2つ以上の金属層のスタックからなることができる。PMOSトランジスタの場合、ゲート電極に使用できる金属には、ルテニウム、パラジウム、白金、コバルト、ニッケル、および導電性金属酸化物、例えば、酸化ルテニウムが含まれるが、これらに限定されるわけではない。P型金属層は、約4.9eVから約5.2eVの間の仕事関数を有するPMOSゲート電極の形成を可能にするであろう。NMOSトランジスタの場合、ゲート電極に使用できる金属には、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、これらの金属の合金、およびこれらの金属の炭化物、例えば、炭化ハフニウムカーバイド、炭化ジルコニウム、炭化チタン、炭化タンタル、および炭化アルミニウムが含まれるが、これらに限定されるわけではない。N型金属層は、約3.9eVから約4.2eVの間の仕事関数を有するNMOSゲート電極の形成を可能にするであろう。いくつかの実施態様では、ゲート電極は、基板の表面に実質的に平行な底部部分と、基板の上部表面に実質的に垂直な2つの側壁部と、を含む「U」字型構造から構成することができる。別の実施態様では、ゲート電極を形成する金属層の少なくとも1つは、単に基板の上部表面に対して実質的に平行で、基板の上部表面に対して実質的に垂直な側壁部分を含まない平面層であってもよい。本開示のさらなる実施態様では、ゲート電極は、U字型構造と平面の非U字型構造との組み合わせから構成されてもよい。例えば、ゲート電極は、1つまたは複数の平面のU字型ではない層の上に形成された1つまたは複数のU字型の金属層から構成されてもよい。
ゲート電極スタックに関連するスペーサは、自己整合コンタクトなどの隣接する導電性コンタクトから恒久的ゲート構造を最終的に電気的に絶縁する、または絶縁に寄与するのに適した材料で構成することができる。例えば、一実施形態では、スペーサは、限定はしないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、または炭素ドープ窒化シリコンなどの誘電体材料からなる。
ゲートコンタクト614およびその上にあるゲートコンタクトビア616は、導電性材料で構成されてもよい。一実施形態では、コンタクトまたはビアのうち1つまたは複数は金属種からなる。金属種は、タングステン、ニッケル、またはコバルトなどの純金属であってもよく、あるいは金属−金属合金または金属−半導体合金(例えば、シリサイド材料など)などの合金であってもよい。
一実施形態(図示せず)では、構造600を提供することは、非常に厳しい位置合わせ許容量を伴うリソグラフィ工程の使用を排除しながら、既存のゲートパターンに非常によく整合されたコンタクトパターンの形成を含む。そのような一実施形態では、この手法は、コンタクト開口部を生成するために(例えば、従来のドライエッチングまたはプラズマエッチングに対して)本質的に高度に選択的なウェットエッチングの使用を可能にする。一実施形態では、コンタクトパターンは、コンタクトプラグリソグラフィ工程と組み合わせて既存のゲートパターンを利用することによって形成される。そのような一実施形態では、この手法は、従来の手法で使用されているように、コンタクトパターンを生成するためにその他の場合においてはクリティカルなリソグラフィ工程を必要とすることを排除できる。一実施形態では、トレンチコンタクトグリッドは別々にパターニングされず、むしろポリ(ゲート)ラインの間に形成される。例えば、そのような一実施形態では、トレンチコンタクトグリッドは、ゲートグレーティングパターニングの後であるがゲートグレーティングカットの前に形成される。
さらに、ゲートスタック構造608は、置換ゲートプロセスによって製造することができる。そのような方式では、ポリシリコンまたは窒化シリコンピラー材料などのダミーゲート材料を除去し、恒久的ゲート電極材料で置き換えることができる。そのような一実施形態では、以前の処理から持ち越されるのとは対照的に、恒久的ゲート誘電体層もこのプロセスで形成される。一実施形態では、ダミーゲートはドライエッチングまたはウェットエッチングプロセスによって除去される。一実施形態では、ダミーゲートは多結晶シリコンまたはアモルファスシリコンからなり、SFの使用を含むドライエッチングプロセスで除去される。別の実施形態では、ダミーゲートは多結晶シリコンまたはアモルファスシリコンからなり、水性NHOHまたは水酸化テトラメチルアンモニウムの使用を含むウェットエッチングプロセスで除去される。一実施形態では、ダミーゲートは窒化シリコンからなり、リン酸水溶液を含むウェットエッチングで除去される。
一実施形態では、本明細書で説明される1つまたは複数の手法は、構造600に到達するために、ダミーおよび置換コンタクトプロセスと組み合わせた、ダミーおよび置換ゲートプロセスを本質的に企図する。そのような一実施形態では、置換ゲートプロセスの後に置換コンタクトプロセスを実行して、恒久的ゲートスタックの少なくとも一部の高温アニールを可能にする。例えば、そのような特定の実施形態では、例えばゲート誘電体層が形成された後に、恒久的ゲート構造の少なくとも一部のアニールが、摂氏約600度を超える温度で行われる。アニールは恒久的コンタクトの形成前に行われる。
図6Aを再び参照すると、半導体構造またはデバイス600の配置は、ゲートコンタクトを分離領域の上に配置する。そのような配置は、レイアウトスペースの非効率的な使用と見なすことができる。しかしながら、別の実施形態では、半導体デバイスは、活性領域の上に形成されたゲート電極の部分と接触するコンタクト構造を有する。一般に、(ビアなどの)ゲートコンタクト構造をゲートの活性部分の上に、かつトレンチコンタクトビアと同じ層に形成する前に(例えば、それに加えて)、本開示の1つまたは複数の実施形態は、最初にゲート整合トレンチコンタクトプロセスを使用することを含む。そのようなプロセスは、半導体構造製造用、例えば集積回路製造用のトレンチコンタクト構造を形成するために実施することができる。一実施形態では、トレンチコンタクトパターンは、既存のゲートパターンと整合するように形成される。対照的に、従来の手法は、通常、選択的コンタクトエッチングと組み合わせて、既存のゲートパターンにリソグラフィコンタクトパターンを厳密に位置合わせする追加のリソグラフィプロセスを含む。例えば、従来のプロセスは、コンタクトフィーチャの別々のパターニングによるポリ(ゲート)グリッドのパターニングを含むことができる。
本開示の実施形態の趣旨および範囲内に入るために、上で説明されたプロセスのすべての態様が実施される必要があるわけではないことを理解されたい。例えば、一実施形態では、ゲートスタックの活性部分の上にゲートコンタクトを製造する前にダミーゲートを形成する必要はない。上述のゲートスタックは、実際には、最初に形成されたときの恒久的なゲートスタックであってもよい。また、本明細書に記載のプロセスは、1つまたは複数の半導体デバイスを製造するために使用することができる。半導体デバイスはトランジスタまたは同様のデバイスであってもよい。例えば、一実施形態では、半導体デバイスは、ロジックまたはメモリ用の金属酸化膜半導体(MOS)トランジスタ、またはバイポーラトランジスタである。また、一実施形態では、半導体デバイスは、トライゲートデバイス、独立アクセスダブルゲートデバイス、またはFIN−FETなどの3次元アーキテクチャを有する。1つまたは複数の実施形態は、サブ10ナノメートル(10nm)のテクノロジノードで半導体デバイスを製造するのに特に有用であり得る。
当然のことながら、上記の例示的なFEOL実施形態では、一実施形態では、サブ10ナノメートル処理は、製造方式および結果として得られる構造に直接入るように実施されることを理解されたい。他の実施形態では、FEOLの考慮事項は、BEOLサブ10ナノメートルの処理要件によって推進することができる。例えば、FEOL層およびデバイスのための材料選択およびレイアウトは、BEOLサブ10ナノメートル処理に対応する必要があり得る。そのような一実施形態では、例えばFEOL層に形成されているがBEOL層の高密度メタライゼーションによって互いに結合されているトランジスタ構造のフリンジ容量を低減するために、材料選択およびゲートスタックアーキテクチャがBEOL層の高密度メタライゼーションに対応するように選択される。したがって、FEOL構造および処理は、サブ10ナノメートル処理によって直接影響を受ける可能性があり、またはBEOL層のサブ10ナノメートル処理の結果として間接的に影響を受ける可能性がある。
集積回路のバックエンドオブライン(BEOL)層は、ビアの上の金属ラインまたは他の相互接続をビアの下の金属ラインまたは他の相互接続に電気的に接続するために当技術分野においてビアとして知られている導電性微細電子構造を含む。ビアは通常、リソグラフィプロセスによって形成される。代表的には、フォトレジスト層を誘電体層上にスピンコートし、フォトレジスト層をパターニングされたマスクを通してパターニングされた活性放射線に露光し、次いで露光層を現像してフォトレジスト層に開口部を形成することができる。次に、フォトレジスト層の開口部をエッチングマスクとして使用することによって、ビアのための開口部を誘電体層にエッチングすることができる。この開口部はビア開口部と呼ばれる。最後に、ビア開口部は、ビアを形成するために1つまたは複数の金属もしくは他の導電材料で充填されてもよい。
過去においては、ビアのサイズおよび間隔は漸進的に減少してきており、将来ビアのサイズおよび間隔は、少なくともいくつかのタイプの集積回路(例えば、高度なマイクロプロセッサ、チップセットコンポーネント、グラフィックスチップなど)では漸進的に減少し続けると予想される。このようなリソグラフィプロセスによって、非常に小さいピッチで非常に小さいビアをパターニングする際に、いくつかの課題が生じる。そのような課題の1つは、ビアとその上にある相互接続との間のオーバーレイ、およびビアと下地のランディング相互接続との間のオーバーレイが、一般に、ビアピッチの4分の1程度の高精度に制御される必要があるということである。ビアピッチは時間の経過と共にますます小さくなるので、オーバーレイ公差は、リソグラフィ機器が追いつくことができるよりもさらに速い速度でそれらに合わせてスケーリングする傾向がある。
他のそのような課題は、ビア開口部の限界寸法が一般にリソグラフィスキャナの解像度能力よりも速くスケーリングする傾向があることである。ビア開口部の限界寸法を縮小するためのシュリンク技術が存在する。しかしながら、シュリンク量は、最小ビアピッチ、およびシュリンクプロセスが十分に光学近接効果補正(OPC)ニュートラルであり、ライン幅粗さ(LWR)および/または限界寸法均一性(CDU)を著しく損なわないようにする能力によって制限される傾向がある。さらに別のそのような課題は、フォトレジストのLWRおよび/またはCDU特性が、限界寸法許容量の同じ全体の割合を維持するために、ビア開口部の限界寸法が減少するにつれて一般に改善する必要があることである。しかしながら、現在、ほとんどのフォトレジストのLWRおよび/またはCDU特性は、ビア開口部の限界寸法が減少しているほど急速には改善されていない。
さらなるそのような課題は、極端に小さいビアピッチが一般的に極紫外線(EUV)リソグラフィスキャナでさえも解像能力を下回る傾向があることである。結果として、一般にいくつかの異なるリソグラフィマスクを使用することができ、それはコストを増大させる傾向がある。ある時点で、ピッチが減少し続けると、複数のマスクを使用しても、EUVスキャナを使用してこれらの非常に小さいピッチのビア開口部を印刷することができない場合がある。
上記の要因は、金属ライン間の非導電性スペース(バックエンドオブライン(BEOL)金属相互接続構造の金属ラインの間の、「プラグ」、「誘電体プラグ」または「金属ライン端部」と呼ばれる)の配置やスケーリング、あるいは遮断を考慮するためにも重要である。上記の要因は、定義により、2本の平行な導電ライン間などの2本の導電性金属ライン間の導電性リンカーである導電タブにも関連する。タブは通常、金属ラインと同じ層にある。したがって、金属ライン、金属ビア、導電タブ、および誘電体プラグを製造するためのバックエンドメタライゼーション製造技術の分野において改善が必要とされている。
以下に説明するいくつかの実施形態では、ビアフィーチャ(または他のBEOLフィーチャ)のパターニングおよび整合は、いくつかのレチクルおよび重要なアライメント戦略を使用して達成される。対照的に、他の実施形態では、本明細書に記載の手法は、自己整合プラグおよび/またはビアの製造を可能にする。後者の実施形態では、1つの重要なオーバーレイステップ(Mx+1グレーティング)のみが実施される必要があるという場合があり得る。
バックエンドオブライン(BEOL)構造および処理に関連して以下に記載される層および材料は、通常、集積回路の下地のデバイス層などの下地の半導体基板または構造上またはその上に形成されることを理解されたい。一実施形態では、下地の半導体基板は、集積回路を製造するために使用される一般的な加工対象物を表す。半導体基板は、ウェハまたは他のシリコン片または他の半導体材料を含むことが多い。適切な半導体基板としては、単結晶シリコン、多結晶シリコンおよびシリコンオンインシュレータ(SOI)、ならびにゲルマニウム、炭素、またはIII−V族材料を含む基板などの他の半導体材料で形成された類似の基板が挙げられるが、これらに限定されない。半導体基板は、製造段階にもよるが、トランジスタ、集積回路などを含むことが多い。基板は、半導体材料、金属、誘電体、ドーパント、および半導体基板に通常見られる他の材料も含むことができる。さらに、図示する構造は、下地のより低いレベルの相互接続層上に製造することができる。
BEOLメタライゼーション層のメタライゼーション層またはメタライゼーション層の一部を製造する以下の方法は、選択工程に関して詳細に説明されるが、製造のための追加のまたは中間の工程は、リソグラフィ、エッチング、薄膜堆積、平坦化(化学機械研磨(CMP)など)、拡散、計測、犠牲層の使用、エッチング停止層の使用、平坦化停止層の使用、および/または微細電子部品の製造に関連する他の何らかの操作などの、標準的な微細電子製造プロセスを含んでもよいことを理解されたい。また、以下のプロセスフローについて説明されたプロセス工程は代替的順序で実施されてもよく、すべての工程が実行される必要があるわけではなく、および/または追加のプロセス工程が実行されてもよいことを理解されたい。
場合によっては、結果として得られる構造は、下地の金属ラインを直接中心とするビアの製造を可能にする。ビアは、例えば不完全な選択的エッチング処理のために、下地の金属ラインよりも広い、狭い、または同じ厚さであってもよい。それにもかかわらず、一実施形態では、ビアの中心は金属ラインの中心と整合(一致)している。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、以下のプロセス方式のうちの1つまたは複数の結果として得られる構造の要因にはならない。
以下に説明される相互接続製造方式のいくつかは、多数のアライメント/露光を節約するために実施することができ、(例えば、ビア抵抗を低減することによって)電気的接触を改善するために実施することができる、あるいは、従来の手法を使用してそのようなフィーチャをパターニングするのにその他の場合においては必要とされる総処理工程および処理時間を減らすために実施することができることを理解されたい。図示したものを超えるその後のまたは追加の製造工程において、場合によっては、誘電体層を金属ラインの層から除去して金属ライン間にエアギャップを設けることができることも理解されたい。
本開示の一実施形態により、バックボーン手法が説明される。バックボーン手法は、多段階の原子層堆積(ALD)を含むことができる。一実施形態では、狭ピッチ形成は、例えばALD処理を使用した反復スペーサ形成によって達成される。
場面を提供するために、半導体製造のためのフィーチャのリソグラフィパターニングは、それが光学的(例えば193nm)、電子ビームまたはEUVであるかにかかわらず、撮像ツールの解像度に限定される。マルチパスパターニング、パターンシュリンク法、およびスペーサベースのピッチ分割などの処理方法を使用して、解像度を2〜4倍、または場合によっては8倍まで拡張することができる。しかしながら、そのような方法は、元のリソグラフィ工程におけるプロセスばらつきが最終パターンにおいて同様の大きさで残るという点で制限される可能性がある。例えば、リソグラフィ工程は、±3nmのばらつきを有し得る。これをピッチ分割プロセス方法と共に用いて8nmの最終ピッチ(4nmのフィーチャサイズ)を生成する場合、結果として生じる最終パターンは4nm±3nmだけ変動する。
本明細書に記載されている1つまたは複数の実施形態は、BEOL層などの層のための最終の重要な小さなフィーチャのすべてまたは実質的にすべてを画定するための反復スペーサまたは薄膜堆積の使用を含む。そのようなフィーチャのばらつきは、ALD技術と一致している+/−1nmより良い可能性がある。複数の材料を使用して、パターンの「着色」を可能にして、エッジ配置誤差に対するマージンを拡大して代替的フィーチャ(例えば、ビア、カット、プラグなど)に対処することを可能にすることができる。
図7Aおよび図7Bは、本開示の実施形態による、半導体層のための非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の断面図である。
図7Aを参照すると、ターゲット下地層700は、基板708の上の転写層706の上のハードマスク層704の上にパターニング層702を含む。パターニング層702はバックボーンフィーチャ710を含む。バックボーンフィーチャ710は、比較的小さなフィーチャ(例えば、隣接するバックボーンフィーチャ710の間の6〜100個のより小さいフィーチャであり、より小さいフィーチャは例えば幅4〜6ナノメートルである)の介在グループ712を有する比較的広いフィーチャ(例えば、6〜12ナノメートル)である。
一実施形態では、比較的小さいフィーチャの介在グループ712の各々は、第1の材料タイプの小さいフィーチャ716と、第1の材料タイプとは異なる第2の材料タイプの小さいフィーチャ714と、第1の材料タイプおよび第2の材料タイプとは異なる第3の材料タイプの小さいフィーチャ718と、を含む。材料タイプの違いは、材料タイプ間で異なるエッチング特性または選択性を提供することができる。一実施形態では、バックボーンフィーチャ710の材料は、図7Aに示すように、小さいフィーチャ718の第3の材料タイプの材料と同じである。別の実施形態では、バックボーンフィーチャ710の材料は、小さいフィーチャ718の第3の材料タイプの材料とは異なるが、小さいフィーチャ718の第3の材料タイプと同様のエッチング特性または選択性を有する。
図7Bを参照すると、ターゲット下地層750は、基板758の上の転写層756の上のハードマスク層754の上にパターニング層752を含む。パターニング層752はバックボーンフィーチャ760を含む。バックボーンフィーチャ760は、比較的小さなフィーチャ(例えば、隣接するバックボーンフィーチャ760の間の6〜100個のより小さいフィーチャであり、より小さいフィーチャは例えば幅4〜6ナノメートルである)の介在グループ762を有する比較的広いフィーチャ(例えば、6〜12ナノメートル)である。
一実施形態では、比較的小さいフィーチャの介在グループ762の各々は、第1の材料タイプの小さいフィーチャ764と、第1の材料タイプとは異なる第2の材料タイプの小さいフィーチャ766と、第1の材料タイプおよび第2の材料タイプとは異なる第3の材料タイプの小さいフィーチャ768と、を含む。材料タイプの違いは、材料タイプ間で異なるエッチング特性または選択性を提供することができる。一実施形態では、バックボーンフィーチャ760の材料は、図7Bに示すように、小さいフィーチャ766の第2の材料タイプの材料と同じである。別の実施形態では、バックボーンフィーチャ760の材料は、小さいフィーチャ766の第2の材料タイプの材料とは異なるが、小さいフィーチャ766の第3の材料タイプと同様のエッチング特性または選択性を有する。
図7Aおよび図7Bの両方を参照すると、一実施形態では、構造700または750は、半導体パターン(例えば金属、トランジスタなど)内のフィーチャの最終位置を最終的に画定する交互材料のいくつかの反復垂直層を含む。一実施形態では、より大きいサイズばらつきを有するので、リソグラフィで画定されたより大きい(より広い)構造を表すので時折より大きなフィーチャが存在する。一実施形態では、6〜数百の狭いフィーチャが広いフィーチャの間にある。
図8A〜図8H−2は、本開示の実施形態による、半導体層の非常に狭いピッチの最終パターンを可能にするためのターゲット下地構造の製造方法における様々な工程を表す断面図である。全体として、一実施形態では、反復薄膜生成工程が採用される。例えば、コンフォーマル薄膜堆積とそれに続く異方性エッチング(例えばスペーサ形成)、選択成長、または指向性自己組織化(DSA)が行われる。以下に記載されるようなパターニングプロセスは、半導体層のための非常に狭いピッチの最終パターンを生成するのに適したパターニングプロセスを提供するために実施することができる。一実施形態では、そのようなプロセスフローを実施することの利点は、交互に配置されたフィーチャを着色して自己整合ビア、プラグアンドカット形成を可能にする組み込み方法による狭ピッチフィーチャの改善された寸法制御を含む。
図8Aは背の高いバックボーン形成を含むプロセス工程を示す。基板802の上に形成された転写層804の上に形成されたハードマスク層806の上に複数のバックボーンフィーチャ808が形成されている。一実施形態では、複数のバックボーンフィーチャ808の形成は、標準的なリソグラフィ工程(例えば、193nmまたはEUV)の使用とそれに続くハードマスク(例えば、SiN、SiO、SiC)へのエッチング転写を含む。その後、残っているレジストおよび/または反射防止層を(例えば、アッシングまたは湿式洗浄により)除去する。
図8Bは、第1のスペーサ(スペーサ1)形成を含むプロセス工程を図示する。第1の材料組成の第1の組の小さいフィーチャ810が、複数のバックボーンフィーチャ808の各々の側壁に沿って形成されている。一実施形態では、第1の組の小さいフィーチャ810は、堆積(例えば、ALD)およびエッチング手法を使用して形成される。別の実施形態では、第1の組の小さいフィーチャ810は選択成長手法を使用して形成される。
図8Cは、第2のスペーサ(スペーサ2)形成、第3のスペーサ(スペーサ3)形成、および第4のスペーサ(スペーサ4)形成を含むプロセス工程を示し、特定の層を1つの可能な例示的な実施形態として示している。第2の材料組成の第2の組の小さいフィーチャ812は、第1の組の小さいフィーチャ810の各々の露出した側壁に沿って形成される。第3の材料組成の第3の組の小さいフィーチャ814は、第2の組の小さいフィーチャ812の各々の露出した側壁に沿って形成される。第2の材料組成物の第4の組の小さいフィーチャ816は、第3の組の小さいフィーチャ814の各々の露出した側壁に沿って形成される。一実施形態では、堆積(例えば、ALD)およびエッチング手法あるいは選択成長手法を使用して、第2の組の小さいフィーチャ812が最初に形成される。次に、別の堆積(例えば、ALD)およびエッチング手法あるいは選択成長手法を使用して、第3の組の小さいフィーチャ814を形成する。次に、別の堆積(例えば、ALD)およびエッチング方法あるいは選択成長方法を使用して、第4の組の小さいフィーチャ816を形成する。
図8Dは、継続的な層生成を含む処理工程を示す。追加のスペーサ層818が材料タイプの選択された順序で順次形成される。追加のスペーサ層818は、堆積およびエッチング手法、選択成長手法、あるいはそれらの組み合わせを使用して製造することができる。図示しているよりも多くの層を追加することができることを理解されたい。例えば、一実施形態では、この段階で追加の20〜200組のスペーサが形成される。スペーサの堆積は、隣接する側壁成長の併合の前に完了することができ、例えば、開口部820が残ったときにスペーサの形成は停止される。堆積およびエッチング手法あるいは選択成長手法が図8A〜図8Dの選択肢として説明されているが、本明細書に記載のスペーサ形成の選択肢の代わりに、または選択肢の1つとして指向性自己組織化(DSA)を使用することができることを理解されたい。そのような一例では、トリブロックベースのDSAが使用される。トリブロックベースのDSAの一例は、図12A〜図12Kに関連して以下に説明される。
一実施形態では、図8A〜図8Dをまとめて参照すると、元のリソグラフィで画定されたテンプレートフィーチャの側面上の交互材料の薄層の反復生成が行われる。そのような構造を達成するための1つの可能性のある方法は、薄膜堆積とそれに続く異方性エッチングによるものである。一実施形態では、単一のプロセスツールを使用して堆積とエッチングの両方を実行し、この手法の効率を大幅に向上させる。十分に制御された厚さの薄層を生成する他の方法は選択成長またはDSAを含む。
図8Eは、バックボーン除去を含むプロセス工程を示す。バックボーンフィーチャ808は開口部822を残すために除去される。一実施形態では、開口部822は、図8Eに示すように、開口部820の幅とほぼ同じ幅を有する。一実施形態では、開口部820、822の各々は、側壁としてのスペーサ824、すなわち第1の材料組成のスペーサ824を有する。図示するように、スペーサ824のいくつかは、以前にラベル付けされたスペーサ810から再指定されたものである。一実施形態では、バックボーンフィーチャ808は、さらなる小さいフィーチャ生成のためにより多くのスペースを提供するために除去される。
図8Fは、継続的な層生成を含む処理工程を示す。開口部820、822は、継続的なスペーサ形成を用いて最終的に完全に埋められる。例示的な実施形態では、スペーサ826はスペーサ824の露出した側壁に沿って形成される。そのような一実施形態では、スペーサ826は第2の材料組成のものである。一実施形態では、最終的な幅広フィーチャ828は、最終的には、さらなるスペーサ形成が望ましくないかまたは達成不可能である段階で、開口部820、822の各々の中心に形成される。一実施形態では、最終的な幅広フィーチャ828の形成は、スペーサ826の隣接する側壁に沿って形成された材料成長の併合を含む。そのような一実施形態では、材料成長の併合により、最終的な幅広フィーチャ828内のほぼ中心に位置するシームを各々有する最終的な幅広フィーチャ828が得られる。一実施形態では、最終的な幅広フィーチャ828は第3の材料組成のものである。
図8Gは、図8Fの構造の平坦化を含むプロセス工程を示す。一実施形態では、平坦化は化学機械研磨(CMP)工程を用いて行われる。一実施形態では、平坦化プロセスは、プラグ/カットおよびビアプロセス工程の前に平坦な構造を提供する。元のリソグラフィックフィーチャの下の中心に位置し(これは開口部822をもたらした)、それらの間の中間に間隔をあけた(開口部820をもたらした)位置828は、単一の薄膜(プラスエッチング)工程と比較して、リソグラフィ工程に関連したより大きなサイズのばらつきに対応するために、より大きなサイズを目標とすることができる。一実施形態では、図示するように、図8Gの構造は、図7Aに関連して説明されたものと類似または同じである。
図8Hは、第1の材料組成物のすべてのフィーチャ、例えばスペーサ810/824(図8Gに示すように、図7Aの構造からの第1の材料タイプの小さいフィーチャ716に対応する)の選択的除去を含むプロセス工程を示す。一実施形態では、第1の材料タイプの小さいフィーチャ716は、残りのスペーサ材料を除去しない、またはわずかに除去するだけの選択的エッチングプロセスを使用して除去される。図8Hに示す例示的な実施形態では、第1の材料タイプの小さいフィーチャ716を除去した後、第1の材料タイプのすべての小さいフィーチャ716を除去したときに形成された開口部に金属ラインパターニングフィーチャ830を形成する。いくつかの金属ラインパターニングフィーチャ830は、下地のビアパターニングフィーチャ832と関連付けられている。図示していないが、プラグパターニングフィーチャを形成するために、第1の材料タイプの小さなフィーチャ716のうちの選択されたものを(例えば、第1の材料タイプの小さいフィーチャ716のうちの選択されたものを除去しないようにするフォトリソグラフィ遮断プロセスにより)保持することができる。一実施形態では、金属ラインパターニングフィーチャ830、ビアパターニングフィーチャ832、および任意のプラグパターニングフィーチャは、下地の層の最終的なパターニングのために、ハードマスク層806および転写層804に最終的にパターニングされる。別の実施形態では、図示するように、金属ラインパターニングフィーチャ830、ビアパターニングフィーチャ832、および任意のプラグパターニングフィーチャは、実際には、図示するように層834内に形成された金属ライン、ビアおよびプラグを表す。図8Hに示すように、金属ラインパターニングフィーチャ830であろうと実際の金属ラインであろうと、層834の後続の処理中にフィーチャを保護するために、各々はその上にハードマスクキャップ層836を有する。図8Hを再び参照すると、一実施形態では、1つのスペーサタイプのみを除去することによって、プラグ、ビア、および/またはカットのパターニング工程におけるプロセスばらつきに対して追加のマージンが提供される。
図8H−1および図8H−2は、本開示の一実施形態による、ビアおよびプラグのパターニング後の例示的な構造の断面図である。
図8H−1は、図8Hの構造からバックボーンフィーチャ710のすべての材料および第3の材料タイプのすべての小さなフィーチャ718を選択的に除去することを含むプロセス工程を示す。一実施形態では、残りのスペーサ材料または既に交換されたスペーサ材料を除去しないか、またはごくわずかに除去するだけの選択的エッチングプロセスを使用して、第3の材料タイプのバックボーンフィーチャ710および小さなフィーチャ718を除去する。図8H−1に示す例示的な実施形態では、バックボーンフィーチャ710および第3の材料タイプの小さなフィーチャ718を除去した後、第2の金属ラインパターニングフィーチャ838が、バックボーンフィーチャ710および第3の材料タイプの小さなフィーチャ718を除去するときに形成された開口部の大部分または全部に形成される。一実施形態では、バックボーンフィーチャ710および第3の材料タイプの小さなフィーチャ718を除去したときに形成された残りの開口部は、(例えば、SiNまたはSiOなどの非導電性材料からなるライン端部フィーチャを提供するために)プラグ材料850で充填される、またはプラグ領域として保存される。第2の金属ラインパターニングフィーチャ838のいくつかは、下地の第2のビアパターニングフィーチャ840と関連付けられている。一実施形態では、第2の金属ラインパターニングフィーチャ838、第2のビアパターニングフィーチャ840、および任意のプラグパターニングフィーチャ850は、下地の層の最終的なパターニングのためにハードマスク層806および転写層804に最終的にパターニングされる。別の実施形態では、図示するように、第2の金属ラインパターニングフィーチャ838、第2のビアパターニングフィーチャ840、および任意のプラグパターニングフィーチャ850は、実際にはそれぞれ、金属ライン、ビア、およびプラグを表す。
金属ラインの第2のパターニングフィーチャ838か実際の金属ラインか、またはパターニングプラグフィーチャ850か実際のプラグフィーチャ850かにかかわらず、図8H−1に示すように、各々は後続の処理工程中にフィーチャを保護するためにその上にあるハードマスクキャップ層842を有する。一実施形態では、上にあるハードマスクキャップ層842は、上にあるハードマスクキャップ層836と比較して組成が異なる。したがって、一実施形態では、交互に配置されたフィーチャは異なるハードマスク材料を有する。このような配置は、ビアを誤った金属フィーチャに接続することを防止するために、後に形成された層からビアを、エッジ配置マージンを増加させてその後に接続することをより容易にする。
金属ライン830(またはパターニングフィーチャ)および第2の金属ライン838(またはパターニングフィーチャ)は異なる処理工程で形成されるので、金属ライン830および第2の金属ライン838の組成は異なってもよいことを理解されたい。例示的な実施形態では、図8H−2は、金属ライン830'が金属ライン838と組成が異なる例を示す。したがって、交互に配置されたフィーチャは、異なる導電材料から構成することができる。
大量生産においては、いくつかの古い形態のスペーサベースのピッチ分割技術が使用されてもよいことを理解されたい。バックボーン手法を取り巻く上記の実施形態は、スペーサベースのピッチ分割の1回または2回のパスを非常に多数の反復スペーサ形成工程に拡張するように実施することができる。1つまたは複数の実施形態は、高い製造歩留まりで半導体チップ密度をスケーリングするための手法を提供する。1つまたは複数の実施形態は、稠密な相互接続、またはトランジスタ(FEOL処理に適用される場合)さえも、一貫して良好に形成されたフィーチャサイズで製造するための手法を提供する。バックボーン手法を使用して製造された製品のリバースエンジニアリングは、時折広い一次元(1D)フィーチャを有する主に狭いピッチフィーチャ(例えば、サブ10nmのピッチフィーチャ)を露出させ得ることを理解されたい。断面走査電子顕微鏡(XSEM)は、交互に配置されたフィーチャ上に「着色された」(例えば、エッチング選択性などの特性に関して互いに異なる)ハードマスクを露出させることができる。
本開示の一実施形態によれば、ピッチ分割を適用して、BEOL製造方式で交互に配置された金属ラインを製造するための手法を提供する。本明細書に記載の1つまたは複数の実施形態は、ビア、カットおよびプラグのオーバーレイマージンを増加させるピッチ分割パターニングプロセスフローに関する。実施形態は、最先端のリソグラフィ装置の解像能力を超えて金属層のピッチの継続的なスケーリングを可能にすることができる。一実施形態では、金属ライン間の間隔は一定であり、ALDを使用してオングストロームレベルの精度に制御することができる。一実施形態では、プロセスフローは、「交換ILD」フローが可能であるように設計されている。すなわち、パターンおよびメタライゼーションが完了した後にILDを堆積することができる。パターニングフローは、通常、エッチング/洗浄ステップによりILDを損傷するが、このフローでは、ILDを最後に堆積させることができ、したがってパターニング中の損傷を回避することができる。
場面を提供するために、フィーチャサイズおよびピッチがスケーリングされる際に、ビア、カットおよびプラグパターニングのエッジ配置誤差が問題となる。このような問題に対処するための最新の解決策は、スキャナのオーバーレイを改善し、限界寸法(CD)制御を改善することによってエッジ配置誤差を厳しくする試み、または超自己整合集積化手法を使用する試みを含む。対照的に、本明細書に記載の実施形態は、リソグラフィツーリングまたは超自己整合の改善を必要とせずに、エッジ配置誤差マージンの同様の改善を達成することができるプロセスの実施を含む。
本開示の一実施形態によれば、カット/プラグおよびビアパターニングのためのオーバーレイマージンの量を2倍にするために、金属ラインが2つの別々の作業シーケンスで製造される。例示的なプロセスフローの第1の部分では、ピッチ分割方法を使用して、金属ライン、プラグ、次いでビアを層間誘電体材料にパターニングする。例示的なプロセスフローの第2の部分では、トレンチ/ビア開口部が金属で充填され(例えば、デュアルダマシンメタライゼーション)、その後研磨される。次に犠牲ハードマスク層が金属ラインの間で除去される。次に、例えば原子層堆積(ALD)を用いて金属ラインを犠牲誘電体材料で被覆する。例示的なプロセスフローの第3の部分では、トレンチの底部を露出させるために等方性スペーサエッチングが行われる。プラグパターニングフローを使用して、誘電体材料が金属ラインの端部が生じるべき位置に追加され、ビアエッチングが相補金属ライン上で完了する。第1の金属ラインからの金属は、これらの位置でのエッチングを防ぐためのエッチストップとして作用する。例示的なプロセスフローの第4の部分では、トレンチが金属で充填され、金属を露出させるために研磨される。研磨後、犠牲ハードマスク材料を除去し、任意選択で誘電体材料と交換し、次いで再度研磨してメタライゼーションプロセスを終了する。誘電体材料の堆積を調整することによって、エアギャップを挿入することもできる。さらに、実施形態は、金属の代わりに犠牲ハードマスク材料の使用を含むことができる。犠牲ハードマスクは、「第2の」メタライゼーション工程で除去して金属と置換することができる。
例示的な処理方式では、図9A〜図9Lは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のためのオーバーレイマージンを増大させたピッチ分割パターニングを含む方法における様々な工程を表す集積回路層の一部の斜視断面図である。
図9Aを参照すると、新しいメタライゼーション層を製造するための開始点として開始点構造900が提供されている。開始点構造900は、層間誘電体(ILD)層906上に配置された犠牲層904上に配置されたハードマスク層902を含む。ILD層は、基板の上に配置することができ、一実施形態では、下地のメタライゼーション層の上に配置される。一実施形態では、ハードマスク層902は、窒化シリコン(SiN)または窒化チタンのハードマスク層である。一実施形態では、犠牲層は、多結晶シリコン層またはアモルファスシリコン層などのシリコン層である。
図9Bを参照すると、図9Bの構造のハードマスク層902および犠牲層904がパターニングされている。ハードマスク層902および犠牲層904がパターニングされて、パターニングされたハードマスク層908およびパターニングされた犠牲層910をそれぞれ形成する。パターニングされたハードマスク層908およびパターニングされた犠牲層910は、第1のライン開口部912およびライン端部領域914のパターンを含む。一実施形態では、シリコン犠牲層は、異方性プラズマエッチングプロセスを使用して微細フィーチャにパターニングするのに適している。一実施形態では、リソグラフィレジストマスク露光およびエッチングプロセスを使用して、パターニングされたハードマスク層908およびパターニングされた犠牲層910を形成し、その後にレジスト層またはスタックを除去する。一実施形態では、図9Bに示すように、第1のライン開口部912はグレーティング型パターンを有する。一実施形態では、ピッチ分割パターニング方式を使用して、第1のライン開口部912のパターンを形成する。適切なピッチ分割方式の例は、以下でさらに詳細に説明される。その後のライン「カット」またはプラグ保存リソグラフィプロセスを使用してライン端部領域914を画定することができる。
図9Cは、下地のビア位置パターニング後の図9Bの構造を示す。ビア開口部916をILD層906の選択位置に形成して、パターニングされたILD層918を形成することができる。一実施形態では、ビアは自己整合ビアプロセスを使用してパターニングされる。選択位置は、第1のライン開口部912によって露出されたILD層906の領域内に形成される。一実施形態では、第1のライン開口部912を形成するために使用されるリソグラフィパターニングプロセスに続いて、別個のリソグラフィおよびエッチングプロセスが使用されてビア開口部916を形成する。
図9Dは、第1のメタライゼーションプロセス後の図9Cの構造を示す。一実施形態では、ビアと金属ラインが同時に充填されるデュアルダマシンメタライゼーションプロセスが使用される。相互接続ライン920および導電ビア920は、第1のライン開口部およびビア開口部916内に形成される。一実施形態では、相互接続ライン920および導電ビア920を設けるために金属充填プロセスが実行される。一実施形態では、金属充填プロセスは、金属堆積およびその後の化学機械平坦化(CMP)プロセスなどの平坦化処理方式を使用して実行される。パターニングされた犠牲ハードマスク層910が実質的にシリコンからなる場合には、パターニングされた犠牲ハードマスク層910のシリサイド化を抑制するために、導電性充填層を形成する前にライナー材料を堆積させることができる。
図9Eは、相互接続ライン920を露出した後の図9Dの構造を示す。パターニングされたハードマスク層908およびパターニングされた犠牲層910を除去して、パターニングされたILD層918内の下地の導電ビアと共に相互接続ライン920を露出させたままにする。ライン端部開口部924が現れている。ライン端部開口部924は、相互接続ライン920のグレーティングパターンに切れ目を入れる。一実施形態では、パターニングされたハードマスク層908およびパターニングされた犠牲層910は、選択的ウェットエッチングプロセスを使用して除去される。
図9Fは、コンフォーマルパターニング層の形成後の図9Eの構造を示す。スペーサ材料層926が、相互接続ライン920のグレーティングパターンの上にそれとコンフォーマルに形成される。一実施形態では、原子層堆積(ALD)は、非常にコンフォーマルで極めて正確である(例えば、オングストロームレベルの制御)という事実のために使用される。一実施形態では、コンフォーマルなスペーサ材料層926の形成に関して相互接続ライン920の一般的なグレーティングパターンを効果的に乱すには、ライン端部開口部924が短すぎることを理解されたい。そのような一実施形態では、ライン端部開口部924は、相互接続ライン920の一般的なグレーティングパターンを乱すことなくスペーサ材料層926で充填されている。一実施形態では、スペーサ材料層926は化学気相成長(CVD)または原子層堆積(ALD)プロセスを使用して堆積される。一実施形態では、スペーサ材料層926は、多結晶シリコン層またはアモルファスシリコン層などのシリコン層である。このような特定の実施形態では、スペーサ材料層926のシリサイド化を防止するために、シリコンスペーサ材料層を形成する前に、ライナー材料が相互接続ライン920上に堆積される。一実施形態では、ライン端部カット(プラグ)は、それらがコンフォーマルな誘電体材料で完全に充填されるように、スペーサの厚さの2倍以下である。それらが2倍の厚さよりも大きい場合には、シームが形成され、その後の処理中に金属がラインを互いに短絡させることがあり得る。
図9Gは、スペーサ材料層からスペーサラインを形成した後の図9Fの構造を示す。一実施形態では、スペーサ928は、異方性プラズマエッチングプロセスを使用して相互接続ライン920の側壁に沿って形成される。一実施形態では、スペーサ材料層926がライン端部開口部924内に残り、相互接続ライン920用のライン端部プレースホルダ部分930を形成する。
図9Hは、プラグプレースホルダ層の形成後の図9Gの構造を示す。隣接する相互接続ライン920のスペーサ928の間にプラグプレースホルダ層932が形成されている。プラグプレースホルダ層932は、最初に第2の組の相互接続ラインが最終的に形成される位置に形成される。一実施形態では、プラグプレースホルダ層932は、スペーサ928の間にプラグプレースホルダ層932を閉じ込める堆積および平坦化プロセスを使用して形成される。
図9Iは、プラグプレースホルダ層のパターニング後の図9Hの構造を示す。プラグプレースホルダ層932は、ライン端部が最終的に形成される選択位置にプラグプレースホルダ934を保持するようにパターニングされる。一実施形態では、リソグラフィレジストマスク露光およびエッチングプロセスを使用してプラグプレースホルダ934を形成し、続いてレジスト層またはスタックを除去する。
図9Jは、第2のメタライゼーションプロセス後の図9Iの構造を示す。プラグプレースホルダ層932のパターニング時に形成された開口部(第2のライン開口部)に相互接続ライン936を形成してプラグプレースホルダ934を形成する。加えて、別々の処理工程は図では省略されているが、ビア開口部、そして最終的には導電ビア938が導電ライン936の下の選択位置に形成され得る。そのようなプロセスは、図9Jに示すように、二重パターニングされた(2つの異なるビアパターニング工程)ILD層940をもたらす。
一実施形態では、相互接続ライン936および導電ビア938を設けるために金属充填プロセスが実行される。一実施形態では、金属充填プロセスは、金属堆積およびその後の化学機械平坦化(CMP)プロセスなどの平坦化処理方式を使用して実行される。スペーサ928が実質的にシリコンからなる場合には、スペーサ928のシリサイド化を防止するために、導電性充填層を形成する前にライナー材料を堆積させることができる。
一実施形態では、相互接続ライン936(および対応する導電ビア938)は、相互接続ライン920(および対応する導電ビア922)を製造するために使用されるプロセスよりも後のプロセスで形成されるので、相互接続ライン936は、導電ライン920を製造するのに使用されるものとは異なる材料を使用して製造することができることを理解されたい。そのような一実施形態では、メタライゼーション層は、最終的には、交互に異なる第1および第2の組成の導電性相互接続を含む。
図9Kは、2組の相互接続ライン920、936を露出した後の図9Jの構造を示す。スペーサ928、ライン端部プレースホルダ部分930、およびプラグプレースホルダ934を除去して、パターニングされたILD層940内の下地の導電ビア922、938と共に、相互接続ライン920、936をそれぞれ露出させたままにする。ライン端部開口部942が現れている。ライン端部開口部942は、相互接続ライン920のグレーティングパターンおよび相互接続ライン936のグレーティングパターンに切れ目を設ける。一実施形態では、スペーサ928、ライン端部プレースホルダ部分930、およびプラグプレースホルダ934は、選択的ウェットエッチングプロセスを使用して除去される。
一実施形態では、図9Kの構造は、エアギャップ構造を有する最終メタライゼーション構造を表す。すなわち、相互接続ライン920、936は、本明細書に記載のプロセスで最終的に露出されるので、エアギャップアーキテクチャが可能になる。別の実施形態では、相互接続ライン920、936がプロセスのこの段階で露出されるので、相互接続ラインの拡散バリア層の側壁部分を除去する機会がある。例えば、一実施形態では、そのような拡散バリア層を除去すると、相互接続ライン920、936の導電性フィーチャが物理的に薄くなる。別の実施形態では、そのような相互接続ライン920、936の抵抗は、そのような拡散バリア層の側壁部分を除去すると減少する。図9Kでラベル付けされているように、相互接続ライン920、936のフィーチャ側壁部分960は露出されているが、ラインの下の部分962は露出されていない。したがって、一実施形態では、相互接続ライン920、936の拡散バリア層は、相互接続ライン920、936の側壁960から除去されるが、相互接続ライン920、936の領域962からは除去されない。特定の実施形態では、そのような拡散バリア層の側壁部分の除去は、Taおよび/またはTaN層の除去を含む。
したがって、工程9A〜9Kを参照すると、一実施形態では、バックエンドオブライン(BEOL)メタライゼーション層を製造する方法は、基板の上に形成された犠牲材料928内に複数の導電ライン920/936を形成することを含む。複数の導電ライン920/936の各々は、導電性充填層の底部側壁に沿って形成されたバリア層を含む。次に犠牲材料928を除去する。バリア層は、導電性充填層の側壁から(例えば、位置960で)除去される。一実施形態では、導電性充填層の側壁からバリア層を除去することは、Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料を含む導電性充填層の側壁からタンタルまたは窒化タンタル層を除去することを含む。
図9Lは、恒久的ILD層を形成した後の図9Kの構造を示す。相互接続ライン920、936の間に層間誘電体(ILD)層946/948が形成される。ILD層946/948は、相互接続ライン920と936との間に部分946を含む。ILD層946/948はまた、相互接続ライン920、936のライン切れ目の位置の間にライン端部(または誘電体プラグ)部分948を含む。
図9Lを再び参照すると、一実施形態では、半導体構造999は基板(下地のILD層940が示されている)を含む。複数の交互に配置された第1の導電ラインタイプ920と第2の導電ラインタイプ936は、基板の上に配置されたバックエンドオブライン(BEOL)メタライゼーション層の同じ方向に沿って配置されている。一実施形態では、図9Kに関連して説明したように、第1の導電ラインタイプ920の全組成は第2の導電ラインタイプ936の全組成とは異なる。このような特定の実施形態では、第1の導電ラインタイプ920の全組成は実質的に銅からなり、第2の導電ラインタイプ936の全組成は実質的にAl、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料からなる、あるいはその逆である。しかしながら、別の実施形態では、第1の導電ラインタイプ920の全組成は、第2の導電ラインタイプ936の全組成と同じである。
一実施形態では、第1の導電ラインタイプ920のラインはあるピッチで離間し、第2の導電ラインタイプ936のラインは同じピッチで離間している。一実施形態では、複数の交互に配置された第1および第2の導電ラインタイプは、層間誘電体(ILD)層946/948に配置される。しかしながら、別の実施形態では、図9Kに関連して説明したように、交互に配置された複数の第1および第2の導電ラインタイプ920/936のラインはエアギャップによって分離されている。
一実施形態では、交互に配置された複数の第1および第2の導電ラインタイプ920/936のラインは各々、ラインの底部および側壁に沿って配置されたバリア層を含む。しかしながら、別の実施形態では、複数の交互に配置された第1および第2の導電ラインタイプ920/936のラインは各々、図9Kの実施形態で説明したように、ラインの側壁960に沿ってではなくラインの底部962に沿って配置されたバリア層を含む。一実施形態では、複数の交互に配置された第1および第2の導電ラインタイプの1つまたは複数のラインは、半導体構造の下地のメタライゼーション層に接続された下地のビア922/938に接続される。一実施形態では、複数の交互に配置された第1および第2の導電ラインタイプ920/936のラインのうち1つまたは複数は、誘電体プラグ948によって遮断されている。
図9L(または図9Kのエアギャップ構造)に関連して説明したような結果として生じる構造999は、後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用されてもよい。あるいは、図9Lの構造999(または図9Kの構造)は、集積回路内の最終金属相互接続層を表してもよい。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。上記の例は、金属ラインおよびプラグまたはライン端部の形成に焦点を当ててきたことも理解されたい。しかしながら、他の実施形態では、ILD層にビア開口部を形成するために同様の手法が使用されてもよい。
本開示の1つまたは複数の実施形態に従って、自己整合DSAジブロックまたは選択成長ボトムアップ手法が説明される。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアおよびプラグパターニングに関する。本明細書に記載のプロセスの自己整合態様は、以下により詳細に説明するように、指向性自己組織化(DSA)機構に基づいてもよい。しかしながら、選択的成長メカニズムが、DSAベースの手法の代わりに、またはそれと組み合わせて使用することができることを理解されたい。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。より具体的には、1つまたは複数の実施形態は、導電ビアおよび非導電性スペースまたは金属間の遮断部(「プラグ」と呼ばれる)を構築するためのテンプレートとして下地の金属を用いる手法に関する。
図10A〜図10Mは、本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における様々な工程を表す集積回路層の一部を示す。説明される各工程における各図において、左側に平面図が示され、右側に対応する断面図が示されている。これらの図は、本明細書では対応する断面図および平面図と呼ばれる。
図10Aは、本開示の一実施形態による、前層メタライゼーション構造の任意選択の平面図および対応する断面図である。平面図および対応する断面図の選択肢(a)を参照すると、開始構造1000は、金属ライン1002のパターンおよび層間誘電体(ILD)ライン1004を含む。開始構造1000は、図10Aに示すように、一定のピッチで離間し一定の幅を有する金属ラインを用いてグレーティング状パターンにパターニングすることができる(例えば、DSA実施形態に対して、しかし指向性選択成長実施形態に対して必ずしも必要ではない)。例えば、パターンは、ピッチを半分にするか、またはピッチを4分の1にする手法によって製造することができる。断面図に一例として示されているライン1002'のように、ラインのうちのいくつかは、下地のビアと関連付けられてもよい。
図10Aを再度参照すると、代替的な選択肢(b)〜(f)は、金属ライン1002および層間誘電体ライン1004の一方または両方の表面上に追加の薄膜が形成される(例えば、堆積され、成長し、または前のパターニングプロセスから残っているアーチファクトとして残される)状況に対処する。例(b)では、追加の薄膜1006が層間誘電体ライン1004上に配置されている。例(c)では、追加の薄膜1008が金属ライン1002上に配置されている。例(d)では、追加の薄膜1006が層間誘電体ライン1004上に配置され、追加の薄膜1008が金属ライン1002上に配置されている。さらに、金属ライン1002および層間誘電体ライン1004は、(a)では同一平面上に描かれているが、他の実施形態では、それらは同一平面上にはない。例えば、(e)では、金属ライン1002が層間誘電体ライン1004の上方に突出している。例えば、(f)では、金属ライン1002が層間誘電体ライン1004の下方にリセスされている。
例(b)〜(d)を再び参照すると、追加の層(例えば、層1006または1008)をハードマスク(HM)または保護層として使用することができ、あるいは後続の処理工程に関連して以下に説明する選択成長および/または自己組織化を可能にするために使用することができる。そのような追加の層はまた、ILDラインをさらなる処理から保護するために使用されてもよい。さらに、金属ラインの上に別の材料を選択的に堆積することは、同様の理由で有益であり得る。例(e)および(f)を再び参照すると、ILDラインまたは金属ラインのいずれかを、一方または両方の表面上に保護/HM材料の任意の組み合わせでリセスすることも可能であり得る。全体として、この段階では、選択的または指向性自己組織化プロセスのために最終的に下地の表面を準備するための多数の選択肢が存在する。
図10Bは、本開示の一実施形態による、図10Aの構造の上に層間誘電体(ILD)ライン1010を形成した後の図10Aの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびc−c'に沿った対応する断面図(a)および(c)を参照すると、ILDライン1010は、下地のライン1004の方向に対して垂直なグレーティング構造に形成されている。一実施形態では、ライン1010の材料のブランケット薄膜が化学気相成長または同様の技術によって堆積される。一実施形態では、次いで、ブランケット薄膜を、例えばスペーサベースの四重パターニング(SBQP)またはピッチ四分割を含むことができるリソグラフィおよびエッチング処理を使用してパターニングする。ライン1010のグレーティングパターンは、EUVおよび/またはEBDWリソグラフィ、指向性自己組織化などを含む多数の方法によって製造できることを理解されたい。以下により詳細に説明するように、ライン1010のグレーティングは下地の構造の方向と直交しているので、後続の金属層は前の金属層に対して直交方向にパターニングされる。一実施形態では、単一の193nmリソグラフィマスクが、前の金属層1002へのアライメント/位置合わせと共に使用される(例えば、ライン1010のグレーティングは、Xでは前層の「プラグ」パターン、Yでは前の金属のグレーティングパターンに整合する)。断面構造(b)および(d)を参照すると、ハードマスク1012は、誘電体ライン1010上に形成されるか、またはそれをパターニングした後に保持されてもよい。ハードマスク1012は、後続のパターニング工程中にライン1010を保護するために使用することができる。以下により詳細に説明するように、グレーティングパターンにおけるライン1010の形成は、前の金属ライン1002および前のILDライン1004(または1002/1004上の対応するハードマスク層)の領域を露出させる。露出された領域は、金属が露出されているすべての可能な将来のビアに対応する。一実施形態では、プロセスフローのこの時点で、前層の金属層(例えばライン1002)が保護され、ラベルが付けられ、ブラシをかけられるなどする。
図10Cは、本開示の一実施形態による、すべてのプラグ位置からすべての潜在的ビア位置を選択的に差別化した後の図10Bの構造の平面図および対応する断面図である。平面図ならびに対応する軸線a−a'、b−b'、c−c'およびd−d'に沿った断面図(a)〜(d)をそれぞれ参照すると、ILDライン1010の形成後、表面改質層1014が下地のILDライン1004の露出領域上に形成される。一実施形態では、表面改質層1014は誘電体層である。一実施形態では、表面改質層1014は選択的ボトムアップ成長手法によって形成される。そのような一実施形態では、ボトムアップ成長手法は、1つのポリマー成分を有する指向性自己組織化(DSA)ブラシコートを含み、それは、下地のILDライン1004上に、あるいは金属ライン1002上(または下地の金属またはILD材料上に堆積または成長させた犠牲層上)に優先的に集まる。
図10Dは、本開示の一実施形態による、図10Cの下地の金属およびILDラインの露出部分への異なるポリマー付加後の図10Cの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、下地の金属/ILD1002/1004グレーティングの露出部分上での指向性自己組織化(DSA)または選択成長を用いて、ILD線1010間に交互のポリマーまたは交互のポリマー成分を有する介在ライン1016を形成する。例えば、図示するように、ポリマー1016A(またはポリマー成分1016A)は、図10Cの層間誘電体(ILD)ライン1004の露出部分上またはその上方に形成され、一方、ポリマー1016B(またはポリマー成分1016B)は、図10Cの金属ライン1002の露出部分上またはその上方に形成される。ポリマー1016Aは、図10Cに関連して説明された表面改質層1014上またはその上方に形成されるが(図10Dの断面図(b)および(d)を参照)、他の実施形態では、表面改質層1014を省略することができ、代わりに図10Bに関連して説明した構造に交互のポリマーまたは交互のポリマー成分を直接形成することができることを理解されたい。
図10Dを再び参照すると、一実施形態では、下地の構造(例えば、図10Aの構造1000)の表面が準備されるか(例えば、図10Bの構造または図10Cの構造など)または直接使用されると、ポリスチレン−ポリメチルメタクリレート(PS−PMMA)などの50−50ジブロックコポリマーを基板上にコーティングし、アニールして自己組織化を促進し、図10Dのポリマー1016A/ポリマー1016Bの層1016を得る。そのような一実施形態では、適切な表面エネルギー条件で、ブロックコポリマーはILDライン1010の間に露出した下地の材料に基づいて分離する。例えば、特定の実施形態では、ポリスチレンは、下地の金属ライン1002(または対応する金属ラインキャップまたはハードマスク材料)の露出部分に選択的に整合する。一方、ポリメチルメタクリレートは、ILDライン1004(または対応する金属ラインキャップまたはハードマスク材料)の露出部分に選択的に整合する。
したがって、一実施形態では、ILDライン1010間に露出しているような下地の金属およびILDグリッドがブロックコポリマー(BCP、すなわちポリマー1016A/ポリマー1016B)内に再生成される。これは、BCPピッチが下地のグレーティングピッチと同程度である場合に特にそうであり得る。ポリマーグリッド(ポリマー1016A/ポリマー1016B)は、一実施形態では、良好に整合したグリッドからの特定の小さなずれに対して頑強である。例えば、小さいプラグが、良好に整合したグリッドが金属を有するであろう場所に酸化物または同様の材料を効果的に配置する場合には、良好に整合したポリマー1016A/ポリマー1016Bグリッドを依然として達成することができる。しかしながら、ILDライングレーティングは、一実施形態では、ILDバックボーンの金属破壊を伴わない理想化されたグレーティング構造であるため、そのような場合は、両方のタイプのポリマー(1016Aおよび1016B)がILDなどの材料に露出されるが1つのタイプだけが金属に露出されるので、ILD表面を中性にすることが必要であり得る。
一実施形態では、コーティングされたポリマー(ポリマー1016A/ポリマー1016B)の厚さは、その場所に最終的に形成されるILDの最終的な厚さとほぼ同じか、それよりわずかに厚い。一実施形態では、以下により詳細に説明するように、ポリマーグリッドは、エッチレジストとしてではなく、むしろ最終的にその周囲に恒久的ILD層を成長させるための足場として形成される。このように、ポリマー1016(ポリマー1016A/ポリマー1016B)の厚さは、その後に形成される恒久的ILD層の最終的な厚さを画定するために使用することができるので、重要であり得る。すなわち、一実施形態では、図10Dに示すポリマーグレーティングは、最終的にほぼ同じ厚さのILDグレーティングと置き換えられる。
一実施形態では、上述のように、図10Dのポリマー1016A/ポリマー1016Bのグリッドはブロックコポリマーである。そのような一実施形態では、ブロックコポリマー分子は、共有結合したモノマーの鎖から形成されたポリマー分子である。ブロックコポリマーには、少なくとも2つの異なる種類のモノマーがあり、これらの異なる種類のモノマーは、主に異なるブロックまたは連続するモノマーの配列内に含まれる。図示するブロックコポリマー分子は、ポリマー1016Aのブロックとポリマー1016Bのブロックを含む。一実施形態では、ポリマー1016Aのブロックは主に共有結合したモノマーAの鎖(例えば、A−A−A−A−A…)を含み、ポリマー1016Bのブロックは、主に共有結合したモノマーBの鎖(例えばB−B−B−B−B…)を含む。モノマーAおよびBは、当技術分野において公知のブロックコポリマーにおいて使用される種々の種類のモノマーのいずれかを表すことができる。例として、モノマーAはポリスチレンを形成するためのモノマーを表すことができ、モノマーBはポリ(メチルメタクリレート)(PMMA)を形成するためのモノマーを表すことができるが、本開示の範囲はそのように限定されない。他の実施形態では、3つ以上のブロックがあってもよい。さらに、他の実施形態では、各ブロックは異なる種類のモノマーを含んでもよい(例えば、各ブロックはそれ自体コポリマーであってもよい)。一実施形態では、ポリマー1016Aのブロックとポリマー1016Bのブロックとは互いに共有結合している。ポリマー1016Aのブロックとポリマー1016Bのブロックとは、ほぼ等しい長さであってもよく、または一方のブロックが他方よりも著しく長くてもよい。
典型的には、ブロックコポリマーのブロック(例えばポリマー1016Aのブロックとポリマー1016Bのブロック)は各々異なる化学的性質を有してもよい。一例として、ブロックの一方は比較的より疎水性(例えば撥水性)であってもよく、他方は比較的より親水性(吸水性)であってもよい。少なくとも概念的には、ブロックのうちの一方は油に比較的類似していてもよく、他方のブロックは水に比較的類似していてもよい。親水性−疎水性の違いであろうとなかろうと、ポリマーの異なるブロック間の化学的性質のそのような違いは、ブロックコポリマー分子を自己組織化させることがある。例えば、自己組織化はポリマーブロックのミクロ相分離に基づいてもよい。概念的には、これは一般に混和性ではない油と水の相分離に類似してもよい。同様に、ポリマーブロック間の親水性の差(例えば、一方のブロックは比較的疎水性であり、他方のブロックは比較的親水性である)は、他方に対する化学的嫌悪のために異なるポリマーブロックが互いに「分離」しようとするおおよそ類似のミクロ相分離を引き起こすことができる。
しかしながら、一実施形態では、ポリマーブロックは互いに共有結合しているので、それらは巨視的規模で完全に分離することはできない。むしろ、所与の種類のポリマーブロックは、極めて小さい(例えば、ナノサイズの)領域または相において、同じ種類の他の分子のポリマーブロックと分離または集塊する傾向があり得る。領域またはミクロ相の特定のサイズおよび形状は、一般に少なくとも部分的にポリマーブロックの相対長さに依存する。一実施形態では、一例として(図10Dに示すように)、2つのブロックコポリマーにおいて、ブロックがほぼ同じ長さである場合には、交互に配置されたポリマー1016Aラインとポリマー1016Bラインのグリッド状パターンが生成される。別の実施形態(図示せず)では、2つのブロックコポリマーにおいて、一方のブロックが他方よりも長いが他方よりも長すぎない場合には、カラム状構造を形成することができる。カラム状構造では、ブロックコポリマー分子は、カラムの内部にミクロ相分離したそれらのより短いポリマーブロックと、カラムから離れて延在しカラムを囲むそれらのより長いポリマーブロックと、整合することができる。例えば、ポリマー1016Aのブロックがポリマー1016Bのブロックよりも長いがそれほど長くはない場合であれば、多くのブロックコポリマー分子がポリマー1016Aのより長いブロックを有する相によって囲まれたカラム状構造を形成するポリマー1016Bのそれらのより短いブロックと整合するカラム状構造を形成することができる。これが十分な大きさの領域で起こると、ほぼ六角形に充填されたカラム状構造の2次元アレイを形成することができる。
一実施形態では、ポリマー1016A/ポリマー1016Bのグレーティングは、例えば、ブラシまたは他のコーティングプロセスによって塗布されたブロックコポリマー材料を含む未組織化ブロックコポリマー層部分として最初に塗布される。未組織化の態様は、堆積時に、ブロックコポリマーがまだ実質的に相分離および/または自己組織化してナノ構造を形成していないシナリオを指す。この未組織形態では、ブロックポリマー分子は比較的高度にランダム化され、異なるポリマーブロックは比較的高度にランダムに配向および配置され、これは、図10Dの得られた構造に関連して説明した組織化されたブロックコポリマー層部分とは対照的である。未組織化ブロックコポリマー層部分は、様々な異なる方法で塗布することができる。一例として、ブロックコポリマーを溶媒に溶解し、次いで表面上にスピンコーティングすることができる。あるいは、未組織化ブロックコポリマーをスプレーコーティング、ディップコーティング、浸漬コーティング、またはその他の方法で表面上にコーティングするか塗布することができる。ブロックコポリマーを塗布する他の方法、ならびに同様の有機コーティングを塗布するための当技術分野において公知の他の方法を潜在的に使用することができる。次いで、未組織化層は、例えば、未組織化ブロックコポリマー層部分のミクロ相分離および/または自己組織化によって、組織化ブロックコポリマー層部分を形成すことができる。ミクロ相分離および/または自己組織化は、ブロックコポリマー分子の再配列および/または再配置を通して、特にブロックコポリマー分子の異なるポリマーブロックの再配列および/または再配置を通して起こる。
そのような一実施形態では、ミクロ相分離および/または自己組織化を開始し、加速し、質を高め、またはその他の方法で促進するために、未組織化ブロックコポリマーにアニーリング処理を施すことができる。いくつかの実施形態では、アニーリング処理は、ブロックコポリマーの温度を上昇させるように操作可能である処理を含むことができる。そのような処理の一例は、層をベーキングすること、オーブン中または熱ランプの下で層を加熱すること、層に赤外線を照射すること、あるいは他の方法で層に熱を加えること、または層の温度を上昇させることである。所望の温度上昇は、ブロックコポリマーまたは集積回路基板の他の任意の重要な材料もしくは構造を損傷することなく、ミクロ相分離および/またはブロックポリマーの自己組織化の速度を著しく加速するのに概ね十分である。一般に、加熱は、約50℃〜約300℃、または約75℃〜約250℃の範囲であってもよいが、ブロックコポリマーまたは集積回路基板の熱劣化限界を超えない。加熱またはアニーリングは、ミクロ相分離の速度を増大させるためにおよび/またはミクロ相分離の質を向上させるために、ブロックコポリマー分子にエネルギーを与えてそれらをより移動性/可撓性にするのを助けることができる。そのようなミクロ相分離またはブロックコポリマー分子の再配列/再配置は、自己組織化をもたらして極めて小さい(例えば、ナノスケール)構造を形成すことができる。自己組織化は、表面エネルギー、分子親和性、および他の表面関連力および化学関連力の影響下で起こり得る。
いずれにせよ、いくつかの実施形態では、疎水性−親水性の違いに基づくかそうでないかにかかわらず、ブロックコポリマーの自己組織化を使用して、極めて小さい周期構造(例えば、正確に間隔を置いたナノスケール構造またはライン)を形成することができる。いくつかの実施形態では、それらは、最終的にビアおよび開口部を形成するために使用することができるナノスケールラインまたは他のナノスケール構造を形成するために使用することができる。いくつかの実施形態では、ブロックコポリマーの指向性自己組織化を使用して、以下により詳細に記載するように、相互接続と自己整合するビアを形成することができる。
図10Dを再び参照すると、一実施形態では、DSAプロセスでは、下地のILD/金属1004/1002表面からの方向に加えて、成長プロセスはILDライン1010の材料の側壁によって影響を受ける可能性がある。したがって、一実施形態では、DSAは、(ライン1010の側壁からの)グラフエピタキシーおよび(下地の露出表面特性からの)化学エピタキシーによって制御される。物理的にも化学的にもDSAプロセスを制約することは、欠陥の観点からプロセスを大幅に助けることができる。得られるポリマー1016A/1016Bは、より少ない自由度を有し、化学的(例えば、下地のILDもしくは金属ライン、または例えばブラシ手法によってそれになされた表面改質) および物理的(例えば、ILDライン1010間に形成されたトレンチから)によりすべての方向で完全に拘束される。
代替的な実施形態では、DSA手法の代わりに選択成長プロセスが使用される。図10Eは、本開示の別の実施形態による、下地の金属の露出部分およびILDラインを選択した後の図10Bの構造の断面図である。図10Eを参照すると、第1の材料タイプ1090が、下地のILDライン1004の露出部分の上に成長している。第2の異なる材料タイプ1092が、下地の金属ライン1002の露出部分の上に成長している。一実施形態では、選択成長は、図10Eに示すように、第1および第2の材料の各々に対する堆積−エッチング−堆積−エッチング手法によって達成され、各々の材料の複数の層が得られる。そのような手法は、「マッシュルームトップ」形状の薄膜を形成することができる従来の選択成長技術に対して有利であり得る。マッシュルームトッピング膜の成長傾向は、交互の堆積/エッチング/堆積(堆積−エッチング−堆積−エッチング)手法によって低減することができる。別の実施形態では、金属上に選択的に膜が堆積され、続いてILD上に選択的に(またはその逆に)異なる膜が堆積され、サンドイッチ状のスタックを形成するために多数回繰り返される。別の実施形態では、両方の材料は、下地の基板の各露出領域上に選択的に成長する反応チャンバ内で(例えば、CVDスタイルのプロセスによって)同時に成長する。
図10Fは、本開示の一実施形態による、1種類のポリマーの除去後の図10Dの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、ポリマーまたはポリマー部分1016Aは、ILDライン1004(またはILDライン1004上に形成されたハードマスクまたはキャップ層)を再露出するために除去されるが、ポリマーまたはポリマー部分1016Bは金属ライン1002の上に保持される。一実施形態では、深紫外線(DUV)フラッド露光とそれに続くウェットエッチングまたは選択的ドライエッチングを使用して、ポリマー1016Aを選択的に除去する。ILDライン1004からのポリマーの最初の除去(図示)の代わりに、金属ライン1002からの除去を最初に行ってもよいことを理解されたい。あるいは、誘電体膜をその領域上に選択的に成長させ、混合足場を使用しない。
図10Gは、本開示の一実施形態による、1種類のポリマーの除去時に開口した位置にILD材料を形成した後の図10Fの構造の平面図および対応する断面図である。平面図ならびに対応する軸線a−a'、b−b'、c−c'およびd−d'にそれぞれ沿った断面図(a)〜(d)を参照すると、下地のILDの露出領域ライン1004は恒久的層間誘電体(ILD)層1018で充填されている。したがって、図10Gの平面図ならびに断面図(b)および(d)に示すように、可能なすべてのビア位置間の空きスペースはILD層1018で充填され、その上にハードマスク層1020が配置される。ILD層1018の材料はILDライン1010と同じ材料である必要はないことを理解されたい。一実施形態では、ILD層1018は堆積および研磨プロセスによって形成される。ILD層1018が付随するハードマスク層1020と共に形成される場合には、特別なILD充填材料(例えば、孔/溝を充填するILDのポリマーカプセル化ナノ粒子)を使用することができる。このような場合、研磨工程は不要になり得る。
図10Gを再び参照すると、一実施形態では、結果として得られる構造は均一なILD構造(ILDライン1010+ILD層1018)を含み、すべての可能なプラグの位置はハードマスク1020で覆われ、すべての可能なビアはポリマー1016Bの領域にある。そのような一実施形態では、ILDライン1010およびILD層1018は同じ材料からなる。別のそのような実施形態では、ILDライン1010およびILD層1018は異なるILD材料からなる。いずれの場合でも、特定の実施形態では、ILDライン1010の材料とILD層1018の材料との間のシームなどの違いが最終構造において観察され得る。例示的なシーム1099が、例示を目的として図10Gに示されている。
図10Hは、本開示の一実施形態による、ビアパターニング後の図10Gの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、ビア位置1022A、1022B、1022Cは、選択された位置においてポリマー1016Bを除去することによって開口される。一実施形態では、選択的ビア位置形成は、リソグラフィ技術を使用することによって達成される。そのような一実施形態では、ポリマー1016Bをアッシングで全体的に除去し、フォトレジストで再充填する。潜像がILDによって(例えば、ILDライン1010およびILD層1018によって)両方向に閉じ込められるので、フォトレジストは非常に高感度であり、(レジストトーンに応じて)大きな酸拡散および積極的な脱保護もしくは架橋を有する。レジストは、ビアが特定の位置に必要かどうかに応じて「オン」または「オフ」にするためのデジタルスイッチとして機能する。理想的には、フォトレジストは、こぼれることなく、孔だけを埋めるために使用することができる。一実施形態では、ビア位置1022A、1022B、1022Cは、ラインエッジまたは幅粗さ(LWR)ならびにライン崩壊および/または反射が排除されない場合に軽減されるようにプロセスに完全に限定される。一実施形態では、低線量がEUV/EBDWと共に使用され、ランレートを有意に増加させる。一実施形態では、EBDWを使用することによる追加の利点は、送達する必要がある線量を下げることだけでなく、必要なアパーチャの数を大幅に減らすことによってランレートを上げることができるシングルショットタイプ/サイズのみが要求されるということである。193nm液浸リソグラフィが使用される場合、一実施形態では、プロセスフローは、実際にパターニングされるビアのサイズがウェハ上の実際のビアのサイズの2倍(例えば、1:1ライン/スペースパターン)になるように両方向にビア位置を制限する。あるいは、保持する必要があるビアがフォトレジストで保護され、残りの部位が除去され、後にILDで埋められるように、ビアの位置を逆トーンで選択することができる。そのような手法は、2つの別々の金属堆積ステップではなく、パターニングフローの終わりに単一の金属充填/研磨プロセスを可能にすることができる。
図10Iは、本開示の一実施形態による、ビア形成後の図10Hの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、ビア位置1022A、1022B、1022Cが金属で充填されて、ビア1024A、1024B、1024Cをそれぞれ形成する。一実施形態では、ビア位置1022A、1022B、1022Cが過剰の金属で充填され、その後の研磨工程が行われる。しかしながら、別の実施形態では、ビア位置1022A、1022B、1022Cは金属の過剰充填なしに充填され、研磨作業は省略される。図10Iに示すビア充填は、逆トーンビア選択手法ではスキップされてもよいことを理解されたい。
図10Jは、本開示の一実施形態による、第2の種のポリマーを除去し、ILD材料と置換した後の図10Iの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、(例えば、ビア位置が選択されていない)残りのポリマーまたはポリマー部分1016Bを除去して、金属ライン1002を再露出させる。その後、ILD層1026が、図10Jに示すように、残りのポリマーまたはポリマー部分1016Bが除去された位置に形成される。
図10Jを再び参照すると、一実施形態では、結果として得られる構造は、一様なILD構造(ILDライン1010+ILD層1018+ILD層1026)を含み、すべての可能なプラグの位置はハードマスク1020で覆われる。そのような一実施形態では、ILDライン1010、ILD層1018、およびILD層1026は同じ材料からなる。別のそのような実施形態では、ILDライン1010、ILD層1018、およびILD層1026のうちの2つは同じ材料から構成され、第3のものは異なるILD材料から構成される。さらに別のそのような実施形態では、ILDライン1010、ILD層1018、およびILD層1026のすべては、互いに異なるILD材料からなる。いずれの場合でも、特定の実施形態では、ILDライン1010の材料とILD層1026の材料との間のシームなどの違いが最終構造において観察され得る。例示的なシーム1097が、例示を目的として図10Jに示されている。同様に、ILD層1018の材料とILD層1026の材料との間のシームなどの違いが最終構造において観察され得る。例示的なシーム1098が、例示を目的として図10Jに示されている。
図10Kは、本開示の一実施形態による、選択したプラグ位置にレジストまたはマスクをパターニングした後の図10Jの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、プラグ位置1028A、1028B、1028Cは、それらの位置の上にマスクまたはレジスト層を形成することによって保存される。そのような保存パターニングは、金属端部間リソグラフィパターニングと呼ばれることがあり、プラグ位置は、その後に形成される金属ラインの切れ目が必要とされる場所で決定される。プラグ位置はILD層1018/ハードマスク1020が配置されている位置にしか存在し得ないので、プラグは前の層のILDライン1004上に生じ得ることを理解されたい。一実施形態では、パターニングはリソグラフィ工程(例えば、EUV、EBDWまたは液浸193nm)を使用することによって達成される。一実施形態では、図10Kに示すプロセスは、金属間のスペースが生じる必要がある領域が保存されるポジ型パターニングプロセスの使用を実証する。他の実施形態では、代わりに孔を開けてプロセスのトーンを逆にすることも可能であることを理解されたい。
図10Lは、本開示の一実施形態による、ハードマスク除去およびILD層のリセス後の図10Kの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、ハードマスク1020を除去し、ILD層1018およびILD層1026を元の最上面の下にこれらの層をエッチングすることによって、ILD層1018およびILD層1026をリセスしてリセスされたILD層1018'およびリセスされたILD層1026'を形成する。ILD層1018およびILD層1026のリセス処理は、ILDライン1010をエッチングまたはリセスすることなく行われることを理解されたい。選択性は、(断面図(a)および(b)に示すように)ILDライン上にハードマスク層1012を使用することによって達成することができる。あるいは、ILDライン1010がILD層1018およびILD層1026の材料とは異なるILD材料からなる場合、ハードマスク1012がなくても選択的エッチングを使用することができる。ILD層1018およびILD層1026をリセスすることは、後述するように、ILDライン1010によって分離されるように、第2のレベルの金属ラインのための位置を提供することである。一実施形態では、リセス部の範囲または深さは、その上に形成される金属ラインの所望の最終厚さに基づいて選択される。プラグ位置1028A、1028B、1028C内のILD層1018はリセスされないことを理解されたい。
図10Mは、本開示の一実施形態による、金属ラインを形成した後の図10Lの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'およびc−c'に沿った対応する断面図(a)、(b)および(c)を参照すると、金属相互接続ラインを形成するための金属は、図10Lの構造の上にコンフォーマルに形成される。次いで、例えばCMPによって金属を平坦化して金属ライン1030が設けられ、それは、リセスされたILD層1018'およびリセスされたILD層1026'の上の位置に限定される。金属ライン1030は、所定のビア位置1024A、1024B、1024Cを介して下地の金属ライン1002と結合されている(1024Bは断面図(c)に示されている。説明の目的のために、これが前の図と矛盾している場合であっても、断面図(b)において別のビア1032がプラグ1028Bに直接隣接して描かれていることに留意されたい)。金属ライン1030は、ILDライン1010によって互いに分離されており、保存されているプラグ1028A、1028B、1028Cによって分断または分解されている。図10Mに示すように、プラグ位置上および/またはILDライン1010上に残っているハードマスクは、プロセスフローのこの部分で除去することができる。金属ライン1030を形成するための金属(例えば、銅および関連するバリアおよびシード層)の堆積および平坦化プロセスは、標準的なバックエンドオブライン(BEOL)シングルまたはデュアルダマシン処理に通常使用されるものであってもよい。一実施形態では、その後の製造工程で、ILDライン1010を除去して、結果として生じる金属ライン1030の間にエアギャップを設けることができる。
続いて、図10Mの構造を、後続の金属ライン/ビア層およびILD層を形成するための下地としてその後使用することができる。あるいは、図10Mの構造は、集積回路内の最終金属相互接続層を表してもよい。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。さらに、上記のプロセスフローは指向性自己組織化(DSA)の用途に焦点を当てていたが、代わりに選択成長プロセスをプロセスフローの1つまたは複数の位置で使用することができる。いずれにせよ、結果として得られる構造は、下地の金属ラインを直接中心とするビアの製造を可能にする。すなわち、ビアは、例えば不完全な選択的エッチング処理のために、下地の金属ラインよりも広く、またはより狭くなるか、または同じ厚さになり得る。それにもかかわらず、一実施形態では、ビアの中心は金属ラインの中心と直接整合(一致)している。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。
本明細書に記載の1つまたは複数の実施形態は、前の層の自己整合ビアおよびプラグのパターニングに関する。本明細書に記載のプロセスの自己整合態様は、以下により詳細に説明するように、指向性自己組織化(DSA)機構に基づいてもよい。しかしながら、選択的成長メカニズムが、DSAベースの手法の代わりに、またはそれと組み合わせて使用することができることを理解されたい。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。
図11A〜図11Mは、本開示の一実施形態による、自己整合ビアおよび金属パターニングの方法における様々な工程を表す集積回路層の一部を示す図である。説明される各工程における各図において、左側に平面図が示され、右側に対応する断面図が示されている。これらの図は、本明細書では対応する断面図および平面図と呼ばれる。
図11Aは、本開示の一実施形態による、前層メタライゼーション構造の任意選択の平面図および対応する断面図である。平面図および対応する断面図の選択肢(a)を参照すると、開始構造1100は、金属ライン1102のパターンおよび層間誘電体(ILD)ライン1104を含む。自己組織化材料が使用されている場合には、図11Aに示すように、開始構造1100は、一定のピッチで離間し一定の幅を有する金属ラインを用いてグレーティング状パターンにパターニングすることができる。指向性選択成長技術が使用される場合には、その下地のパターンは単一のピッチまたは幅である必要はない。例えば、パターンは、ピッチを半分にするか、またはピッチを4分の1にする手法によって製造することができる。一例として断面図に示されているライン1102'などのように、ラインのうちのいくつかは下地のビアと関連付けられてもよい。
図11Aを再度参照すると、代替的な選択肢(b)〜(f)は、金属ライン1102および層間誘電体ライン1104の一方または両方の表面上に追加の薄膜が形成される(例えば、堆積され、成長し、または前のパターニングプロセスから残っているアーチファクトとして残される)状況に対処する。例(b)では、追加の薄膜1106が層間誘電体ライン1104上に配置されている。例(c)では、追加の薄膜1108が金属ライン1102上に配置されている。例(d)では、追加の薄膜1106が層間誘電体ライン1104上に配置され、追加の薄膜1108が金属ライン1102上に配置されている。さらに、金属ライン1102および層間誘電体ライン1104は、(a)では同一平面上に描かれているが、他の実施形態では、それらは同一平面上にはない。例えば、(e)では、金属ライン1102が層間誘電体ライン1104の上方に突出している。例(f)では、金属ライン1102が層間誘電体ライン1104の下方にリセスされている。
例(b)〜(d)を再び参照すると、追加の層(例えば、層1106または1108)をハードマスク(HM)または保護層として使用することができ、あるいは後続の処理工程に関連して以下に説明する選択成長および/または自己組織化を可能にするために使用することができる。そのような追加の層はまた、ILDラインをさらなる処理から保護するために使用されてもよい。さらに、金属ラインの上に別の材料を選択的に堆積することは、同様の理由で有益であり得る。例(e)および(f)を再び参照すると、ILDラインまたは金属ラインのいずれかを、一方または両方の表面上に保護/HM材料の任意の組み合わせでリセスすることも可能であり得る。全体として、この段階では、選択的または指向性自己組織化プロセスのために最終的に下地の表面を準備するための多数の選択肢が存在する。
図11Bは、本開示の一実施形態による、下地の金属/ILDグレーティング上(例えば、図11Aに示すような構造上)の指向性自己組織化(DSA)成長の選択肢の平面図および対応する断面図である。平面図を参照すると、構造1110は、交互に配置されたポリマーまたは交互に配置されたポリマー成分を有する層を含む。例えば、図示するように、ポリマーA(またはポリマー成分A)は、図11Aの層間誘電体(ILD)ライン1104上またはその上方に形成され、一方、ポリマーB(またはポリマー成分B)は、図11Aの金属ライン1102上またはその上方に形成される。断面図を参照すると、(a)では、ポリマーA(またはポリマー成分A)がILDライン1104上に形成され、ポリマーB(またはポリマー成分B)が金属ライン1102上に形成される。(b)では、ポリマーA(またはポリマー成分A)がILDライン1104上に形成された追加の薄膜1106上に形成され、ポリマーB(またはポリマー成分B)が金属ライン1102上に形成される。(c)では、ポリマーA(またはポリマー成分A)がILDライン1104上に形成され、ポリマーB(またはポリマー成分B)が金属ライン1102上に形成された追加の薄膜1108上に形成される。(d)では、ポリマーA(またはポリマー成分A)がILDライン1104上に形成された追加の薄膜1106上に形成され、ポリマーB(またはポリマー成分B)が金属ライン1102上に形成された追加の薄膜1108上に形成される。
図11Bを再び参照すると、一実施形態では、下地の構造(例えば、図11Aの構造1100)の表面が準備されると、ポリスチレン−ポリメチルメタクリレート(PS−PMMA)などの50−50ジブロックコポリマーが基板上にコーティングされ、アニールされて自己組織化を促進し、図11Bの構造1110のポリマーA/ポリマーB層が得られる。そのような一実施形態では、適切な表面エネルギー条件では、ブロックコポリマーは構造1100の下地の材料に基づいて分離する。例えば、特定の実施形態では、ポリスチレンは、下地の金属ライン1102(または対応する金属ラインキャップまたはハードマスク材料)に選択的に整合する。一方、ポリメチルメタクリレートはILDライン1104(または対応する金属ラインキャップまたはハードマスク材料)に選択的に整合する。
したがって、一実施形態では、下地の金属およびILDグリッドはブロックコポリマー(BCP、すなわちポリマーA/ポリマーB)内に再生成される。これは、BCPピッチが下地のグレーティングピッチと同程度である場合に特にそうであり得る。ポリマーグリッド(ポリマーA/ポリマーB)は、一実施形態では、高度によく整合したグリッドからの特定の小さなずれに対して頑強である。例えば、小さいプラグが、高度によく整合したグリッドが金属を有するであろう場所に酸化物または同様の材料を効果的に配置する場合には、高度によく整合したポリマーA/ポリマーBグリッドを依然として達成することができる。しかしながら、ILDライングレーティングは、一実施形態では、ILDバックボーンの金属破壊を伴わない理想化されたグレーティング構造であるため、そのような場合は、両方のタイプのポリマー(AおよびB)がILDなどの材料に露出されるが1つのタイプだけが金属に露出されるので、ILD表面を中性にすることが必要であり得る。
一実施形態では、コーティングされたポリマー(ポリマーA/B)の厚さは、その場所に最終的に形成されるILDの最終的な厚さとほぼ同じか、それよりわずかに厚い。一実施形態では、以下により詳細に説明するように、ポリマーグリッドは、エッチレジストとしてではなく、むしろ最終的にその周囲に恒久的ILD層を成長させるための足場として形成される。このように、ポリマー(A/B)の厚さは、その後に形成される恒久的ILD層の最終的な厚さを画定するために使用することができるので、重要であり得る。すなわち、一実施形態では、図11Bに示すポリマーグレーティングは、最終的にほぼ同じ厚さのILDグレーティングと置き換えられる。
一実施形態では、上述のように、図2のポリマーA/ポリマーBのグリッドはブロックコポリマーである。そのような一実施形態では、ブロックコポリマー分子は、図10Dに関連して上述したものなどである。一実施形態では、第1の例として(図11Bに示すように)、2つのブロックコポリマーにおいて、ブロックがほぼ同じ長さである場合には、交互に配置されたポリマーAラインとポリマーBラインのグリッド状パターンが生成される。別の実施形態では、第2の例(図示せず)として、2つのブロックコポリマーにおいて、一方のブロックが他方よりも長いが他方よりも長すぎない場合には、垂直カラム状構造を形成することができる。カラム状構造では、ブロックコポリマー分子は、カラムの内部にミクロ相分離したそれらのより短いポリマーブロックと、カラムから離れて延在しカラムを囲むそれらのより長いポリマーブロックと、整合することができる。例えば、ポリマーAのブロックがポリマーBのブロックよりも長いがそれほど長くはない場合であれば、多くのブロックコポリマー分子がポリマーAのより長いブロックを有する相によって囲まれたカラム状構造を形成するポリマーBのそれらのより短いブロックと整合するカラム状構造を形成することができる。これが十分な大きさの領域で起こると、ほぼ六角形に充填されたカラム状構造の2次元アレイを形成することができる。
一実施形態では、ポリマーA/ポリマーBのグレーティングは、図10Dに関連して上述したように、例えばブラシまたは他のコーティングプロセスによって塗布されたブロックコポリマー材料を含む未組織化ブロックコポリマー層部分として最初に塗布される。そのような実施形態では、図10Dに関連して上述したように、ミクロ相分離および/または自己組織化を開始し、加速し、質を高め、または他の方法で促進するために、未組織化ブロックコポリマーにアニーリング処理を施す。
図11Cは、本開示の一実施形態による、1種類のポリマーの除去後の図11Bの構造の平面図および対応する断面図である。図11Cを参照すると、ポリマーBが除去されて金属ライン1102(または金属ライン1102上に形成されたハードマスク層またはキャップ層)が再露出され、一方、ポリマーAがILDライン1104内に保持されて構造1112が形成される。一実施形態では、深紫外線(DUV)フラッド露光とそれに続くウェットエッチングまたは選択的ドライエッチングを使用して、ポリマーBを選択的に除去する。金属ライン1102からのポリマーの最初の除去(図示)の代わりに、ILDラインからの除去を最初に行ってもよいことを理解されたい。
図11Dは、本開示の一実施形態による、金属ライン1102の上に犠牲材料層を形成した後の図11Cの構造の平面図および対応する断面図である。平面図ならびに対応する断面図(b)を参照すると、構造1114は、金属ライン1102の上方またはその上、ならびにILDライン1104の上方またはその上に形成されたポリマーAラインの間に形成された犠牲B層を含む。一実施形態では、断面図(a)を参照すると、低温堆積は、例えばコンフォーマル層1116としての酸化物(例えばTiO)または他の犠牲材料で、ポリマーAライン間のトレンチを充填する。次に、コンフォーマル層1116は、ドライエッチングまたは化学機械平坦化(CMP)プロセスによって金属ライン1102の上の領域に限定される。いくつかの実施形態では、材料が最終的に恒久的ILD材料で置き換えられるので、結果として生じる層は、本明細書では犠牲Bと呼ばれる。しかしながら、他の実施形態では、代わりにこの段階で恒久的ILD材料を形成できることを理解されたい。犠牲材料が使用される場合、一実施形態では、犠牲材料は、必要な堆積特性、熱安定性、およびプロセスで使用される他の材料に対するエッチング選択性を有する。
図11Eは、本開示の一実施形態による、ポリマーAを恒久的層間誘電体(ILD)材料で置き換えた後の図11Dの構造の平面図および対応する断面図である。平面図および対応する断面図(c)を参照すると、構造1118は、ILDライン1104上またはその上方および犠牲B材料ラインの間に恒久的層間誘電体(ILD)ライン1120を含む。一実施形態では、断面図(a)に示すように、ポリマーAラインが除去される。次に、断面図(b)を参照すると、ILD材料層1119が、結果として生じる構造の上にコンフォーマルに形成される。次に、コンフォーマル層1119は、ドライエッチングまたは化学機械平坦化(CMP)プロセスによってILDライン1104の上の領域に限定される。一実施形態では、構造1118は、図11Bのポリマー(A/B)のグレーティングを、下地の金属グレーティングと同程度でかつ下地のグレーティングと整合された非常に厚い材料グレーティング(例えば恒久的ILD1120および犠牲B)と効果的に置き換える。以下でさらに詳細に説明するように、2つの異なる材料を使用して、プラグおよびビアの可能な位置を最終的に画定することができる。
図11Fは、本開示の一実施形態による、恒久的ILDライン上への選択的ハードマスク形成後の図11Eの構造の平面図および対応する断面図である。平面図および対応する断面図(c)を参照すると、構造1122は、恒久的層間誘電体(ILD)ライン1120上に形成されたハードマスク層1124を含む。一実施形態では、断面図(c)を参照すると、選択的成長プロセスを用いて、恒久的ILDライン1120の表面に限定されるようにハードマスク層1124を形成する。別の実施形態では、リセスされた恒久的ILDライン1120を有する構造上にコンフォーマル材料層1123が最初に形成される(断面図(a))。次に、コンフォーマル層1123に時限エッチングおよび/またはCMPプロセスを施して、ハードマスク層1124を形成する(断面図(b))。後者の場合、ILDライン1120は犠牲B材料に対してリセスされ、次いで非コンフォーマル(平坦化)ハードマスク1123が結果として生じるグレーティング上に堆積される。ハードマスクの時限エッチングまたは研磨工程が犠牲B材料から材料1123を選択的に除去するように、材料1123はリセスされたILDライン1120上よりも犠牲Bライン上でより薄い。
図11Gは、本開示の一実施形態による、犠牲Bラインの除去および恒久的ILDライン1128との置換後の図11Fの構造の平面図および対応する断面図である。平面図および対応する断面図(c)を参照すると、構造1126は、図11Fの犠牲Bラインの代わりに、すなわち金属ライン1102の上にそれと整合して、恒久的ILDライン1128を含む。一実施形態では、犠牲B材料が除去され(断面図(a))、例えばコンフォーマル層の堆積およびその後の時限エッチングまたはCMP処理(断面図(b))によって、恒久的ILDライン1128(断面図(c))と置き換えられる。一実施形態では、結果として得られる構造1126は、均一なILD材料(恒久的ILDライン1120+恒久的ILDライン1128)を含み、すべての可能なプラグの位置がハードマスク1124で覆われ、すべての可能なビアが露出した恒久的ILDライン1128の領域にある。そのような一実施形態では、恒久的ILDライン1120および恒久的ILDライン1128は同じ材料からなる。別のそのような実施形態では、恒久的ILDライン1120および恒久的ILDライン1128は異なるILD材料からなる。いずれの場合も、特定の実施形態では、恒久的ILDライン1120の材料と恒久的ILDライン1128の材料との間のシームなどの違いが最終構造1126において観察され得る。例示的なシーム1199が、例示を目的として図11Fに示されている。
図11Hは、本開示の一実施形態による、トレンチ形成(例えば、グレーティング画定)後の図11Gの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、金属ラインのパターン間の領域を最終的に画定するための構造1130内のグレーティングは、図11Gのグレーティングに垂直に、図11Gの構造内にトレンチ1132を形成することによって画定される。一実施形態では、トレンチ1132は、以前の構造の犠牲グレーティングにグレーティングパターンをパターニングおよびエッチングすることによって形成される。一実施形態では、グリッドが形成され、効果的に、最終的に形成された金属ラインの間のすべてのスペースの位置をすべてのプラグおよびビアと共に同時に画定する。一実施形態では、トレンチ1132は、下地のILDライン1104および金属ライン1102の一部を露出させる。
図11Iは、本開示の一実施形態による、図11Hのトレンチ内に犠牲材料グレーティングを形成した後の図11Hの構造の平面図および対応する断面図である。平面図およびそれぞれ軸線a−a'、b−b'、c−c'およびd−d'に沿った対応する断面図(a)〜(d)を参照すると、図11Hの構造のトレンチ1132内に層間誘電体層または犠牲層である材料層1134が形成されている。一実施形態では、材料層1134は、恒久的ILD材料または犠牲層(例えば、エアギャップを製造する場合には後で除去することができる)を用いたコンフォーマルな堆積およびその後の時限エッチングもしくはCMPによって形成される。前者の場合、材料層1134は、最終的に、同じ金属層上に続いて形成された平行な金属ラインの間のILD材料になる。後者の場合、図示するように、材料は犠牲C材料と呼ばれることがある。一実施形態では、材料層1134は、他のILD材料およびハードマスク層1128に対して高いエッチング選択性を有する。
図11Jは、本開示の一実施形態による、マスクの形成およびパターニング、ならびにそれに続くビア位置のエッチングの後の図11Iの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図11Iの構造上にマスク1136が形成されている。マスクは、その中に形成された開口部1137を有するように、例えばリソグラフィプロセスによってパターニングされる。一実施形態では、開口部は、所望のビアパターニングに基づいて決定される。すなわち、この段階で、(例えば、プレースホルダとしての)可能性のあるすべてのビアおよびプラグがパターニングされており、上方または下方の最終的な金属層に対して自己整合している。ここで、ビアおよびプラグ位置のサブセットは、金属ライン位置をエッチングするための位置と同様に、保存のために選択される。一実施形態では、ArFレジスト、EUVレジスト、または電子ビームレジストを使用して、エッチングされるビアを切断または選択する、すなわち金属ライン1102の露出部分の位置で選択する。ハードマスク1124および材料層1134は、ビアの形状および位置を決定する実際のエッチングマスクとして機能することを理解されたい。マスク1136は、残りのビアがエッチングされるのを阻止するためだけに役立つ。このように、選択されたビア位置(すなわち、金属ライン1102の露出部分の真上の開口部1137の部分) の周囲の材料(例えば、ハードマスク1124および材料層1134)が、最終的なビア製造のために、金属ライン1102の選択された部分の上のILDライン1128を除去するために使用されるエッチングプロセスに対して耐性があるので、開口部1137サイズの許容誤差が緩和される。一実施形態では、マスク1136は、トポグラフィックマスキング部分1136C、反射防止コーティング(ARC)層1136B、およびフォトレジスト層1136Aからなる。そのような特定の実施形態では、トポグラフィックマスキング部分136Cは炭素ハードマスク(CHM)層であり、反射防止コーティング層136BはシリコンARC層である。
図11Kは、本開示の一実施形態による、マスクおよびハードマスクの除去、その後のプラグのパターニングおよびエッチング後の図11Jの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図11Jに示すマスク1136は、ビア位置パターニングの後に除去される。続いて、第2のマスク1138が形成され、選択されたプラグ位置を覆うようにパターニングされる。具体的には、一実施形態では、図11Kに示すように、ハードマスク1124の一部は、プラグが最終的に形成される位置に保存される。すなわち、この段階では、ハードマスクプラグの形のすべての可能なプラグが存在する。図11Kのパターニング工程は、プラグの保存のために選択された部分を除くすべてのハードマスク1124部分を除去するのに役立つ。パターニングは、例えば一体化誘電体層として、ILDライン1120、1128のかなりの部分を効果的に露出させる。
図11Lは、本開示の一実施形態による、マスク除去および金属ライントレンチエッチング後の図11Kの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図11Kに示すマスク1138は、ビア位置パターニングの後に除去される。続いて、ILDライン1120、1128の露出部分の部分エッチングが行われて、リセスされたILDライン1120'、1128'が形成される。リセスの範囲は、所望の金属ラインの厚さの深さを目標とした時限エッチングプロセスに基づくことができる。図11Lに示すように、保存されたハードマスク1124部分によって保護されたILDライン1120の部分は、エッチングによってリセスされない。さらに、材料層1134(犠牲材料または恒久的ILD材料であり得る)もまたエッチングまたはリセスされない。ビア位置が(金属ライン1102の露出部分で)既にエッチングされており、プラグが(ハードマスク1124が保存されている位置で)既にエッチングされているので、図11Lに示すプロセスにリソグラフィ工程は必要ないことを理解されたい。
図11Mは、本開示の一実施形態による、金属ラインの堆積および研磨後の図11Lの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、金属相互接続ラインを形成するための金属は、図11Lの構造の上にコンフォーマルに形成される。次に、例えばCMPによって金属を平坦化して金属ライン1140を形成する。金属ラインは、所定のビア位置を介して下地の金属ラインと結合され、保存プラグ1142、1144によって分離される。金属(例えば、銅および関連するバリア層およびシード層)の堆積および平坦化プロセスは、標準的なBEOLデュアルダマシンプロセスのそれであってもよい。その後の製造工程で、材料層ライン1134を除去して、結果として生じる金属ライン1140の間にエアギャップを設けることができることを理解されたい。
図11Mの構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図11Mの構造は、集積回路内の最終金属相互接続層を表してもよい。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。さらに、上記のプロセスフローは指向性自己組織化(DSA)の用途に焦点を当てていたが、代わりに選択成長プロセスをプロセスフローの1つまたは複数の位置で使用することができる。いずれにせよ、結果として得られる構造は、下地の金属ラインを直接中心とするビアの製造を可能にする。すなわち、ビアは、例えば不完全な選択的エッチング処理のために、下地の金属ラインよりも広く、またはより狭くなるか、または同じ厚さになり得る。それにもかかわらず、一実施形態では、ビアの中心は金属ラインの中心と直接整合(一致)している。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。
本開示の一実施形態によれば、自己整合DSAトリブロックボトムアップ手法が説明される。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアまたはコンタクト用のトリブロックコポリマーに関する。より進歩したブロックコポリマーの使用および指向性自己組織化戦略によって、下地のタイトなメタル層への整合を達成することができる。本明細書に記載の実施形態は、コスト、スケーラビリティ、パターン配置誤差、および可変性を改善するために実施することができる。
一般に、本明細書に記載の1つまたは複数の実施形態は、「自己整合フォトバケット」への相分離を達成するためのトリブロックコポリマー材料の三相の使用を含み、例えば、整合フォトバケットを生成するための自己整合トリブロックコポリマーの使用が記載される。フォトバケットの製造および使用を目的とした追加の実施形態は、図12A〜図12Kの本実施形態を超える実施形態で以下により詳細に説明される。しかしながら、実施形態はフォトバケットの概念に限定されず、ボトムアップおよび/または指向性自己組織化(DSA)手法を使用して製造された予め形成されたフィーチャを有する構造への広範囲の応用を有することを理解されたい。
図12A〜図12Cは、本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における様々な工程を表す斜視断面図である。
図12Aを参照すると、半導体構造層1200は、交互に配置された金属ライン1202と層間誘電体(ILD)ライン1204のグレーティングパターンを有する。構造1200は、第1の分子種1206を用いた第1の分子ブラシ工程(i)で処理することができる。構造1200はまた、第2の分子種1208を用いた第2の分子ブラシ工程(ii)で処理することができる。工程(i)および(ii)の順序は逆にすることができ、あるいは実質的に同時に実行することさえできることを理解されたい。
図12Bを参照すると、分子ブラシ工程は、交互に配置された金属ライン1202およびILDライン1204のための派生表面を変更または提供するために実行することができる。例えば、金属ライン1202の表面は、金属ライン1202上にA/B表面1210を有するように処理することができる。ILDライン1204の表面は、ILDライン1204上にC面1212を有するように処理することができる。
図12Cを参照すると、図12Bの構造は、トリブロックブロックコポリマー(トリブロックBCP)1214の適用、および場合によってはその後の分離処理を含む処理工程(iii)で処理されて分離構造1220を形成することができる。分離構造1220は、ILDライン1204の上に分離トリブロックBCPの第1の領域1222を含む。分離トリブロックBCPの交互に配置された第2の領域1224および第3の領域1226は、金属ライン1202の上にある。分離構造1220を形成するために、下地のパターン(グラフエピタキシーで使用されるような同一平面パターンではない)のみがトリブロックコポリマー1214の組織化を導くために使用されるので、トリブロックコポリマー1214の3つのブロックの最終的な配置は化学エピタキシーに基づく。
図12A〜図12Cをまとめて参照すると、一実施形態では、バックエンドオブライン(BEOL)半導体構造メタライゼーション層の指向性自己組織化のための構造1220は、基板(図示していないが、以下で説明しており、ILDライン1204および金属ライン1202の下にあると理解される)を含む。下側メタライゼーション層は、基板の上に配置された交互に配置された金属ライン1202および誘電体ライン1204を含む。トリブロックコポリマー層1214が下側メタライゼーション層の上に配置されている。トリブロックコポリマー層は、下側メタライゼーション層の誘電体ライン1204の上に配置された第1の分離ブロック成分1222を含む。トリブロックコポリマー層は、下側メタライゼーション層の金属ライン1202の上に交互に配置された第2の分離ブロック成分1224および第3の分離ブロック成分1226を含む。
一実施形態では、トリブロックコポリマー層1214の第3の分離ブロック1226成分は感光性である。一実施形態では、トリブロックコポリマー層1214は、約5〜100ナノメートルの範囲の厚さに形成される。一実施形態では、トリブロックコポリマー層1214は、ポリスチレンおよび他のポリビニルアレーン、ポリイソプレンおよび他のポリオレフィン、ポリメタクリレートおよび他のポリエステル、ポリジメチルシロキサン(PDMS)および関連するSi系ポリマー、ポリフェロセニルシラン、ポリエチレンオキサイド(PEO)および関連するポリエーテル、ならびにポリビニルピリジンのうちのいずれか3つからなる群から選択されるトリブロックコポリマー種を含む。一実施形態では、図21Cに示すように(そして図12Hに関連して以下に説明するように)、交互に配置された第2の分離ブロック成分1224および第3の分離ブロック成分1226は約1:1の比を有する。別の実施形態では、交互に配置された第2の分離ブロック成分1224と第3の分離ブロック成分1226は、第2の分離ブロック成分1224対第3の分離ブロック成分1226の比X:1を有し、ここでXは1より大きく、図12Iに関連して以下に説明されるように、第3の分離ブロック成分1226は、第2の分離ブロック成分によって囲まれるカラム状構造を有する。別の実施形態では、トリブロックコポリマー層1214は、所望のモルフォロジーを達成するために、A、B、および/またはCのホモポリマー、あるいはA−B、B−C、またはA−C成分のジブロックBCPの混合である。
一実施形態では、構造1220は、下側メタライゼーション層の誘電体ライン1204上に配置された第1の分子ブラシ層1212をさらに含む。その実施形態では、第1の分離ブロック成分1222は第1の分子ブラシ層上に配置される。一実施形態では、構造1220はまた、下側メタライゼーション層の金属ライン102上に配置された第2の異なる分子ブラシ層1210も含む。第2の分子ブラシ層1210上に、第2の分離ブロック成分1224と第3の分離ブロック成分1226とが交互に配置されている。一実施形態では、第1の分子ブラシ層1212は、−SH、−PO、−COH、−NRH、−NRR'、および−Si(OR)からなる群から選択される頭部基を有するポリスチレンを含む分子種1208を含み、第2の分子ブラシ層1210は、−SH、−PO、−COH、−NRH、−NRR'、および−Si(OR)からなる群から選択される頭部基を有するポリメタクリレートを含む分子種1206を含む。
一実施形態では、下側メタライゼーション層の交互に配置された金属ライン1202および誘電体ライン1204は、一定のピッチを有するグレーティングパターンを有する。一実施形態では、トリブロックコポリマー層1214の第3の分離ブロック成分1226は、下側メタライゼーション層の上のメタライゼーション層に対するすべての可能なビア位置を画定する。一実施形態では、トリブロックコポリマー層1214の第3の分離ブロック成分1226は、極紫外線(EUV)源または電子ビーム源に対して感光性である。
図12Dは、本開示の一実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する方法における工程を表す斜視断面図である。
図12Dを参照すると、図12Cの構造1220の第3の分離ブロック成分1226のすべての部分が除去されている。そのような一実施形態では、第3の分離ブロック成分1226のすべての部分の除去は、後にその下地のメタライゼーションの上に形成することができるすべての可能なビア位置を開口する。特定の設計に必要なビア位置のみを選択することを最終的に可能にするために、開口部をフォトレジスト層で充填することができる。図12Dの場合、構造1220の第3の分離ブロック成分1226は、感光性であってもよいが、そうである必要はないことを理解されたいが、それは、図12Cの構造1220の第3の分離ブロック成分1226のすべての部分の除去は、選択的エッチング(例えば、第1の分離ブロック成分1222および第2の分離ブロック成分1224に対して選択的)のみによって実行され得るからである。そのような一実施形態では、選択的エッチングは、選択的ドライエッチングまたは選択的ウェットエッチング、あるいはその両方を使用して実行することができる。
図12Eは、本開示の別の実施形態による、トリブロックコポリマーを使用してバックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成する別の方法における工程を表す斜視断面図である。
図12Eを参照すると、図12Cの構造1220の第3の分離ブロック成分1226の選択部分のみが除去されている。そのような一実施形態では、第3の分離ブロック成分1226の選択部分のみの除去は、特定の設計に必要な下地のメタライゼーション層の上のそれらのビア位置のみである。図12Eの場合、構造1220の第3の分離ブロック成分1226は感光性であり、位置選択は局所的であるが非常に耐性のあるリソグラフィ露光を使用して実行されることを理解されたい。位置1226に隣接する隣接材料1222、1224は、一実施形態では、成分1226の除去部分のための位置を選択するために使用されるリソグラフィに対して感光性ではないので、露光は許容できると説明することができる。
図12Fは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを示す。
図12Fを参照すると、分離されたトリブロックBCP 1250は部分1222、1224、1226によって軸線1252に沿って分割されてもよい。非対称配置などの他の分割配置も可能であり得ることを理解されたい。一実施形態では、成分1222、1224、1226の間にエッチング選択性があり、それは他の2つの成分に対して1つの成分について10:1という非常に大きなエッチング選択性であり得る。一実施形態では、トリブロックBCP 1250の使用は、パターンの忠実度を向上させ、限界寸法(CD)のばらつきを低減することができる。一実施形態では、193ナノメートル液浸リソグラフィ(193i)または極紫外線リソグラフィ(EUVL)プロセスを補完する自己整合戦略を可能にするために分離トリブロックBCP 1250を実施することができる。
通常、トリブロックコポリマーのブロックは各々異なる化学的性質を有してもよいことを理解されたい。一例として、ブロックのうちの1つは比較的より疎水性(例えば撥水性)であってもよく、2つのブロックは比較的より親水性(吸水性)であってもよく、またはその逆であってもよい。少なくとも概念的には、ブロックのうちの1つは油に比較的類似していてもよく、他の2つのブロックは水に比較的類似していてもよく、またはその逆であってもよい。親水性−疎水性の違いであろうとなかろうと、ポリマーの異なるブロック間の化学的性質のそのような違いは、ブロックコポリマー分子を自己組織化させることがある。例えば、自己組織化はポリマーブロックのミクロ相分離に基づいてもよい。概念的には、これは一般に混和性ではない油と水の相分離に類似してもよい。
同様に、ポリマーブロック間の親水性の差は、互いに化学的嫌悪のために異なるポリマーブロックが互いに「分離」しようとするおおよそ類似のミクロ相分離を引き起こすことができる。しかしながら、一実施形態では、ポリマーブロックは互いに共有結合しているので、それらは巨視的規模で完全に分離することはできない。むしろ、所与の種類のポリマーブロックは、極めて小さい(例えば、ナノサイズの)領域または相において、同じ種類の他の分子のポリマーブロックと分離または集塊する傾向があり得る。領域またはミクロ相の特定のサイズおよび形状は、一般に少なくとも部分的にポリマーブロックの相対長さに依存する。一実施形態では、一例として、図12C、図12H、および図12Iは、トリブロックコポリマーのための可能なアセンブリ方式を示す。
予め形成されたビアまたはプラグ位置を開口するのに必要なパターンを比較的小さくすることができ、それによってリソグラフィプロセスのオーバーレイマージンを増加させることができることを理解されたい。パターンフィーチャは、均一サイズで作製することができ、それによって、直接描画電子ビームおよび/または光リソグラフィによる光近接効果補正(OPC)の複雑さに対するスキャン時間を短縮することができる。パターンフィーチャを浅くすることもでき、これによりパターニング解像度を向上させることができる。続いて行われるエッチングプロセスは、等方性化学選択エッチングであってもよい。そのようなエッチングプロセスは、さもなければプロファイルおよび限界寸法に関連することを軽減し、そしてドライエッチング手法に典型的に関連する異方性の問題を軽減する。そのようなエッチングプロセスはまた、他の選択的除去手法と比較して、機器およびスループットの観点から相対的にはるかに安価である。
以下は、自己整合ビアおよび金属パターニングの方法における様々な工程を表す集積回路層の部分を説明する。特に、図12Gおよび図12Hは本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における様々な工程を表す平面図および対応する断面図である。
図12Gは、本開示の一実施形態による、前の層のメタライゼーション構造の選択肢のa−a'軸線に沿った平面図および対応する断面図を示す。平面図および対応する断面図の選択肢(a)を参照すると、開始構造1260は、金属ライン1262のパターンおよび層間誘電体(ILD)ライン1264を含む。自己組織化材料が最終的に形成される場合、図12Gに示すように、開始構造1260は、一定のピッチで離間し一定の幅を有する金属ラインを用いてグレーティング状パターンにパターニングすることができる。断面図(a)の場合、金属ライン1262および層間誘電体(ILD)ライン1264のパターンは互いに平面的である。一例として断面図に示されているライン1262'のように、ラインのいくつかは下地のビアと関連付けられてもよい。
図12Gを再度参照すると、代替的な選択肢(b)〜(f)は、金属ライン1262および層間誘電体ライン1264の一方または両方の表面上に追加の薄膜が形成される(例えば、堆積され、成長し、または前のパターニングプロセスから残っているアーチファクトとして残される)状況に対処する。例(b)では、追加の薄膜1266が層間誘電体ライン1264上に配置されている。例(c)では、追加の薄膜1268が金属ライン1262上に配置されている。例(d)では、追加の薄膜1266が層間誘電体ライン1264上に配置され、追加の薄膜1268が金属ライン1262上に配置されている。さらに、金属ライン1262および層間誘電体ライン1264は、(a)では同一平面上に描かれているが、他の実施形態では、それらは同一平面上にはない。例えば、(e)では、金属ライン1262が層間誘電体ライン1264の上方に突出している。例(f)では、金属ライン1262が層間誘電体ライン1264の下方にリセスされている。
例(b)〜(d)を再び参照すると、追加の層(例えば、層1266または1268)をハードマスク(HM)または保護層として使用することができ、あるいは後続の処理工程に関連して以下に説明する自己組織化を可能にするために使用することができる。そのような追加の層はまた、ILDラインをさらなる処理から保護するために使用されてもよい。さらに、金属ラインの上に別の材料を選択的に堆積することは、同様の理由で有益であり得る。例(e)および(f)を再び参照すると、ILDラインまたは金属ラインのいずれかを、一方または両方の表面上に保護/HM材料の任意の組み合わせでリセスすることも可能であり得る。全体として、この段階では、指向性自己組織化プロセスのために最終的に下地の表面を準備するための多数の選択肢が存在する。
図12Hを参照すると、トリブロックコポリマー層1270が図12Gの構造(例えば平面図および断面構造(a))上に形成されている。トリブロックコポリマー層1270は、ILDライン1264の上に形成された領域1272を有するように、および金属ライン1262の上に形成された交互に配置された第2の領域1274および第3の領域1276を有するように分離される。
図12Hのb−b'軸線に沿った断面図を参照すると、第3の領域1276は金属ライン1262の上に示され、第1の領域1272はILDライン1264の上に示されている。一実施形態によれば、第1の領域1272とILDライン1264との間にも示されているのは、分子ブラシ層の残りであり得る層1280である。しかしながら、層1280が存在しなくてもよいことを理解されたい。一実施形態によれば、第3の領域1276は、金属ライン1262上に直接形成されているように示されている。しかしながら、分子ブラシ層の残りが第3の領域1276と金属ライン1262との間にあってもよいことを理解されたい。
図12Hのc−c'軸線に沿った断面図を参照すると、第2の領域1274は金属ライン1262の上に示されており、第1の領域1272はILDライン1264の上に示されている。一実施形態によれば、第1の領域1272とILDライン1264との間にも示されているのは、分子ブラシ層の残りであり得る層1280である。しかしながら、層1280が存在しなくてもよいことを理解されたい。一実施形態によれば、第2の領域1274と金属ライン1262との間にも示されているのは、分子ブラシ層の残りであり得る層1282である。しかしながら、層1282が存在しなくてもよいことを理解されたい。領域1276は感光性として形成されてもよく、または感光性材料によって置き換えられてもよいこともまた理解されたい。
したがって、一実施形態では、下地の金属およびILDグリッドはブロックコポリマー(BCP)内に再生成される。これは、BCPピッチが下地のグレーティングピッチと同程度である場合に特にそうであり得る。一実施形態では、ポリマーグリッドは、そのように高度に良好に整合されたグリッドからの特定の小さなずれに対して頑強である。例えば、小さいプラグが、高度に良好に整合したグリッドが金属を有するであろう場所に酸化物または同様の材料を効果的に配置する場合には、本質的に高度に良好に整合したブロックコポリマーグリッドが依然として達成できる。
一実施形態では、再び図12Hを参照すると、コーティングされたトリブロックコポリマー層1270の厚さは、その場所に最終的に形成されるILDの最終厚さとほぼ同じか、それよりわずかに厚い。一実施形態では、以下により詳細に説明するように、ポリマーグリッドは、エッチレジストとしてではなく、むしろ最終的にその周囲に恒久的ILD層を成長させるための足場として形成される。このように、トリブロックコポリマー層1270の厚さは、その後に形成される恒久的ILD層の最終的な厚さを画定するために使用することができるので、重要となり得る。すなわち、一実施形態では、図12Hに示すポリマーグレーティングは、最終的にほぼ同じ厚さのILD/金属ライングレーティングと置き換えられる。
一実施形態では、トリブロックコポリマー層1270分子は、共有結合したモノマーの鎖から形成されたポリマー分子である。トリブロックコポリマーには、3つの異なる種類のモノマーがあり、これらの異なる種類のモノマーは、主に、異なるブロックまたは連続するモノマーの配列内に含まれる。一実施形態では、トリブロックコポリマー層1270は、例えば、ブラシまたは他のコーティングプロセスによって塗布されたブロックコポリマー材料を含む、未組織化ブロックコポリマー層部分として最初に塗布される。未組織化の態様は、堆積時に、ブロックコポリマーがまだ実質的に相分離および/または自己組織化してナノ構造を形成していないシナリオを指す。この未組織形態では、ブロックポリマー分子は比較的高度にランダム化され、異なるポリマーブロックは比較的高度にランダムに配向および配置され、これは、図12Hの得られた構造に関連して説明した組織化されたトリブロックコポリマー層1270とは対照的である。未組織化ブロックコポリマー層部分は、様々な異なる方法で塗布することができる。一例として、ブロックコポリマーを溶媒に溶解し、次いで表面上にスピンコーティングすることができる。あるいは、未組織化ブロックコポリマーをスプレーコーティング、ディップコーティング、浸漬コーティング、またはその他の方法で表面上にコーティングするか塗布することができる。ブロックコポリマーを塗布する他の方法、ならびに同様の有機コーティングを塗布するための当技術分野において公知の他の方法を潜在的に使用することができる。次いで、未組織化層は、例えば、未組織化ブロックコポリマー層部分のミクロ相分離および/または自己組織化によって、組織化ブロックコポリマー層部分を形成すことができる。ミクロ相分離および/または自己組織化は、ブロックコポリマー分子の再配列および/または再配置を通して、特にトリブロックコポリマー層1270を形成するためのブロックコポリマー分子の異なるポリマーブロックの再配列および/または再配置を通して起こる。
そのような一実施形態では、トリブロックコポリマー層1270を形成するためのミクロ相分離および/または自己組織化を開始し、加速し、質を高め、またはその他の方法で促進するために、未組織化ブロックコポリマーにアニーリング処理を施すことができる。いくつかの実施形態では、アニーリング処理は、ブロックコポリマーの温度を上昇させるように操作可能である処理を含むことができる。そのような処理の一例は、層をベーキングすること、オーブン中または熱ランプの下で層を加熱すること、層に赤外線を照射すること、あるいは他の方法で層に熱を加えること、または層の温度を上昇させることである。所望の温度上昇は、ブロックコポリマーまたは集積回路基板の他の任意の重要な材料もしくは構造を損傷することなく、ミクロ相分離および/またはブロックポリマーの自己組織化の速度を著しく加速するのに概ね十分である。一般に、加熱は、約50℃〜約300℃、または約75℃〜約250℃の範囲であってもよいが、ブロックコポリマーまたは集積回路基板の熱劣化限界を超えない。加熱またはアニーリングは、ミクロ相分離の速度を増大させるためにおよび/またはミクロ相分離の質を向上させるために、ブロックコポリマー分子にエネルギーを与えてそれらをより移動性/可撓性にするのを助けることができる。そのようなミクロ相分離またはブロックコポリマー分子の再配列/再配置は、自己組織化をもたらして極めて小さい(例えば、ナノスケール)構造を形成すことができる。自己組織化は、表面張力、分子の好き嫌い、および他の表面関連および化学関連の力などの力の影響下で起こり得る。
いずれにせよ、いくつかの実施形態では、疎水性−親水性の違いに基づくかそうでないかにかかわらず、ブロックコポリマーの自己組織化を使用して、トリブロックコポリマー層12720の形態で極めて小さい周期構造(例えば、正確に間隔を置いたナノスケール構造またはライン)を形成することができる。いくつかの実施形態では、それらは、最終的にビア開口部を形成するために使用することができるナノスケールラインまたは他のナノスケール構造を形成するために使用することができる。いくつかの実施形態では、ブロックコポリマーの指向性自己組織化を使用して、以下により詳細に記載するように、相互接続と自己整合するビアを形成することができる。
金属ラインの上に形成されるトリブロックコポリマー構造の2つの成分は、1:1の比率を有する必要はない(1:1の比率は図12Cおよび12Hに示されていた)ことを理解されたい。例えば、第3の分離ブロック成分は、第2の成分よりも少ない量で存在してもよく、第2の分離ブロック成分によって囲まれたカラム状構造を有してもよい。図12I〜図12Lは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための自己整合ビアまたはコンタクトを形成するためのトリブロックコポリマーを使用する方法における様々な工程を表す平面図および対応する断面図である。
図12Iを参照すると、d−d'軸線に沿った平面図および対応する断面図は、第2の成分1274よりも少ない量で第3の成分1276を示す。第3の分離ブロック成分1276は、第2の分離ブロック成分1274によって囲まれたカラム状構造を有する。
図12Jを参照すると、平面図は、最終的に上側メタライゼーション構造のためのビア位置を提供するために実行される、第3の分離ブロック成分1276のうちの特定のいくつか1292のリソグラフィ1290選択を示す。
図12Iは未露光の感光性DSA構造を効果的に示し、図12Jは露光済みの感光性DSA構造を示していることを理解されたい。図12Hとは対照的に、図12Iおよび図12Jは、多くのブロックコポリマー分子が、別のポリマーのより長いブロックを有する相によって囲まれたポリマー形成カラム状構造のうちの1つのそれらのより短いブロックと整合するときに形成することができるカラム状構造の一例を示す。本開示の一実施形態によれば、DSA構造の光活性特性は、例えば電子ビームまたはEUV露光で、1つのタイプのDSAポリマー領域を効果的に「プラグ」または「カット」する能力を提供する。
図12Kを参照すると、平面図が露光ゾーン内の露光/化学増幅領域1294を示している。選択性により、唯一の活性な改質は、第3の分離ブロック成分1276の露出部分の材料の改質である。図12Kでは既にクリアされているように示されているが、選択領域はまだクリアされていなくてもよいことを理解されたい。
図12Lを参照すると、平面図およびe−e'軸線に沿った対応する断面図は、クリア領域1294を提供するためのリソグラフィ後の現像を示す。クリア領域1294は、最終的にはビア形成に使用することができる。
上記の図12L(または図12C、図12D、図12Eまたは図12H)の得られたパターニングされたDSA構造は、最終的には足場として使用することができ、そこから恒久的な層が最終的に形成される。すなわち、DSA材料のいずれも最終構造には存在せず、むしろ最終相互接続構造の直接製造に使用される場合があり得る。そのような一実施形態では、恒久的なILDがDSA材料の1つまたは複数の領域を置き換え、その後の処理(金属ライン製造など)が完了する。すなわち、最終的な自己整合ビアおよびプラグ形成のためにすべてのDSA成分が最終的に除去される可能性がある。他の実施形態では、DSA材料の少なくとも一部が最終構造に残っていてもよい。
図12A〜図12C、図12G、図12Hおよび図12I〜図12Lを再び参照すると、一実施形態では、半導体ダイ用の相互接続構造を製造する方法は、基板上に交互に配置された金属ラインおよび誘電体ラインを有する下側メタライゼーション層を形成するステップを含む。トリブロックコポリマー層が下側メタライゼーション層の上に形成される。トリブロックコポリマー層を分離して、下側メタライゼーション層の誘電体ライン上に第1の分離ブロック成分を形成し、下側メタライゼーション層の金属ライン上に交互に配置された第2および第3の分離ブロック成分を形成する。第3の分離ブロック成分は感光性である。本方法はまた、第3の分離ブロック成分の選択された位置を照射および現像して、下側メタライゼーション層の金属ライン上にビア開口部を設けるステップを含む。
一実施形態では、図12Cおよび図12Hに関連して説明したように、交互に配置された第2および第3の分離ブロック成分は約1:1の比を有する。別の実施形態では、交互に配置された第2および第3の分離ブロック成分は、第2の分離ブロック成分対第3の分離ブロック成分の比X:1を有し、ここでXは1より大きい。その実施形態では、図12Iに関連して説明したように、第3の分離ブロック成分は、第2の分離ブロック成分によって囲まれたカラム状構造を有する。
一実施形態では、本方法は、第3の分離ブロック成分の選択位置を照射および現像してビア開口部を設けるステップに続き、得られたパターニングされたトリブロックコポリマー層を足場として使用して、第1レベルの交互に配置された金属ラインおよび誘電体ラインの上に、それに結合され、かつそれと直交する第2レベルの交互に配置された金属ラインおよび誘電体ラインを形成するステップと、をさらに含む。一実施形態では、トリブロックコポリマー層の1つまたは複数の成分が最終構造中に保持されている。しかしながら、他の実施形態では、トリブロックコポリマー層のすべての成分は、最終生成物中にいかなる材料も保持されないという意味で最終的に犠牲的である。後者の実施形態の実施態様の例示的な実施形態は、図13に関連して以下に記載される。
一実施形態では、本方法は、トリブロックコポリマー層を形成する前に、下側メタライゼーション層の誘電体ライン上に第1の分子ブラシ層を形成するステップと、下側メタライゼーション層の金属ライン上に第2の異なる分子ブラシ層を形成するステップと、をさらに含み、その例示的な実施形態は、図12A〜図12Cに関連して上述した。一実施形態では、第3の分離ブロック成分の選択位置を照射および現像するステップは、第3の分離ブロック成分の選択位置を極紫外線(EUV)源または電子ビーム源に露出させるステップを含む。
単に最終的に得られる最終構造の一例として提供されるだけであるが、図13は、本開示の一実施形態による、金属ライン、ビアおよびプラグを形成した後の自己整合ビア構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線f−f'およびg−g'に沿った対応する断面図(a)および(b)を参照すると、上側レベルの金属ライン1302が誘電体フレームワーク内に(例えば、誘電体層1304上で誘電体ライン1314に隣接して)設けられている。金属ライン1302は、所定のビア位置(その一例1306が断面図(a)に示されている)を介して下地の金属ライン1262と結合され、プラグ(それらの例はプラグ1308、1310を含む)によって分離される。下地のライン1262、1264は、金属ライン1302に直交する方向に形成されるように、図12Gに関連して上述した通りであってもよい。その後の製造工程では、誘電体ライン1314を除去して、結果として得られる金属ライン1302の間にエアギャップを設けることができることを理解されたい。
図13に関連して説明したような結果として生じる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図13の構造は、集積回路内の最終金属相互接続層を表してもよい。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。いずれにせよ、結果として得られる構造は、下地の金属ラインを直接中心とするビアの製造を可能にする。すなわち、ビアは、例えば不完全な選択的エッチング処理のために、下地の金属ラインよりも広く、またはより狭くなるか、または同じ厚さになり得る。それにもかかわらず、一実施形態では、ビアの中心は金属ラインの中心と直接整合(一致)している。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。上記の例はビア/コンタクト形成に焦点を当ててきたことを理解されたい。しかしながら、他の実施形態では、金属ライン層内のライン端部終端(プラグ)用の領域を保存または形成するために同様の手法を使用することができる。
本明細書に記載のプロセスフローは、主にDSAベースとして(上記のいくつかのプロセス方式のように)説明することができ、一方、他は主にエッチングベースであってもよいことを理解されたい。本開示の一実施形態によれば、深いサブトラクティブ手法がBEOL処理のために実施される。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアおよびプラグパターニングのためのサブトラクティブ手法、およびそれから生じる構造に関する。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。次世代のビアおよびプラグのパターニングについて予想されるオーバーレイの問題は、本明細書に記載の1つまたは複数の手法によって対処することができる。一般に、本明細書に記載の1つまたは複数の実施形態は、既にエッチングされたトレンチを使用してすべてのビアおよびプラグを予め形成するためのサブトラクティブ法の使用を含む。次に追加の工程を使用して、どのビアおよびプラグを保持するかを選択する。
図14A〜図14Nは、本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における様々な工程を表す集積回路層の一部を示す。説明する各工程の各図では、3次元斜視断面図が提供される。
図14Aは、本開示の一実施形態による、深い金属ラインの製造後のサブトラクティブなビアおよびプラグプロセスの開始点構造1400を示す。図14Aを参照すると、構造1400は、介在する層間誘電体(ILD)ライン1404を有する金属ライン1402を含む。ILDライン1404はプラグキャップ層1406を含む。一実施形態では、図14Eに関連して以下により詳細に説明するように、プラグキャップ層1406は、後のプラグ形成のためのすべての可能な位置を最終的に画定するために後にパターニングされる。
一実施形態では、金属ライン1402によって形成されたグレーティング構造は、狭ピッチグレーティング構造である。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図14Aのグレーティング状パターンは、一定のピッチで離間し一定の幅を有する金属ラインを有することができる。パターンは、ピッチを半分にするか、またはピッチを4分の1にする手法によって製造することができる。また、ライン1402のうちのいくつかは、前の相互接続層に結合するために下地のビアと関連付けられてもよいことを理解されたい。
一実施形態では、金属ライン1402は、プラグキャップ層1406がその上に形成されたILD材料(例えば、ライン1404のILD材料)にトレンチをパターニングすることによって形成される。次に、トレンチを金属で充填し、必要に応じてプラグキャップ層1406まで平坦化する。一実施形態では、金属トレンチおよび充填プロセスは高アスペクト比のフィーチャを含む。例えば、一実施形態では、金属ラインの幅(w)に対する金属ラインの高さ(h)のアスペクト比は、約5〜10の範囲内である。
図14Bは、本開示の一実施形態による、金属ラインをリセス処理した後の図14Aの構造を示す。図14Bを参照すると、金属ライン1402が選択的にリセスされて第1のレベルの金属ライン1408を提供する。リセス処理は、ILDライン1404およびプラグキャップ層1406に対して選択的に行われる。リセス処理は、ドライエッチング、ウェットエッチング、またはそれらの組み合わせによるエッチングによって行うことができる。リセス処理の程度は、バックエンドオブライン(BEOL)相互接続構造内の適切な導電性相互接続ラインとして使用するための第1のレベルの金属ライン1408の目標とする厚さ(th)によって決定することができる。
図14Cは、本開示の一実施形態による、リセスされた金属ラインのリセス領域をハードマスク充填した後の図14Bの構造を示す。図14Cを参照すると、ハードマスク層1410がリセス処理中に形成された領域に形成されて第1のレベルの金属ライン1408を形成する。ハードマスク層1410は、プラグキャップ層1406のレベルまでの材料堆積および化学機械平坦化(CMP)プロセスによって、または制御されたボトムアップのみの成長プロセスによって形成されてもよい。特定の一実施形態では、ハードマスク層1410は炭素に富む材料からなる。
図14Dは、本開示の一実施形態による、ハードマスク層の堆積およびパターニング後の図14Cの構造を示す。図14Dを参照すると、第2のハードマスク層1412がハードマスク層1410およびプラグキャップ層1406の上または上方に形成されている。そのような一実施形態では、図14Dに示すように、第2のハードマスク層1412は、第1のレベルの金属ライン1408/ILDライン1404のグレーティングパターンと直交するグレーティングパターンで形成される。特定の一実施形態では、第2のハードマスク層1412は、シリコンベースの反射防止コーティング材料からなる。一実施形態では、第2のハードマスク層1412によって形成されたグレーティング構造は、狭ピッチグレーティング構造である。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、当技術分野で知られているように、スペーサマスクパターニングの使用によってピッチを半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図14Dの第2のハードマスク層1412のグレーティング状パターンは、一定のピッチで離間し一定の幅を有するハードマスクラインを有することができる。
図14Eは、本開示の一実施形態による、図14Dのハードマスクのパターンを使用して画定されたトレンチ形成後の図14Dの構造を示す。図14Eを参照すると、ハードマスク層1410およびプラグキャップ層1406の露出領域(すなわち1412で保護されていない領域)がエッチングされてトレンチ1414が形成されている。エッチングは、第1のレベルの金属ライン1408およびILDライン1404の上部表面で止まり、したがってそれらを露出させる。
図14Fは、本開示の一実施形態による、図14Eのトレンチ内のILD形成および第2のハードマスクの除去後の図14Eの構造を示す。図14Fを参照すると、第2のILDライン1416が図14Eのトレンチ1414内に形成されている。一実施形態では、流動性ILD材料を使用してトレンチ1414を充填する。一実施形態では、トレンチ1414が充填され、続いて充填材料が平坦化される。図14Fに示すように、平坦化をさらに使用して、第2のハードマスク層1412を除去し、ハードマスク層1410およびプラグキャップ層1406を再露出させることができる。
図14Fを再度参照すると、一実施形態では、結果として得られる構造は、均一なILD構造(ILDライン1404+ILDライン1416)を含む。すべての可能なプラグの位置はプラグキャップ層1406の残りの部分によって占められているが、すべての可能なビア位置はハードマスク層1410の残りの部分によって占められている。そのような一実施形態では、ILDライン1404およびILDライン1416は同じ材料からなる。別のそのような実施形態では、ILDライン1404およびILDライン1416は異なるILD材料からなる。いずれの場合も、特定の実施形態では、ILDライン1404の材料とILDライン1416の材料との間のシームなどの違いが最終構造において観察され得る。さらに、一実施形態では、従来のシングルまたはデュアルダマシンパターニングとは対照的に、ILDライン1404とILDライン1416とが出会うところに明確なエッチング停止層はない。
図14Gは、本開示の一実施形態による、すべての可能なビア位置を占めるハードマスク層の残りの部分を除去した後の図14Fの構造を示す。図14Gを参照すると、ハードマスク層1410の残りの部分が選択的に除去されてすべての可能なビア位置用の開口部1418が形成されている。そのような一実施形態では、ハードマスク層1410は実質的に炭素からなり、アッシング処理で選択的に除去される。
一般に、本明細書に記載の1つまたは複数の実施形態は、既にエッチングされたトレンチを使用してすべてのビアおよびプラグを予め形成するためのサブトラクティブ法の使用を含む。次に追加の工程を使用して、どのビアおよびプラグを保持するかを選択する。そのような工程は、「フォトバケット」を使用して説明することができるが、選択プロセスは、より従来のレジスト露光およびILD埋め戻し手法を使用して実行することもできる。実施形態はフォトバケットの概念に限定されず、ボトムアップおよび/または指向性自己組織化(DSA)手法を使用して製造された予め形成されたフィーチャを有する構造への広範囲の応用を有することを理解されたい。フォトバケットの製造および使用を目的とした追加の実施形態は、図14A〜図14Nおよび図15A〜図15Dの本実施形態を超える実施形態で以下により詳細に説明される。
図14Hは、本開示の一実施形態による、すべての可能なビア位置におけるフォトバケット形成後の図14Gの構造を示す。図14Hを参照すると、フォトバケット1420は、第1のレベルの金属ライン1408の露出部分の上のすべての可能なビア位置に形成されている。一実施形態では、図14Gの開口部1418は、超高速フォトレジストまたは電子ビームレジストまたは他の感光性材料で充填されている。そのような一実施形態では、開口部1418へのポリマーのサーマルリフローは、スピンコート塗布後に使用される。一実施形態では、高速フォトレジストは、既存のフォトレジスト材料からクエンチャを除去することによって製造される。別の実施形態では、フォトバケット1420はエッチバックプロセスおよび/またはリソグラフィ/シュリンク/エッチングプロセスによって形成される。材料が感光性スイッチとして機能する限り、フォトバケットに実際のフォトレジストを充填する必要はないことを理解されたい。
図14Iは、本開示の一実施形態によるビア位置選択後の図14Hの構造を示す。図14Iを参照すると、選択されたビア位置にある図14Hからのフォトバケット1420が除去されている。ビアが形成されるように選択されていない位置では、フォトバケット1420は保持されるか、恒久的ILD材料に変換されるか、または恒久的ILD材料と置換される。一例として、図14Iは、対応するフォトバケット1420が除去されて第1のレベルの金属ライン1408のうちの1つの一部を露出させるビア位置1422を示す。これまでフォトバケット1420によって占められていた他の位置は、図14Iでは領域1424として示されている。位置1424はビア形成のために選択されず、代わりに最終ILD構造の一部を構成する。一実施形態では、フォトバケット1420の材料は、最終ILD材料として位置1424に保持される。別の実施形態では、フォトバケット1420の材料は、位置1424で、例えば架橋によって、最終ILD材料を形成するように改質される。さらに別の実施形態では、位置1424のフォトバケット1420の材料は最終ILD材料に置き換えられる。
再び図14Iを参照すると、ビア位置1422を形成するために、リソグラフィを用いて対応するフォトバケット1420を露光する。しかしながら、フォトバケット1420は非光分解性材料によって囲まれているので、リソグラフィの制約は緩和され、ミスアライメントの許容誤差が大きくなる可能性がある。さらに、一実施形態では、例えば30mJ/cm2で露光する代わりに、そのようなフォトバケットは、例えば3mJ/cm2で露光してもよい。通常、これは非常に不十分なCD制御および粗さをもたらすであろう。しかし、この場合、CDおよび粗さ制御はフォトバケット1420によって規定され、それは非常によく制御および規定することができる。したがって、フォトバケット手法を使用して、次世代のリソグラフィプロセスのスループットを制限する撮像/線量のトレードオフを回避することができる。
図14Iを再び参照すると、一実施形態では、結果として得られる構造は、均一なILD構造(ILD1424+ILDライン1404+ILDライン1416)を含む。そのような一実施形態では、ILD1424、ILDライン1404、およびILDライン1416のうちの2つまたはすべてが同じ材料からなる。別のそのような実施形態では、ILD1424、ILDライン1404、およびILDライン1416は、異なるILD材料からなる。いずれの場合も、特定の実施形態では、ILD1424の材料とILDライン1404の材料との間のシーム(例えばシーム1497)、および/またはILD1424とILDライン1416との間のシーム(例えばシーム1498)などの違いが最終構造において観察される。
図14Jは、本開示の一実施形態による、図14Iの開口部内にハードマスク充填した後の図14Iの構造を示す。図14Jを参照すると、ハードマスク層1426がビア位置1422およびILD位置1424の上に形成されている。ハードマスク層1426は、堆積およびそれに続く化学機械平坦化によって形成することができる。
図14Kは、本開示の一実施形態による、プラグキャップ層を除去し、第2の複数のフォトバケットを形成した後の図14Jの構造を示す。図14Kを参照すると、プラグキャップ層1406が、例えば選択的エッチングプロセスによって除去される。次に、フォトバケット1428が、ILDライン1404の露出部分の上のすべての可能なプラグ位置に形成される。一実施形態では、プラグキャップ層1406の除去時に形成された開口部は、超高速フォトレジストまたは電子ビームレジストまたは他の感光性材料で充填される。そのような一実施形態では、スピンコート塗布後に、開口部へのポリマーのサーマルリフローが使用される。一実施形態では、高速フォトレジストは、既存のフォトレジスト材料からクエンチャを除去することによって製造される。別の実施形態では、フォトバケット1428はエッチバックプロセスおよび/またはリソグラフィ/シュリンク/エッチングプロセスによって形成される。材料が感光性スイッチとして機能する限り、フォトバケットに実際のフォトレジストを充填する必要はないことを理解されたい。
図14Lは、本開示の一実施形態による、プラグ位置選択後の図14Kの構造を示す。図14Lを参照すると、選択されたプラグ位置にない図14Kからのフォトバケット1428が除去されている。プラグが形成されるように選択された位置では、フォトバケット1428は保持されるか、恒久的ILD材料に変換されるか、または恒久的ILD材料と置換される。一例として、図14Lは、対応するフォトバケット1428が除去されてILDライン1404の一部を露出させる非プラグ位置1430を示す。これまでフォトバケット1428によって占められていた他の位置は、図14Lでは領域1432として示されている。領域1432はプラグ形成用に選択され、最終ILD構造の一部を構成する。一実施形態では、対応するフォトバケット1428の材料は、最終ILD材料として領域1432に保持される。別の実施形態では、フォトバケット1428の材料は、領域1432内で、例えば架橋することによって、最終ILD材料を形成するように改質される。さらに別の実施形態では、領域1432内のフォトバケット1428の材料は、最終ILD材料によって置き換えられる。いずれにせよ、領域1432はプラグ1432とも呼ばれる。
再び図14Lを参照すると、開口部1430を形成するために、リソグラフィを用いて対応するフォトバケット1428を露光する。しかしながら、フォトバケット1428は非光分解性材料で囲まれているので、リソグラフィの制約は緩和され、ミスアライメントの許容誤差が大きくなる可能性がある。さらに、一実施形態では、例えば30mJ/cm2で露光する代わりに、そのようなフォトバケットは、例えば3mJ/cm2で露光してもよい。通常、これは非常に不十分なCD制御および粗さをもたらすであろう。しかし、この場合、CDおよび粗さ制御はフォトバケット1428によって規定され、それは非常によく制御および規定することができる。したがって、フォトバケット手法を使用して、次世代のリソグラフィプロセスのスループットを制限する撮像/線量のトレードオフを回避することができる。
図14Lを再び参照すると、一実施形態では、結果として得られる構造は、均一なILD構造(プラグ1432+ILD1424+ILDライン1404+ILDライン1416)を含む。そのような一実施形態では、プラグ1432、ILD1424、ILDライン1404、およびILDライン1416のうちの2つ以上が同じ材料からなる。別のそのような実施形態では、プラグ1432、ILD1424、ILDライン1404およびILDライン1416は異なるILD材料からなる。いずれの場合も、特定の実施形態では、プラグ1432の材料とILDライン1404との間のシーム(例えばシーム1499)および/またはプラグ1432とILDライン1416との間のシーム(例えばシーム1496)などの違いが最終構造において観察される。
図14Mは、本開示の一実施形態による、図14Lのハードマスク層を除去した後の図14Lの構造を示す。図14Mを参照すると、ハードマスク層1426が選択的に除去されて金属ラインおよびビア開口部1434を形成する。そのような一実施形態では、ハードマスク層1426は実質的に炭素からなり、アッシング処理で選択的に除去される。
図14Nは、本開示の一実施形態による、金属ラインおよびビアを形成した後の図14Mの構造を示す。図14Nを参照すると、金属ライン1434およびビア(符号1438で示す)が図14Mの開口部1434の金属充填の上に形成される。金属ライン1436は、ビア1438によって下地の金属ライン1408に結合され、プラグ1432によって遮断されている。一実施形態では、開口部1434はダマシン手法で充填され、金属は開口部を過充填するために使用され、その後平坦化されて図14Nに示す構造を提供する。したがって、上記の手法で金属ラインおよびビアを形成するための金属(例えば、銅および関連するバリアおよびシード層)の堆積および平坦化プロセスは、標準的なバックエンドオブライン(BEOL)のシングルまたはデュアルダマシン処理に通常使用されるものであってもよい。一実施形態では、その後の製造工程で、ILDライン1416を除去して、結果として生じる金属ライン1436の間にエアギャップを設けることができる。
図14Nの構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図14Nの構造は、集積回路内の最終金属相互接続層を表すことができる。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。いずれにせよ、結果として得られる構造は、下地の金属ラインを直接中心とするビアの製造を可能にする。すなわち、ビアは、例えば不完全な選択的エッチング処理のために、下地の金属ラインよりも広く、またはより狭くなるか、または同じ厚さになり得る。それにもかかわらず、一実施形態では、ビアの中心は金属ラインの中心と直接整合(一致)している。さらに、どのプラグおよびビアを選択するために使用されるILDは、おそらく一次ILDとは非常に異なり、両方向に高度に自己整合的になる。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。再び図14Nを参照すると、次に、サブトラクティブ法による自己整合製造がこの段階で完了することができる。同様の方法で製造された次の層は、説明されたプロセスをもう一度実行することを含むことができる。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
上述のプロセスフローは深いトレンチエッチングの使用を含む。別の態様では、より浅い手法はプラグのみの自己整合サブトラクティブ処理方式を含む。一例として、図15A〜図15Dは、本開示の別の実施形態による、サブトラクティブ自己整合プラグパターニングの方法における様々な工程を表す集積回路層の一部を示す。説明される各工程の各図では、平面図は上部に示し、対応する断面図は下部に示す。これらの図は、本明細書では対応する断面図および平面図と呼ばれる。
図15Aは、本開示の一実施形態による、開始プラググリッドの平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、開始プラググリッド構造1500は、その上に配置された第1のハードマスク層1504を有するILD層1502を含む。第2のハードマスク層1508が第1のハードマスク層1504上に配置され、グレーティング構造を有するようにパターニングされている。第3のハードマスク層1506が第2のハードマスク層1508上および第1のハードマスク層1504上に配置されている。さらに、開口部1510が、第2のハードマスク層1508と第3のハードマスク層1506とのグレーティング構造の間に残っている。
図15Bは、本開示の一実施形態による、フォトバケット充填、露光、および現像後の図15Aの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、フォトバケット1512が図15Aの開口部1510に形成されている。その後、図15Bに示すように、選択されたフォトバケットが露光され除去されて、選択されたプラグ位置1514を提供する。
図15Cは、本開示の一実施形態による、プラグ形成後の図15Bの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図15Bの開口部1514内にプラグ1516が形成されている。一実施形態では、プラグ1516はスピンオン手法および/または堆積およびエッチバック手法によって形成される。
図15Dは、本開示の一実施形態による、ハードマスク層および残りのフォトバケットを除去した後の図15Cの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、第3のハードマスク層1506が除去され、第2のハードマスク層1508およびプラグ1516が残っている。結果として得られるパターン(第2のハードマスク層1508およびプラグ1516)は、続いてILD層1502の最終的なパターニングのためにハードマスク層1504をパターニングするために使用することができる。一実施形態では、第3のハードマスク層1506は実質的に炭素からなり、アッシング処理を行うことによって除去される。
したがって、図15Dの構造は、続いてILDラインおよびプラグパターンを形成するための下地として使用することができる。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。いずれにせよ、結果として生じる構造は自己整合プラグの製造を可能にする。したがって、一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。
本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメットベースの手法および/またはハードマスク選択性ベースの手法、および得られる構造が説明される。本明細書に記載の1つまたは複数の実施形態は、自己整合相互接続の製造を可能にするための指向性自己組織化(DSA)または選択成長のための誘電体ヘルメットを使用する方法に関する。実施形態は、誘電体ヘルメットの使用、指向性自己組織化、選択的堆積、自己整合、または狭いピッチでの相互接続のパターニングのうちの1つまたは複数に対処するかまたはそれらを実施することができる。実施形態は、選択的堆積による「着色」を伴う自己整合、およびその後の、例えば、10nm以下の技術ノードに対する指向性自己組織化による改善されたビア短絡マージンを提供するように実施することができる。
場面を提供するために、短絡マージンを改善するための現在の解決策は以下を含むことができる。(1)金属リセス部を使用して交互に配置された金属トレンチを異なるハードマスクで充填する、(2)指向性自己組織化(DSA)または選択成長のためのテンプレートとして異なる「色」の金属キャップを使用する、または(3)金属またはILDをリセスして関心のあるラインに向かってビアを「操作」する。全体的に見て、ビアの短絡マージンを改善するための典型的なプロセスフローは、金属リセス部を必要とする。しかしながら、許容可能な均一性を有する金属をリセス処理することは、多くのそのような処理方式において難題であることが分かっている。
本開示の一実施形態によれば、上記問題のうちの1つまたは複数は、相互接続の集団の半分に非コンフォーマル誘電体キャップを堆積させる方法を実施することによって対処される。非コンフォーマル誘電体キャップは、選択成長または指向性自己組織化のためのテンプレートとして使用される。そのような一実施形態では、そのような手法は任意の相互接続金属層に、そして場合によってはゲートコンタクトに適用することができる。特定の実施形態では、最先端の手法で見られるような金属リセス部の必要性は、本明細書に記載の処理方式から効果的に排除される。
本明細書に含まれる概念の概要として、図16A〜図16Dは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む方法における様々な工程を表す集積回路層の一部の断面図である。
図16Aを参照すると、新しいメタライゼーション層を製造するための開始点として開始点構造1600が設けられている。開始点構造1600は、層間誘電体(ILD)層1602上に配置されたハードマスク層1604を含む。後述するように、ILD層は基板の上に配置されてもよく、一実施形態では、下地のメタライゼーション層の上に配置される。ILD層1602に形成されたトレンチに対応する開口部がハードマスク層1604に形成される。第1の金属ライン1606(および場合によっては対応する導電ビア1607)を提供するために、トレンチのうちの交互に配置された1つが導電層で充填される。残りのトレンチは埋められず、開放トレンチ1608を提供する。一実施形態では、開始構造1600は、ハードマスクおよびILD層をパターニングし、次いで金属トレンチの集団の半分を(例えば、トレンチのうちの1つを交互に)金属化し、その集団の他の半分を開いたままにすることによって製造される。一実施形態では、ILD内のトレンチは、ピッチ分割パターニングプロセスフローを使用してパターニングされる。以下に説明される以下の処理工程は、最初にピッチ分割を含んでも含まなくてもよいことを理解されたい。いずれの場合も、しかし特にピッチ分割も使用される場合に、実施形態は、最先端のリソグラフィ装置の解像度能力を超えて金属層のピッチの継続的なスケーリングを可能にすることができる。
図16Bは、構造1600上に非コンフォーマル誘電体キャップ層1610を堆積した後の図16Aの構造を示す。非コンフォーマル誘電体キャップ層1610は、ハードマスク層1604および金属ライン1606の露出表面を覆う第1の部分1600Aを含む。非コンフォーマル誘電体キャップ層1610は、第1の部分1610Aと連続する第2の部分1610Bを含む。非コンフォーマル誘電体キャップ層1610の第2の部分1610Bは、開放トレンチ1608の側壁1608Aおよび底部1608Bに沿って、開放トレンチ1608内に形成される。一実施形態では、図16Bに示すように、非コンフォーマル誘電体キャップ層1610の第2の部分1610Bは、第1の部分1610Aよりも実質的に薄い。他の実施形態では、部分1610Bは存在しないかまたは不連続である。このように、非コンフォーマル誘電体キャップ層1610の厚さはすべての位置で同じではないので、非コンフォーマル誘電体キャップ層1610の堆積は非コンフォーマル堆積であると考えられる。ILD層1602の最上部分がその上に非コンフォーマル誘電体キャップ層1610の最も厚い部分を有し、したがって他の領域よりも保護されている程度が大きいので、結果として得られる形状は、非コンフォーマル誘電体キャップ層1610のヘルメット形状と呼ばれる。一実施形態では、非コンフォーマル誘電体キャップ層1610は、限定はしないが、窒化シリコンまたは酸窒化シリコンなどの誘電体材料である。一実施形態では、非コンフォーマル誘電体キャップ層1610は、プラズマ化学気相成長(PECVD)プロセスを用いて、または別の実施形態では物理気相成長(PVD)を用いて形成される。
図16Cは、ビアパターニング、メタライゼーション、および金属ラインの後半部分の平坦化後の図16Bの構造を示す。一実施形態では、金属充填プロセスを実行して第2の金属ライン1612を設ける。しかしながら、一実施形態では、金属充填の前に、ビア位置が最初に選択されて開口される。次に、金属が充填されると、ビア1613が第2の金属ライン1612のうちの特定のものと関連付けられて形成される。そのような一実施形態では、選択トレンチ1608の底部の非コンフォーマル誘電体キャップ層1610を貫通してエッチングすることによって開放トレンチ1608のうちの特定の1つを延長し、次に誘電体層1602を貫通してそのトレンチを延長することによってビア開口部が形成される。その結果、図16Cに示すように、第2の金属ライン1612のビア位置での非コンフォーマル誘電体キャップ層1610の連続性が遮断される。
一実施形態では、第2の金属ライン1612および導電ビア1613を形成するために使用される金属充填プロセスは、金属堆積およびその後の化学機械平坦化(CMP)プロセスなどの平坦化処理方式を使用して実行される。平坦化プロセスは、図16Cに示すように、非コンフォーマル誘電体キャップ層1610を露出させるが、除去しない。一実施形態では、第2の金属ライン1612(および対応する導電ビア1613)は、第1の金属ライン1606(および対応する導電ビア1607)を製造するために使用されるプロセスよりも後のプロセスで形成されるので、第2の金属ライン1612は、第1の金属ライン1606を製造するのに使用されるものとは異なる材料を使用して製造することができることを理解されたい。そのような一実施形態では、メタライゼーション層は、最終的には、交互に異なる第1および第2の組成の導電性相互接続を含む。しかしながら、別の実施形態では、金属ライン1612、1606は実質的に同じ材料から製造される。
一実施形態では、第1の金属ライン1606はあるピッチで離間し、第2の金属ライン1612は同じピッチで離間する。他の実施形態では、ラインは必ずしもあるピッチで離間していない。しかしながら、非コンフォーマル誘電体キャップ層1610または誘電体ヘルメットを含めることによって、第2の金属ライン1612の表面だけが露出される。結果として、そうでなければ露出されるであろう隣接する第1および第2の金属ライン間のピッチは、第2の金属ラインのピッチのみに緩和される。したがって、交互に配置された非コンフォーマル誘電体キャップ層1610の露出した誘電体表面および第2の金属ライン1612の露出表面により、第2の金属ライン1612のピッチで差別化された表面が得られる。
図16Dは、最終的に2つの異なる交互に配置された第1および第2のハードマスク層1614、1616をそれぞれ形成するための指向性自己組織化または選択的堆積手法の後の図16Cの構造を示す。一実施形態では、ハードマスク層1614、1616の材料は互いに異なるエッチング選択性を示す。第1のハードマスク層1614は、非コンフォーマル誘電体キャップ層1610の露出領域と整合される。第2のハードマスク層1616は、第2の金属ライン1612の露出領域と整合している。以下により詳細に説明するように、指向性自己組織化または選択成長は、第1および第2のハードマスク層1614、1616をそれぞれ誘電体表面および金属表面に選択的に整合させるために使用することができる。
第1の一般的な実施形態では、最終的に第1および第2のハードマスク層1614、1616を形成するために、直接自己組織化(DSA)ブロックコポリマー堆積およびポリマー組織化プロセスが行われる。一実施形態では、DSAブロックコポリマーを表面上にコーティングし、アニールしてポリマーを第1のブロックと第2のブロックに分離する。一実施形態では、第1のポリマーブロックは、非コンフォーマル誘電体キャップ層1610に優先的に付着する。第2のポリマーブロックは第2の金属ライン1612に接着する。一実施形態では、ブロックコポリマー分子は、共有結合したモノマーの鎖から形成されたポリマー分子であり、その例は上記に記載されている。
図16Dを再び参照すると、DSAプロセスの場合、第1の実施形態では、第1および第2のハードマスク層1614、1616はそれぞれ第1および第2のブロックポリマーである。しかし、第2の実施形態では、第1および第2のブロックポリマーは各々、順次、第1および第2のハードマスク層1614、1616の材料で置き換えられる。そのような一実施形態では、選択エッチングおよび堆積プロセスを使用して、第1および第2のブロックポリマーをそれぞれ第1および第2のハードマスク層1614、1616の材料で置き換える。
第2の一般的な実施形態では、最終的に第1および第2のハードマスク層1614、1616を形成するために、選択成長プロセスがDSA手法の代わりになる。そのような一実施形態では、第1のハードマスク層1614の材料は、下地の非コンフォーマル誘電体キャップ層1610の露出部分の上に成長する。第2のハードマスク層1616の第2の異なる材料は、下地の第2の金属ライン1612の露出部分の上に成長する。一実施形態では、選択的成長は、第1および第2の材料の各々についての堆積−エッチング−堆積−エッチング手法によって達成され、その結果、各々の材料の複数の層が得られる。そのような手法は、「マッシュルームトップ」形状の薄膜を形成することができる従来の選択成長技術に対して有利であり得る。マッシュルームトッピング膜の成長傾向は、交互の堆積/エッチング/堆積(堆積−エッチング−堆積−エッチング)手法によって低減することができる。別の実施形態では、金属上に選択的に膜が堆積され、続いてILD上に選択的に(またはその逆に)異なる膜が堆積され、サンドイッチ状のスタックを形成するために多数回繰り返される。別の実施形態では、両方の材料は、下地の基板の各露出領域上に選択的に成長する反応チャンバ内で(例えば、CVDスタイルのプロセスによって)同時に成長する。
以下により詳細に説明するように、一実施形態では、図16Dの結果として得られる構造は、図16Dの構造上に後でビア層を製造するときにビア短絡マージンを改善することを可能にする。一実施形態では、交互に配置された「色」ハードマスクを用いて構造を製造することにより、ビアが誤った金属ラインに短絡する危険性が低減されるので、改善された短絡マージンが達成される。一実施形態では、交互に配置された色ハードマスクがその下の金属トレンチに対して自己整合されるので、自己整合が達成される。一実施形態では、金属リセス部の必要性は、プロセスばらつきを減少させることができるので、処理方式から除去される。
第1のより詳細な例示的プロセスフローでは、図16E〜図16Pは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における様々な工程を表す集積回路層の一部の断面図である。
図16Eを参照すると、新しいメタライゼーション層を製造するための開始点として、最初の金属パス処理の後に開始点構造1630が設けられている。開始点構造1630は、層間誘電体(ILD)層1632上に配置されたハードマスク層1634(例えば、窒化シリコン)を含む。後述するように、ILD層は基板の上に配置されてもよく、一実施形態では、下地のメタライゼーション層の上に配置される。第1の金属ライン1636(および場合によっては対応する導電ビア1637)がILD層1632内に形成される。金属ライン1636の突出部分1636Aは隣接する誘電体スペーサ1638を有する。犠牲ハードマスク層1640(例えば、アモルファスシリコン)は、隣接する誘電体スペーサ1638の間に含まれる。図示していないが、一実施形態では、金属ライン1636は、最初に誘電体スペーサ1638間の第2の犠牲ハードマスク材料を除去し、次にハードマスク層1634およびILD層1632をエッチングしてトレンチを形成し、次にメタライゼーションプロセスで充填することによって形成される。
図16Fは、包含するトレンチエッチングまでの第2のパス金属処理後の図16Eの構造を示す。図16Fを参照すると、犠牲ハードマスク層1640が除去されて、ハードマスク層1634が露出している。ハードマスク層1634の露出部分が除去されて、ILD層1632にトレンチ1642が形成されている。
図16Gは、犠牲材料充填後の図16Fの構造を示す。犠牲材料1644がトレンチ1642内ならびにスペーサ1638および金属ライン1636の上に形成されている。一実施形態では、犠牲材料1644はスピンオンプロセスで形成され、図16Gに示すように、実質的に平坦な層を残す。
図16Hは、ハードマスク層1634を再露出し、誘電体スペーサ1638を除去し、そして金属ライン1636の突出部分1636Aを除去するための平坦化プロセス後の図16Gの構造を示す。さらに、平坦化プロセスは、犠牲材料1644を誘電体層1632に形成されたトレンチ1642に限定した。一実施形態では、平坦化プロセスは化学機械研磨(CMP)プロセスを使用して実行される。
図16Iは、犠牲材料を除去した後の図16Hの構造を示す。一実施形態では、犠牲材料1644は、ウェットエッチングまたはドライエッチングプロセスを使用してトレンチ1642から除去される。
図16Jは、非コンフォーマル誘電体キャップ層1646の堆積後の図16Iの構造を示し、これは誘電体ヘルメットと呼ばれることがある。一実施形態では、非コンフォーマル誘電体キャップ層1646は、物理気相成長(PVD)またはプラズマCVD(PECVD)プロセスなどの化学気相成長(CVD)プロセスを使用して形成される。非コンフォーマル誘電体キャップ層1646は、非コンフォーマル誘電体キャップ層1610に関連して上述した通りであってもよい。
図16Kは、犠牲キャップ層の堆積後の図16Jの構造を示す。犠牲キャップ層1648が、非コンフォーマル誘電体キャップ層1646の上側表面に形成され、後続のエッチングまたはCMPプロセス中に非コンフォーマル誘電体キャップ層1646を保護するように実施されてもよい。一実施形態では、犠牲キャップ層1648は、例えばPVDまたはCVD処理によって形成された窒化チタン(TiN)層である。
図16Lは、ビアリソグラフィおよびエッチング処理後の図16Kの構造を示す。選択されたトレンチ1638が露出され、エッチングプロセスによって位置1650で非コンフォーマル誘電体キャップ層1646を貫通し、上述のようにトレンチを延長してビア位置1652を提供する。
図16Mは、第2の金属ライン製造後の図16Lの構造を示す。一実施形態では、第2の金属ライン1654(および場合によっては関連する導電ビア1656)は、金属充填および研磨プロセスを実行することによって形成される。研磨プロセスは、犠牲キャップ層1648をさらに除去するCMPプロセスであってもよい。
図16Nは、例えば第1および第2の交互に配置されたプレースホルダ材料1658、1660を提供するための(または図16Dに関連して説明した恒久的材料とすることができる)指向性自己組織化(DSA)または選択成長後の図16Mの構造を示す。
図16Oは、第1および第2の交互に配置されたプレースホルダ材料1658、1660をそれぞれ恒久的な第1および第2のハードマスク層1662、1664で置き換えた後の図16Nの構造を示す。図16Nおよび図16Oの処理は、図16Dに関連して説明した通りであってもよい。
図16Pは、次の層のビアパターニング後の図16Oの構造を示す。第1および第2のハードマスク層1662、1664の上に上側ILD層1666が形成されている。上側ILD層1666には開口部1668が形成されている。一実施形態では、開口部1668は、ビアフィーチャサイズよりも広く形成されている。露出した第1および第2のハードマスク層1662、1664位置のうちの選択された1つが、例えば選択的エッチングプロセスによる選択的除去のために選択される。この場合、第1のハードマスク1662領域は、第2のハードマスク層1664の露出部分に対して選択的に除去される。次に、開口部1668内および第1のハードマスク1662領域が除去された領域内に導電ビア1670が形成される。導電ビア1670は、第1の金属ライン1636のうちの1つと接触する。一実施形態では、導電ビア1670は、隣接する第2の金属ライン1654のうちの1つに短絡することなく、第1の金属ライン1636のうちの1つに接触する。特定の実施形態では、導電ビア1670の一部1672は、図16Pに示すように、下地の第2の金属ライン1654と接触することなく第2のハードマスク層1664部分上に配置される。一実施形態では、次いで、改善された短絡マージンが実現される。
一実施形態では、上記の実施形態で説明したように、ビア1670を製造するために第1のハードマスク1662領域が除去される。この場合、選択された第1のハードマスク1662領域の除去時に開口部を形成することは、非コンフォーマル誘電体キャップ層1646の最上部分を貫通してエッチングすることをさらに必要とする。しかしながら、他の実施形態では、第2のハードマスク1664領域がビア1670の製造のために除去される。この場合、そのような選択された第2のハードマスク1664領域の除去時に開口部を形成することにより、ビア1670が接続されている金属ライン1654を直接露出させる。
ビアエッチングの第1の手法を含む第2のより詳細な例示的プロセスフローでは、図17A〜図17Jは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続製造のための誘電体ヘルメット形成を含む別の方法における様々な工程を表す集積回路層の一部の断面図である。
図17Aを参照すると、新しいメタライゼーション層を製造するための開始点として、最初の金属パス処理の後に開始点構造1700が設けられている。開始点構造1700は、層間誘電体(ILD)層1702上に配置されたハードマスク層1704(例えば、窒化シリコン)を含む。後述するように、ILD層は基板の上に配置されてもよく、一実施形態では、下地のメタライゼーション層の上に配置される。第1の金属ライン1706(および場合によっては対応する導電ビア1707)がILD層1702内に形成される。金属ライン1706の突出部分1706Aは隣接する誘電体スペーサ1708を有する。犠牲ハードマスク層1710(例えば、アモルファスシリコン)は、隣接する誘電体スペーサ1708の間に含まれる。図示していないが、一実施形態では、金属ライン1706は、最初に誘電体スペーサ1708間の第2の犠牲ハードマスク材料を除去し、次にハードマスク層1704およびILD層1702をエッチングしてトレンチを形成し、次にメタライゼーションプロセスで充填することによって形成される。
図17Bは、包含するトレンチエッチングおよびビア位置エッチングまでの第2のパス金属処理後の図17Aの構造を示す。図17Bを参照すると、犠牲ハードマスク層1710が除去されて、ハードマスク層1704が露出している。ハードマスク層1704の露出部分が除去され、トレンチ1712がILD層1702に形成されている。加えて、一実施形態では、図17Bに示すように、ビアリソグラフィおよびエッチングプロセスを使用して、ビア位置1722が選択位置に形成される。
図17Cは、犠牲材料を充填した後の図17Bの構造を示す。犠牲材料1714がトレンチ1712内ならびにスペーサ1708および金属ライン1706の上に形成されている。一実施形態では、犠牲材料1714はスピンオンプロセスで形成され、図17Cに示すように、実質的に平坦な層を残す。
図17Dは、ハードマスク層1704を再露出し、誘電体スペーサ1708を除去し、そして金属ライン1706の突出部分1706Aを除去するための平坦化プロセス後の図17Cの構造を示す。さらに、平坦化プロセスは、犠牲材料1714を誘電体層1702に形成されたトレンチ1712に限定した。一実施形態では、平坦化プロセスは化学機械研磨(CMP)プロセスを使用して実行される。
図17Eは、犠牲材料1714を部分的に除去してリセスされた犠牲材料1715を設けた後の図17Dの構造を示す。一実施形態では、犠牲材料1714は、ウェットエッチングまたはドライエッチングプロセスを使用してトレンチ1712内にリセスされる。リセスされた犠牲材料1715をこの時点で保持して、ビア位置1722の下地の金属層を保護することができる。
図17Fは、非コンフォーマル誘電体キャップ層1716の堆積後の図17Eの構造を示し、これは誘電体ヘルメットと呼ばれることがある。一実施形態では、非コンフォーマル誘電体キャップ層1716は、物理気相成長(PVD)、選択成長プロセス、またはプラズマCVD(PECVD)プロセスなどの化学気相成長(CVD)プロセスを使用して形成される。非コンフォーマル誘電体キャップ層1716は、非コンフォーマル誘電体キャップ層1710に関連して上述した通りであってもよい。あるいは、図17Fに示すように、非コンフォーマル誘電体キャップ層1716は上側部分1716Aのみを含み、トレンチ1712内に形成された非コンフォーマル誘電体キャップ層1716の部分は本質的にはない。
図17Gは、第2の金属ライン製造後の図17Fの構造を示す。一実施形態では、第2の金属ライン1724(および場合によっては関連する導電ビア1726)は、リセスされた犠牲材料1715の除去に続いて金属充填および研磨プロセスを実行することによって形成される。研磨プロセスはCMPプロセスであってもよい。
図17Hは、例えば第1および第2の交互に配置されたプレースホルダ材料1728および1730を提供するための(または図16Dに関連して説明した恒久的材料とすることができる)指向性自己組織化(DSA)または選択成長後の図17Gの構造を示す。
図17Iは、第1および第2の交互に配置されたプレースホルダ材料1728、1730をそれぞれ恒久的な第1および第2のハードマスク層1732、1734で置き換えた後の図17Hの構造を示す。図17Hおよび図17Iの処理は、図16Dに関連して説明した通りであってもよい。
図17Jは、次の層のビアパターニング後の図17Iの構造を示す。第1および第2のハードマスク層1732、1734の上に上側ILD層1736が形成される。上側ILD層1736には開口部1738が形成されている。一実施形態では、開口部1738は、ビアフィーチャサイズよりも広く形成されている。露出した第1および第2のハードマスク層1732、1734の位置のうちの選択された1つが、例えば選択的エッチングプロセスによる選択的除去のために選択される。この場合、第1のハードマスク1732領域は、第2のハードマスク層1734の露出部分に対して選択的に除去される。次に、開口部1738内および第1のハードマスク1732領域が除去された領域内に導電ビア1740が形成される。導電ビア1740は、第1の金属ライン1706のうちの1つと接触する。一実施形態では、導電ビア1740は、隣接する第2の金属ライン1724のうちの1つに短絡することなく、第1の金属ライン1706のうちの1つに接触する。特定の実施形態では、図17Jに示すように、導電ビア1740の一部1742が、下地の第2の金属ライン1724と接触することなく、第2のハードマスク層1734部分上に配置される。一実施形態では、次いで、改善された短絡マージンが実現される。
一実施形態では、上記の実施形態で説明したように、ビア1740の製造のために第1のハードマスク1732領域が除去される。この場合、選択された第1のハードマスク1732領域の除去時に開口部を形成することは、非コンフォーマル誘電体キャップ層1716の最上部分を貫通してエッチングすることをさらに必要とする。しかしながら、他の実施形態では、ビア1740の製造のために第2のハードマスク1734領域が除去される。この場合、そのような選択された第2のハードマスク1734領域の除去時に開口部を形成することにより、ビア1740が接続されている金属ライン1724を直接露出させる。
再び図16Pおよび図17Jを参照すると、断面解析によって、金属集団の半分にわたって誘電体ヘルメットを見ることができる。さらに、異なる材料のハードマスクは、誘電体ヘルメットに対して自己整合されている。そのような構造は、改善された短絡マージンを有する1つまたは複数の導電ビア、交互に配置されたハードマスク材料、誘電性ヘルメットの存在を含むことができる。図16Pまたは図17Jに関連して説明したような結果として生じる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図16Pまたは図17Jの構造は、集積回路内の最終金属相互接続層を表してもよい。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。
本開示の一実施形態による、ビアおよびプラグ用のパターン蓄積層について説明する。本明細書に記載の1つまたは複数の実施形態は、ビア限界寸法(CD)制御のためのプロセス方式に関する。実施形態は、ビアCD制御、ビアCD均一性、エッジ配置誤差(EPE)、ビア自己整合に関する改善を含むことができる。実施形態は、ビアの半導体パターニングにおけるエッジ配置誤差(EPE)を改善することができ、複数のビアリソグラフィパスの自己整合を可能にすることができる。一実施形態では、すべてのビアエッジは、標準的なレジストエッジの代わりにグレーティングで画定される。ビアが着地している金属と同じ方向にビアレジストの下に犠牲グレーティングが形成される。ビアは標準的なフォトレジストでパターニングされている。しかしながら、犠牲グレーティングおよび自己整合ビア(SAV)金属グレーティング(例えば、2つの交差グレーティング)のグレーティングを通した後続のエッチングの間、すべてのビアエッジはグレーティングによって画定される。一実施形態では、ビアレジストエッジのばらつきが基板に転写されず、結果として得られるプロセス能力は、ビアCDのより良好な制御を可能にし、歩留まりおよびプロセス能力を改善する。
以下に説明する実施形態の場面を説明するために、現在知られている解決策は、下の金属への短絡マージンを決定するビアエッジを画定するためのレジストエッジの使用を含む。しかしながら、標準的なビアレジストパターニングは、グレーティングパターニングよりもはるかに高いエッジ配置誤差を有することが知られている。対照的に、本明細書に記載の実施形態によれば、ビアエッジを画定するために犠牲グレーティングを使用することによってビアエッジの制御が大幅に改善され、誤った金属への短絡の危険性が大幅に改善される。
本明細書に記載の実施形態によれば、エッチング後にビアエッジを画定するためにスタック内に犠牲グレーティングを有する複数のビアパターンについてのパターン蓄積フローが説明される。「ふるい」スタックは、既に存在するプラグを有するパターニングされた上側金属(M1)層間誘電体層上にハードマスクをコーティングすることによって構築される。ハードマスクは、後続の処理のためにウェハを平坦化する。次に形成された層をエッチング停止層として使用し、続いて蓄積層を形成することができる。この段階で、下地の下側金属(M0)層のピッチの2倍のピッチで、かつM0グレーティングと同じ方向にグレーティングを形成することができる。このグレーティングは、下の他のすべてのM0ラインを効果的に遮断し、最終的にビアポストエッチングの限界寸法(CD)を画定する。一実施形態では、グレーティングが下地のM0のピッチの2倍であるので、上にあるレジストフィーチャのエッジ配置誤差(EPE)を可能にするために、ビア間にかなりの量のハードマスク(±20nm)が含まれる。
次に、複数のビアマスクパターンがグレーティングを通って蓄積層に蓄積される。蓄積後、他の下側金属(M0)ラインを露出させ、既に形成されたビアを保護するために、余分なリソグラフィ工程なしにグレーティングを反転させる。グレーティング間にライナーを追加して、隣接するM0ライン上のビアが合流しないようにする。ビア間の間隔は、ライナーの厚さで調整することができる。
最後に、1から数個のビアマスクからのビアパターンを、反転グレーティングを介して蓄積して、描画されたすべてのビアの蓄積におけるパターニングを完了することができる。次にグレーティングを除去し、蓄積層内の蓄積ビアパターンを、上側金属(M1)ハードマスクグレーティングを通してM1ラインの下の層間誘電体およびその下のM0までエッチングする。M1グレーティングの上のスタックおよびその上にあるハードマスク層が除去される。続いて、トレンチおよびビアをメタライズし、次いで研磨する。その結果、両方向の形成されたビアの非常に良好なCD制御、およびすべてのビアの互いに対する自己整合が得られる。
一態様では、次に、本明細書に記載の1つまたは複数の実施形態は、上にある導電ビアを構築するためのテンプレートとして、下地の金属グレーティング構造、または一対の直交構造を使用する手法に関する。例示的な処理方式では、図18A〜図18Wは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ビア処理方式における様々な工程を表す平面図(図の上部)および対応する斜視図(図の中央部)と断面図(図の下部)である。
図18Aを参照すると、新しいメタライゼーション層を製造するための開始点として開始点構造1800が設けられている。開始点構造1800は、交互に配置された金属ライン1802および誘電体ライン1804のアレイを含む。金属ライン1802は、誘電体ライン1804の上側表面とほぼ同一平面上にある上側表面を有する。次いで、図18Bに示すように、エッチング停止層1806が開始構造1800上に形成される。
図18Cを参照すると、層間誘電体層1808が図18Bの構造上に形成されている。次に、パターニングされたハードマスク1810を図18Cの構造上に形成し、図18Dに示すように、パターニングされたハードマスク1810のパターンを層間誘電体層1808に部分的に転写して、その中に金属ライン領域1814を有するパターニング層間誘電体層1812を形成する。一実施形態では、パターニングされたハードマスク1810は、図示するようにグレーティング型パターンを有する。特定の実施形態では、パターニングされたハードマスク1810は窒化チタン(TiN)からなる。
図18Eを参照すると、ハードマスク層1816が図18Dの構造上に形成されている。一実施形態では、ハードマスク層1816の底面は図18Dの構造のトポグラフィとコンフォーマルであり、ハードマスク層1816の上側表面は平坦化されている。特定の実施形態では、ハードマスク層1816は炭素ハードマスク(CHM)層である。次いで、図18Fに示すように、エッチング停止層1818を図18Eの構造上に形成する。特定の実施形態では、エッチング停止層1818は酸化シリコン(SiOxまたはSiO)からなる。
図18Gを参照すると、次にパターン蓄積層1820が図18Fの構造上に形成される。一実施形態では、パターン蓄積層1820は、例えば、最終的なビアパターニングのために、2つ以上のパターンが最終的に蓄積する層である。特定の実施形態では、パターン蓄積層1820はアモルファスシリコン(a−Si)からなる。次に、図18Hに示すように、パターニングされたハードマスク1822を図18Gの構造上に形成する。一実施形態では、パターニングされたハードマスク1822は、図示するようにグレーティング型パターンを有する。そのような一実施形態では、グレーティング型パターンは、パターニングされたハードマスク1810のグレーティングと直交し、金属ライン1802のグレーティングと平行である。しかしながら、一実施形態では、上から見た透視図から、図18Hに示すように、パターニングされたハードマスク1822は、金属ライン1802(例えば金属ライン1802(A))を1本おきにのみ露出させ、交互に配置された金属ライン1802(例えば金属ライン1802(B))を遮断する。特定の実施形態では、パターニングされたハードマスク1822は窒化シリコン(SiN)からなる。
図18Iを参照すると、次にハードマスク1824が図18Hの構造上に形成されている。特定の実施形態では、ハードマスク1824は炭素ハードマスク(CHM)である。次いで、図18Jに示すように、ハードマスク1824を(例えば、単層または多層レジスト構造を使用するリソグラフィプロセスによって)パターニングし、そのパターンを、パターンハードマスク1822によって露光されたパターン蓄積層1820の一部に転写して、1回パターニングされたメモリ層1826を形成する。一実施形態では、パターンは、終点としてエッチング停止層1818を使用するエッチングプロセスによってパターン蓄積層1820の一部に転写される。一実施形態では、図18Jにも示すように、1回パターニングされたメモリ層1826を形成した後に、ハードマスク1824が除去される。このプロセスは、いくつかの異なるマスキング工程に対して繰り返してもよいことを理解されたい。
図18Kを参照すると、次に、図18Jの構造のパターニングされたハードマスク1822の開口部をブロッキング材料層で充填することによって、ブロッキングライン1828が形成される。特定の実施形態では、ブロッキング材料層は流動性酸化シリコン材料である。他の実施形態では、ブロッキング材料層は、他のいくつかの適切な材料のうちのいずれかである。次に、図18Lに示すように、パターニングされたハードマスク1822を図18Kの構造から除去して、ブロッキングライン1828を残したままにする。
図18Mを参照すると、次いで、ブロッキングライン1828に一致するように、絶縁スペーサ形成材料層1830が図18Lの構造上に形成される。一実施形態では、絶縁スペーサ形成材料層1830は誘電体材料からなる。一実施形態では、スペーサ形成材料層1830は酸化シリコン(SiOxまたはSiO)からなる。次に、図18Nに示すように、スペーサ形成材料層1830をパターニングしてブロッキングライン1828の側壁に隣接してスペーサ1832を形成する。一実施形態では、スペーサ形成材料層1830は、異方性ドライエッチングプロセスを使用してパターニングされてスペーサ1832を形成する。
図18Oを参照すると、ブロッキングライン1828、スペーサ1832、およびスペーサ1832を形成した後に形成されたパターニングマスクの保護領域の集合パターンが、1回パターニングされたメモリ層1826に転写され、2回パターニングされたメモリ層1834を形成する。一実施形態では、パターンは、終点としてエッチング停止層1818を使用するエッチングプロセスによって、1回パターニングされたメモリ層1826に転写される。次いで、図18Pに示すように、ブロッキングライン1828、スペーサ1832、および図18Oの構造の任意の追加のマスク材料を除去して、2回パターニングされたメモリ層1834を露出させる。
図18Qを参照すると、次に、図18Pの構造の2回パターニングされたメモリ層1834のパターンがエッチング停止層1818に転写されて、パターニングされたエッチング停止層1836を形成し、ハードマスク層1816の一部を露出させる。一実施形態では、2回パターニングされたメモリ層1834のパターンは、ドライエッチングプロセスを使用してエッチング停止層1818に転写される。次に、図18Rに示すように、図18Qの構造の2回パターニングされたメモリ層1834を除去する。
図18Sを参照すると、次に、図18Rの構造のパターニングされたエッチング停止層1836のパターンがハードマスク層1816に転写されて、パターニングされたハードマスク層1838を形成する。パターニングされたハードマスク層1838は、パターニングされた層間誘電体層1812のライン領域1814の一部およびパターニングされたハードマスク1810の一部を露出させる。すなわち、パターニングされたハードマスク層1838はパターニングされた層間誘電体層1812のライン領域1814より広い領域を露出するが、パターニングされたハードマスク1810は、ライン領域1814の外側のパターニングされた層間誘電体層1812の「露出された」領域を保護する。次に、図18Tに示すように、図18Sの構造のパターニングされたハードマスク層1838のパターンをパターニングされた層間誘電体層1812に転写して、2回パターニングされた層間誘電体層1840を形成し、エッチング停止層1806を露出させる。しかし、一実施形態では、パターニングされたハードマスク1810は、図18Tにも示すように、全転写パターンを抑制する。一実施形態では、パターニングされたハードマスク層1838のパターンは、終点としてエッチング停止層1806を用いるエッチングプロセスによって、パターニングされた層間誘電体層1812に転写される。
図18Uを参照すると、図18Tの構造のエッチング停止層1806の露出部分が除去されて、パターニングされたエッチング停止層1842を形成し、金属ライン1802用のビア位置1844を露出させる。次に、図18Vに示すように、図18Uの構造のパターニングされたエッチング停止層1836、パターニングされたハードマスク層1838、およびパターニングされたハードマスク1810を除去する。この除去により、2回パターニングされた層間誘電体層1840と、金属ライン1802用のビア位置1844と、上側金属ライン用の位置1846と、が露出する。一実施形態では、パターニングエッチング停止層1836、パターニングされたハードマスク層1838、およびパターニングされたハードマスク1810は、選択的ウェットエッチングプロセスを使用して除去される。
図18Wを参照すると、図18Vの構造について上側メタライゼーション層が形成されている。特に、金属ビア1848および金属ライン1850を設けるために金属充填プロセスが実行される。一実施形態では、金属充填プロセスは、金属堆積およびその後の化学機械平坦化(CMP)プロセスなどの平坦化処理方式を使用して実行される。一実施形態では、図18Wの形成された構造の表面は、図18Aの開始構造1800の表面と直交しているが実質的に同じである。したがって、一実施形態では、図18B〜図18Wに関連して説明したプロセスを図18Wの構造上で繰り返して、次のメタライゼーション層を形成することなどができる。
図18Wに関連して説明したような結果として生じる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図18Wの構造は、集積回路内の最終金属相互接続層を表すことができる。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。上記の例はビア/コンタクト形成に焦点を当ててきたことも理解されたい。しかしながら、他の実施形態では、金属ライン層内のライン端部終端(プラグ)用の領域を保存または形成するために同様の手法を使用することができる。
本開示の一実施形態による、グリッドベースのビアおよびプラグパターニング手法について説明する。本明細書に記載の1つまたは複数の実施形態は、グリッド自己整合および超自己整合金属ビア処理方式に関する。本明細書に記載される実施形態は、金属/ビア層のための自己整合方法論を提供するために実施することができる。本明細書に記載の手法を実施することによって、ほとんどすべてのプラグおよびビアの形状が可能になる。さらに、最終ビア限界寸法(CD)を、パターニングのために実施されるリソグラフィから独立させることができる。さらに、本明細書に記載の手法は、プロセスフローの終わりがプロセスフローの始まりと同一または実質的に同一の層スタックおよびレイアウトを有するという点で「循環フロー」を提供することができる。したがって、プロセスフロー内のすべての工程が開発されると、必要なだけ多くの金属/ビア層を追加するために必要なだけプロセスフローを繰り返すことができる。1つまたは複数の実施形態では、垂直グリッド間の重なりを使用してビアと金属ラインの配置を画定する。ビアのサイズは、2つのグリッド間の重なり合う領域によって決定することができる。
以下に説明する実施形態の場面を提供するために、ビア自己整合のための現在公知の手法と比較して、本明細書に記載の手法は、利用可能なほとんどすべてのプラグおよびビア配置を提供することができる。本明細書に記載の手法は、必要な選択的エッチングを少なくすることができる。本明細書に記載の手法は、利用されるリソグラフィから独立した最終プラグおよびビアCDを提供することができる。一態様では、次に、本明細書に記載の1つまたは複数の実施形態は、上にある導電ビアを構築するためのテンプレートとして下地の金属グレーティング構造を使用する手法に関する。金属(プラグ)間の非導電性スペースまたは遮断部を製造するために同様の手法を実施することができることを理解されたい。
例示的な処理方式では、図19A〜図19Lは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのグリッド自己整合金属ビア処理方式における様々な工程を表す平面図(図の上部)および対応する斜視断面図(図の下部)である。実際にはそうではないが、明瞭にするために、斜視断面図では異なるメタライゼーション層を分離して(上方および下方に)示していることを理解されたい。
図19Aを参照すると、新しいメタライゼーション層を製造するための開始点として開始点構造1900が設けられている。開始点構造1900は、交互に配置された金属ライン1902および誘電体ライン1904のアレイを含む。金属ライン1902は誘電体ライン1904の下にリセスされている。ハードマスク層1906が金属ライン1902の上方に配置され、誘電体ライン1904と交互に配置されている。一実施形態では、誘電体ライン1904は窒化シリコン(SiN)からなり、ハードマスク層1906は炭化シリコン(SiC)または酸化シリコン(SiO)からなる。次に、図19Bに示すように、次のパターニング層1908が開始点構造1900の上に製造される。一実施形態では、次のパターニング層1908は、エッチング停止層1910、誘電体層1912、およびグレーティング構造1914を含む。一実施形態では、エッチング停止層1910は酸化シリコン(SiO)からなり、誘電体層1912は窒化シリコン(SiN)からなり、グレーティング構造1914は酸化シリコン(SiO)からなる。一実施形態では、グレーティング構造1914は、例えばスペーサパターニングによるピッチ半減またはピッチ4分の1方式を使用して形成される。
図19Cを参照すると、グレーティング構造1914のパターンが誘電体層1912に転写されて、パターニングされた誘電体層1916を形成する。一実施形態では、グレーティング構造1914のパターンは、エッチングプロセスの終点としてエッチング停止層1910を利用するエッチングプロセスを使用して誘電体層1912に転写される。次に、図19Dに示すように、ブレークスルーエッチングを行ってエッチング停止層1910の露出部分を除去し、パターニングされたエッチング停止層1918を形成する。一実施形態では、ブレークスルーエッチングにより、構造1900内に形成される可能性があるすべての可能なビア位置1920を露出させる。
図19Eを参照すると、プラグが保存される位置に図19Dの構造上にパターニングされたハードマスク1922を形成することによってプラグのパターニングが行われる。次に、図19Fに示すように、パターニングされたハードマスク1922とグレーティング構造1914の統一パターンを構造1900に転写して、構造1900内に金属ラインを形成するための領域1924を有する構造1900'を形成する。一実施形態では、パターニングされたハードマスク1922とグレーティング構造1914の統一パターンが、エッチングプロセスを使用して構造1900に転写される。このようなエッチングプロセスは、層1904、1906の両方を実質的に同じレートでエッチングすることができ(またはいくつかのエッチング工程として実行することができ)、図19Fにも示すように、パターニングされたハードマスク1922を除去するための洗浄プロセスをその後に行うことができる。
図19Gを参照すると、次にビアパターニングが図19Fの構造上にパターニングされたリソグラフィマスク1926を形成することによって行われ、パターニングされたリソグラフィマスク1926はビアが形成される位置を露出させる(例えば、ビア選択プロセス)。次に、図19Hに示すように、パターニングされたリソグラフィマスク1926とグレーティング構造1914の統一パターンを構造1900'に転写して、構造1900'内に金属ビアを形成するための領域1928'を有する構造1900''を形成する。一実施形態では、パターニングされたリソグラフィマスク1926およびグレーティング構造1914の統一パターンが、エッチングプロセスを使用して構造1900'に転写される。そのようなエッチングプロセスは、層1904に対して選択的に層1906をエッチングすることができ、図19Hにも示すように、パターニングされたリソグラフィマスク1926を除去するために洗浄プロセスをその後に行うことができる。
図19Iを参照すると、図19Iの構造に対して金属充填プロセスが実行されて、下地の構造1930が設けられる。金属充填プロセスは、構造1930内に金属ビア1932および金属ライン1934を形成する。金属充填プロセスはまた、図19Iに示すように、グレーティング構造1914の間の領域を金属ライン1936で充填することができる。一実施形態では、金属充填プロセスは、金属堆積およびそれに続く平坦化処理方式を使用して実行される。次に、図19Iの構造の厚さを薄くしてグレーティング構造1914を除去し、パターニングされた誘電体1916を露出させ、図19Jに示すように金属ライン1936から厚さを薄くした金属ライン1938を設けることができる。一実施形態では、次に、化学機械平坦化(CMP)プロセスなどの平坦化プロセスを使用して、図19Iの構造の厚さを薄くすることができる。
図19Kを参照すると、図19Jの構造から金属ライン1938が除去されて、パターニングされた誘電体層1916およびパターニングされたエッチング停止層1918が残る。金属ライン1938は、金属ライン1938を除去し、材料層1904、1906の上の高さに金属が残らないようにする(すなわち、構造1930のプラグ領域の上に金属が残らないようにする)ことを確実にする選択的エッチングプロセスによって除去することができる。次に、図19Lに示すように、ハードマスク層1940を図19Kの構造上のパターニングされた誘電体層1916のラインの間に形成する。一実施形態では、ハードマスク層1940は、炭化シリコン(SiC)または酸化シリコン(SiO)からなり、堆積および平坦化処理方式を用いて形成される。一実施形態では、ハードマスク層1940はハードマスク層1906と同じ材料からなる。一実施形態では、パターニングされた誘電体層1916およびハードマスク層1940から形成された構造の表面は、図19Aの開始構造1900の表面と直交するが実質的に同じである。したがって、一実施形態では、図19B〜図19Lに関連して説明したプロセスを図19Lの構造上で繰り返して、次のメタライゼーション層を形成することなどができる。
次のメタライゼーション層を形成するために図19Lの構造上で繰り返される図19B〜図19Lに関連して説明したプロセスは、プロセスフローの終わりがプロセスフローの始まりと同一または実質的に同一の層スタックおよびレイアウトを有するという点で循環フローと呼ぶことができることを理解されたい。一実施形態では、追加のメタライゼーション層を形成することは、そのような循環フローを使用することを含む。しかしながら、循環または反復的フローは選択メタライゼーション層に対してのみ実施することができることもまた理解されたい。結果として生じるスタック内の他のメタライゼーション層(例えば、図19B〜図19Lの処理方式を使用して製造された層の上または下または中間の層)は、従来のデュアルダマシンまたは他の手法を使用して製造することができる。
図19Lに関連して説明した符号1931などの結果として生じる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図19Lの構造1931は、集積回路内の最終金属相互接続層を表すことができる。その後の製造工程では、誘電体ラインを除去して、得られる金属ライン間にエアギャップを設けることができることも理解されたい。上記の例はビア/コンタクト形成に焦点を当ててきたことを理解されたい。しかしながら、他の実施形態では、金属ライン層内のライン端部終端(プラグ)用の領域を保存または形成するために同様の手法を使用することができる。
本開示の一実施形態によれば、グレーティングベースのビアおよびプラグのパターニングが説明される。本明細書に記載の1つまたは複数の実施形態は、フィーチャ端部形成のためのグレーティングベースのプラグおよびカットに関する。実施形態は、リソグラフィパターニング、関連するライン端部CD歩留まり、およびスペーサベースのパターニングのうち1つまたは複数を含むことができる。実施形態は、1次元(1D)フィーチャの配置制御および均一性を有するプラグおよびカットを作製するための方法を使用する。ビア端部とライン端部がより制限された位置に配置されるという意味で、ライン端部(プラグ)またはビア配置に対するより良い制御の間にはトレードオフがあることを理解されたい。
本明細書に記載の実施形態の場面を提供するために、半導体製造においてより狭いピッチのフィーチャをパターニングすることを可能にするために、グレーティングおよびプラグあるいはグレーティングおよびカット手法がより多くの層に適用されている。フィーチャ寸法が縮小し続けるにつれて、カットおよびプラグをしっかりとパターニングする能力は、スケーリングおよび歩留まりを制限する可能性がある。カットおよびプラグフィーチャは、一般に、主として2次元(2D)フィーチャを用いたリソグラフィ工程によって直接画定される。そのような2Dフィーチャは、1次元(1D)フィーチャよりもはるかに高いばらつきおよび不均一性を有する。
以下に説明される図20A〜図20Gに関して、一実施形態では、グレーティングで画定されたプラグを生成するための単純化されたパターニングプロセスの概要が提示される。犠牲1Dパターンは、パターニングされている層の主方向に対して垂直に生成される。次に、選択マスクを使用して、最終的に一次グレーティングの一部を切断または保持するために使用されることになる1Dパターンの一部を切断または保持する。したがって、一次パターン上のカット/キープの最終エッジは、1D犠牲グレーティングのエッジによって画定され、はるかに良好な制御および均一性を有する。図20A〜図20Gは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のためのフィーチャ端部形成のためのグレーティングベースのプラグおよびカットの製造方法における様々な工程を表す平面図(上部)および対応する断面図(中央および下部)である。
図20Aを参照すると、開始点構造2000が、新しいメタライゼーション層を製造するための開始点として設けられている。開始点構造2000は、第1のハードマスク層2004が上に形成された層間誘電体(ILD)材料層2002を含む。第1のハードマスク層2004上に第2のハードマスク層2006が形成されている。第2のハードマスク層2006はグレーティングパターンを有し、これは主に1次元(1D)グレーティングパターンと見なすことができる。一実施形態では、第2のハードマスク2006のグレーティングパターンは、最終的に、パターニングされる最終層の1D位置を画定するために使用されるが、まだその中にフィーチャ位置の端部がパターニングされていない。第1のハードマスク層2004および/または第2のハードマスク層2006は、これらに限定されないが、窒化シリコン(SiN)、酸化シリコン(SiO)、窒化チタン(TiN)、またはシリコン(Si)などの材料から製造することができる。一実施形態では、第1のハードマスク層2004および第2のハードマスク層2006は互いに異なる材料から製造される。
図20Bを参照すると、第3のハードマスク層2008が図20Aの構造上に形成されている。一実施形態では、第3のハードマスク層2008はグレーティングパターンを有し、これは第2のハードマスク層2006の1Dグレーティングパターンに直交する主に1次元(1D)グレーティングパターンと見なすことができる。第3のハードマスク層2008は、これらに限定されないが、窒化シリコン(SiN)、酸化シリコン(SiO)、窒化チタン(TiN)、またはシリコン(Si)などの材料から製造することができる。一実施形態では、第3のハードマスク層2008は、第1のハードマスク層2004および第2のハードマスク層2006の材料とは異なる材料から製造される。例えば、改善されたエッチング選択性を提供するために、上述のハードマスク層のうちのいずれか1つが実際に複数の副層を含むことができることを理解されたい。
一実施形態では、第3のハードマスク層2008のグレーティングパターンと第2のハードマスク層2006のグレーティングパターンとが一緒になって、金属ラインメタライゼーション層のすべての許容されるライン端部位置を画定する。そのような一実施形態では、第3のハードマスク層2008のグレーティングパターンと第2のハードマスク層2006のグレーティングパターンとが一緒になってグレーティングパターンのラインが重なり合う位置にライン端部位置を画定する。別のそのような実施形態では、第3のハードマスク層2008のグレーティングパターンと第2のハードマスク層2006のグレーティングパターンとが一緒になって、グレーティングパターンのラインの間にスペースが露出する位置にライン端部位置を画定する。
図20Cを参照すると、リソグラフィパターニングマスク2010の領域が図20Bの構造上に形成されている。リソグラフィパターニングマスク2010の領域は、1つまたは複数のフォトレジスト層から、またはリソグラフィパターニングマスクと同様に形成することができる。一実施形態では、リソグラフィパターニングマスク2010の領域は、第2のハードマスク層2006および第3のハードマスク層2008から形成された犠牲グレーティング上にカット/キープ領域のパターンを提供する。次に、一実施形態では、リソグラフィプロセスを使用して犠牲グレーティングの部分を選択(切断または保持)し、それが最終的に金属ラインの一次パターンの端部位置を画定する。そのような一実施形態では、犠牲グレーティングパターンをエッチングする前に、193nmまたはEUVリソグラフィが、レジストパターンの下地の層へのエッチング転写と共に使用される。一実施形態では、リソグラフィプロセスは、レジスト層の複数回露光または堆積/エッチング/堆積反復処理を含む。マスクされた領域は切断または保持位置と呼ばれることがあり、直交グレーティング重なり領域またはグレーティング間のスペースがプラグ(またはおそらくビア)位置を画定するために使用されることを理解されたい。
図20Dを参照すると、図20Cの構造のリソグラフィパターニングマスク2010の領域をマスクとして使用して、第3のハードマスク層2008を選択的にエッチングして、パターニングされたハードマスク層2012を形成する。すなわち、犠牲グレーティングの一部をエッチングして、第3のハードマスク層2008の一部をエッチングプロセスから保護するリソグラフィパターニングマスク2010の領域のパターンの一部を採用する。一実施形態では、エッチングプロセスで除去される第3のハードマスク層2008の一部は、最終ターゲット設計の一部ではない。一実施形態では、図20Dに示すように、リソグラフィパターニングマスク2010の領域を、パターニングされたハードマスク層2012を形成した後に除去する。
図20Eを参照すると、図20Dの構造の第2のハードマスク層2006およびパターニングされたハードマスク層2012を形成した組み合わせパターンが、例えば選択的エッチングプロセスによって第1のハードマスク層2004およびILD材料層2002に転写される。パターニングは、パターニングされたILD層2014およびパターニングされたハードマスク層2016を形成する。
図20Fを参照すると、次に、図20Eの構造のパターニングされたハードマスク層2012および第2のハードマスク層2006(すなわち、犠牲グレーティング)が除去される。パターニングされたハードマスク層2016は、図20Fに示すようにこの段階で保持されてもよく、または除去されてもよい。選択的ウェットまたはドライ処理技術を用いて、パターニングされたハードマスク層2012および第2のハードマスク層2006(および場合によっては、パターニングされたハードマスク層2016)を除去することができる。図20Fの結果として得られる構造は、残りのパターニングされたハードマスク層2016を最初に除去するという選択肢と共に、金属充填のための開始点としてその後使用することができる。金属フィーチャとなるものの端部位置(ライン端部)は、ILD材料層2002に転写された1D犠牲グレーティングのエッジによって画定され、したがって、よく制御されている。
図20Gを参照すると、図20Fの構造上に金属充填プロセスが実行されて、開口部のパターニングされたILD層2014内に金属ライン2018が形成される。金属ラインは、パターニングされたILD層2014に形成された連続性の切れ目によって形成されたライン端部を有する。一実施形態では、金属充填プロセスは、パターニングされたILD層2014上に1つまたは複数の金属層を堆積し、次いで平坦化することによって実行される。図20Fおよび図20Gに示すように、パターニングされたハードマスク層2016を金属堆積プロセス中に保持し、次いで平坦化プロセス中に除去することができる。しかしながら、他の実施形態では、パターニングされたハードマスク層2016は、金属充填工程の前に除去される。さらに他の実施形態では、パターニングされたハードマスク層2016は最終構造において保持される。図20Gを再び参照すると、金属ライン2018は、一例として示される導電ビア2020などの、下地のフィーチャの上に形成されてもよいことを理解されたい。
図20Gに関連して説明したような結果として生じる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図20Gの構造は、集積回路内の最終金属相互接続層を表すことができる。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。一実施形態では、そうでなければ許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットは、本明細書で説明される結果として得られる構造に対する要因ではない。上記の例はライン端部/プラグ/カットの形成または保存に焦点を当ててきたことを理解されたい。しかし、他の実施形態では、金属ライン層の上または下にビア/コンタクトを形成するために同様の手法を使用することができる。その後の製造工程では、誘電体ラインを除去して、得られる金属ライン間にエアギャップを設けることができることも理解されたい。
図20A〜図20Gを再び参照すると、一実施形態では、グレーティングにより画定されたプラグを生成するためのパターニングプロセスが説明されている。そのような実施形態の利点は、最悪の場合のプロセスばらつきの条件下で観察される端部間短絡(歩留まり不良)の可能性を低減する端部間フィーチャのより良い寸法制御を含むことができる。端部間のフィーチャの寸法制御が改善されたことで、ビアの着地とカバレッジについて、最悪の場合のプロセスばらつきの下でより多くの領域が提供される。したがって、一実施形態では、歩留まりおよび製品性能を向上させながら、層間で改善された電気的接続を達成することができる。端部間のフィーチャの改善された寸法制御は、より小さな端部間幅を可能にし、したがって、より良い製品密度(機能当たりのコスト)を達成することができる。
一実施形態では、本開示の実施形態の利点は、すべてのライン端部位置が単一のリソグラフィ工程によって画定されることである。例えば、プラグ/カットピッチが非常に小さくなると、一般的な解決策は、複合プラグ/カットパターンを生成するために追加の処理を伴うリソグラフィの複数パスを使用することである。対照的に、本明細書に記載の実施形態では、フィーチャ端部位置は複数のリソグラフィ工程の関数であり、したがって、本明細書に記載の実施形態の場合のように単一のリソグラフィ工程を用いてフィーチャ端部を画定する場合よりもばらつきが大きい。
本開示の一実施形態による、ライン端部カット手法について説明する。本明細書に記載の1つまたは複数の実施形態は、金属ライン端部をパターニングするための技術に関する。実施形態は、コンタクト製造、ダマシン処理、デュアルダマシン処理、相互接続製造、および金属ライントレンチパターニングのうち1つまたは複数の態様を含むことができる。
場面を提供するために、半導体製造の進歩したノードでは、低レベル相互接続は、ライングレーティング、ライン端部、およびビアの別々のパターニングプロセスによって作成される。複合パターンの忠実度は、ビアがラインの端部に侵入するにつれて低下し、またその逆も成り立つ。本明細書に記載の実施形態は、関連する近接規則を排除するプラグプロセスとしても知られるライン端部プロセスを提供する。実施形態は、ビアがライン端部に配置され、大きなビアがライン端部を横切って結ぶことを可能にすることができる。
さらなる場面を提供するために、図21Aは、従来の半導体デバイスのメタライゼーション層の平面図のa−a'軸線に沿った平面図および対応する断面図である。図21Bは、現在知られている処理方式を使用して製造されたライン端部またはプラグの断面図である。図21Cは、現在知られている処理方式を使用して製造されたライン端部またはプラグの別の断面図である。
図21Aを参照すると、メタライゼーション層2100は、誘電体層2104内に形成された金属ライン2102を含む。金属ライン2102は、下地のビア2103に結合することができる。誘電体層2104はライン端部またはプラグ領域2105を含むことができる。図21Bを参照すると、誘電体層2104のハードマスク層2110をパターニングし、次いで誘電体層2104の露出部分をエッチングすることによって、誘電体層2104の従来のライン端部またはプラグ領域2105を製造することができる。誘電体層2104の露出部分は、ライントレンチ2106を形成するのに適した深さまでエッチングすることができ、またはビアトレンチ2108を形成するのに適した深さまでさらにエッチングすることができる。図21Cを参照すると、ライン端部またはプラグ2105の対向する側壁に隣接する2つのビアが単一の大きな露光2116で製造されて、最終的にライントレンチ2112およびビアトレンチ2114を形成することができる。
しかしながら、再び図21A〜図21Cを参照すると、忠実度の問題および/またはハードマスクの侵食の問題は、不完全なパターニングレジームにつながる可能性がある。対照的に、本明細書に記載の1つまたは複数の実施形態は、トレンチおよびビアパターニングプロセス後のライン端部誘電体(プラグ)の構成を含むプロセスフローの実施を含む。例示的な処理方式では、図21D〜図21Jは、本開示の一実施形態による、バックエンドオブライン(BEOL)相互接続のための金属ライン端部をパターニングするためのプロセスにおける様々な工程を表す断面図である。
図21Dを参照すると、半導体ダイ用の相互接続構造のメタライゼーション層を製造する方法は、下地のメタライゼーション層2120の上に形成された層間誘電体(ILD)材料層2126の上側部分(下側部分2130の上)にライントレンチ2128を形成するステップを含む。下地のメタライゼーション層2120は、誘電体層2124内に配置された金属ライン2122を含む。
図21Eを参照すると、ILD材料層2126の下側部分2130にビアトレンチ2132A、2132Bが形成され、ILD材料層2126のパターニングされた下側部分2130'を形成する。例示的な実施形態として、ビアトレンチ2132Aは、下地のメタライゼーション層2120の2本の金属ライン2122を露出させ、一方、ビアトレンチ2132Bは、下地のメタライゼーション層2120の1本の金属ライン2122を露出させる。
図21Fを参照すると、マトリックス材料などの犠牲材料2134が、ILD材料層(図21Fに示す部分2130')の上ならびにライントレンチ2128およびビアトレンチ2132A、2132Bの中に形成される。一実施形態では、図21Fに示すように、パターニングされたハードマスク層2136が犠牲材料2134上に形成される。
図21Gを参照すると、犠牲材料2134をパターニングして、図21Eのビアトレンチ2132Aに関連する下地のメタライゼーション層2120の2本の金属ライン2122の間の下側メタライゼーション層2120の一部を露出させる開口部(図21Gの左側開口部)を形成する。図示する例示的な実施形態では、犠牲材料2134がさらにパターニングされて、図21Eのビアトレンチ2132Bに隣接するILD材料層のパターニングされた下側部分2130'の一部を露出させる開口部(図21Gの右側開口部)を形成する。一実施形態では、犠牲材料2134は、エッチングプロセスによって、パターニングされたハードマスク2136のパターンを犠牲材料2134に転写することによってパターニングされる。
図21Hを参照すると、犠牲材料2134(ここではパターニングされ充填された犠牲材料2134'として示されている)の開口部は誘電体材料2138で充填されている。一実施形態では、犠牲層2134の開口部は、原子層堆積(ALD)および化学気相成長(CVD)からなる群から選択された堆積プロセスを用いて誘電体材料2138で充填される。一実施形態では、犠牲材料2134の開口部は、第1の誘電体材料組成の誘電体材料2138で充填される。そのような一実施形態では、ILD材料層2126は、第1の誘電体材料組成とは異なる材料からなる第2の誘電体材料を含む。しかしながら、別のそのような実施形態では、ILD材料層2126は第1の誘電体材料からなる。
図21Iを参照すると、充填された犠牲材料2134'が除去されて誘電体プラグ2140A、2140Bが設けられる。図示する例示的な実施形態では、誘電体プラグ2140Aは、下地のメタライゼーション層2120の2本の金属ライン2122の間の下側メタライゼーション層2120の一部に配置されている。誘電体プラグ2140Aは、ビアトレンチ2132Aとライントレンチ2128'とに隣接しており、図21Iに示す場合では、本質的に対称的なビアトレンチ2132Aとライントレンチ2128'との間にある。誘電体プラグ2140Bは、ILD材料層2126のパターニングされた下側部分2130'の一部の上に配置されている。誘電体プラグ2140Bは、ビアトレンチ2142Bおよび対応するライントレンチ(誘電体プラグ2140Bの右側)に隣接している。一実施形態では、図21Hの構造は、誘電体材料2138の過剰負担領域(トレンチの両側の表面の上および表面上の領域)を除去し、パターニングされたハードマスク2136を除去し、そして犠牲材料2134'およびその中の誘電体材料2138の部分の高さを低減するために使用される平坦化プロセスを施される。その後、犠牲材料2134'は、選択的ウェットまたはドライ処理エッチング技術を使用することによって除去される。
図21Jを参照すると、ライントレンチ2128'およびビアトレンチ2132A、2132Bは、導電性材料で充填されている。一実施形態では、ライントレンチ2128'およびビアトレンチ2132A、2132Bを導電性材料で充填することにより、パターニングされた誘電体層2130'内に金属ライン2142および導電ビア2144を形成する。例示的な一実施形態では、プラグ2140Aを参照すると、第1の金属ライン2142および第1の導電ビア2144が誘電体プラグ2140Aの左側側壁に直接隣接している。第2の金属ライン2142および第2の導電ビア2144は、誘電体プラグ2140Aの右側側壁に直接隣接している。プラグ2140Bを参照すると、第1の金属ライン2142が誘電体プラグ2140Bの右側側壁に直接隣接し、ILD層のパターニングされた下側部分2130'の下地の部分が第1の導電ビア2144に直接隣接している。しかしながら、誘電体プラグ2140Bの左側では、金属ライン2142のみが誘電体プラグ2140Bに関連付けられており、関連する導電ビアは誘電体プラグ2140Bに関連付けられていない。一実施形態では、金属充填プロセスは、図21Iの構造上に1つまたは複数の金属層を堆積してから平坦化することによって実行される。
再び図21Jを参照すると、図を用いていくつかの異なる実施形態を示すことができる。例えば、一実施形態では、図21Jの構造は最終メタライゼーション層構造を表す。別の実施形態では、誘電体プラグ2140A、2140Bを除去してエアギャップ構造を形成する。他の実施形態では、誘電体プラグ2140A、2140Bは他の誘電体材料で置き換えられる。別の実施形態では、誘電体プラグ2140A、2140Bは、最終的に別の下地の層間誘電体材料層に転写される犠牲パターンであってもよい。
例示的な実施形態では、再び図21J(および以前の処理工程)を参照すると、半導体ダイ用の相互接続構造のメタライゼーション層は、層間誘電体(ILD)材料層2126のトレンチ2128'内に配置された金属ライン2142を含む。ILD材料層2126は第1の誘電体材料からなる。導電ビア2144がILD2126材料層内に配置され、金属ライン2142の下にあって、それに電気的に接続されている。誘電体プラグ2140A(または2140B)は、金属ライン2142および導電ビア2144に直接隣接している。第2の金属ライン2142および導電ビア2144もまた、誘電体プラグ(例えば、誘電体プラグ2140A)に直接隣接してもよい。一実施形態では、誘電体プラグ2140A(または2140B)は、第1の誘電体材料とは異なる第2の誘電体材料からなる。
犠牲材料2134の開口部を誘電体材料で充填すると、得られる誘電体プラグのほぼ中央にある誘電体材料内にシームが形成され得ることを理解されたい。例えば、図21Kは、本開示の一実施形態による、内部にシームを有する誘電体ライン端部またはプラグを含む半導体ダイのための相互接続構造のメタライゼーション層の断面図である。
図21Kを参照すると、半導体ダイ用の相互接続構造のメタライゼーション層は、層間誘電体(ILD)材料層(下側部分2130'を示す)のトレンチ内に配置された金属ライン2140を含む。導電ビア2144は、ILD材料層2130'内に配置され、金属ライン2142の下にあって、それに電気的に接続されている。誘電体プラグ2152A、2152Bは、金属ライン2142および導電ビア2144に直接隣接している。誘電体プラグ2152A、2152Bは各々、例えば化学気相成長(CVD)または原子層堆積(ALD)による誘電体プラグの堆積形成に起因する、誘電体プラグのほぼ中心にシーム2150を含む。
ライン端部またはプラグは、誘電体プラグに直接隣接する下地のビアのない金属ラインと関連付けられてもよいことを理解されたい。例えば、図21Lは、本開示の一実施形態による、導電ビアに直接隣接していない誘電体ライン端部またはプラグを含む半導体ダイのための相互接続構造のメタライゼーション層の断面図である。図21Lを参照すると、誘電体プラグ2152は、誘電体プラグ2152に直接隣接して(および関連するパターニングされた誘電体層2154'の上に)下地のビア(ビア2144など)のない金属ライン2142と関連付けられる。
図21J、図21Kまたは図21Lに関連して説明したような結果として生じる構造は、引き続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図21J、図21K、または図21Lの構造は、集積回路内の最終金属相互接続層を表すことができる。一実施形態では、他の点では許容されなければならない従来のリソグラフィ/デュアルダマシンパターニングによるオフセットが、本明細書で説明される結果として生じる構造に対して緩和される。その後の製造工程では、誘電体層を除去して、得られる金属ライン間にエアギャップを設けることができることも理解されたい。
本開示の一実施形態による、予め形成されたビアおよびプラグの自己整合エッチングについて説明する。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアおよびプラグパターニングに関する。本明細書に記載のプロセスの自己整合態様は、以下により詳細に説明するように、指向性自己組織化(DSA)機構に基づいてもよい。しかしながら、選択的成長メカニズムが、DSAベースの手法の代わりに、またはそれと組み合わせて使用することができることを理解されたい。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。
本明細書に記載の実施形態は、予め形成されたビアまたはプラグ、あるいはその両方の自己整合等方性エッチング処理を対象とすることができる。例えば、処理方式は、半導体構造のバックエンドオブラインメタライゼーション層などのメタライゼーション層内のあらゆる可能なビアおよびプラグの事前形成を含むことができる。次にリソグラフィを使用して、特定のビアおよび/またはプラグの位置を選択して開閉する(例えば、維持/除去)。本明細書に記載された実施形態の実施は、メタライゼーションスタック内のすべての対応するビア/金属層に対してフォトバケット配置ですべてのビア/プラグを形成するためのそのようなエッチング方式の使用を含むことができる。理解されるように、ビアはプラグが形成される層とは異なる層に形成されてもよく(例えば後者はビア層間に垂直にある金属ライン層に形成される)、あるいはプラグおよびビアは同じ層に形成されてもよい。
本明細書に記載の1つまたは複数の実施形態は、オーバーレイプロセスウィンドウを最大化し、必要なパターンのサイズおよび形状を最小化し、孔またはプラグをパターニングするためのリソグラフィプロセスの効率を高めることによってパターニングに対するより効率的な手法を提供する。より具体的な実施形態では、予め形成されたビアまたはプラグ位置を開口するのに必要なパターンを比較的小さくすることができ、それによってリソグラフィプロセスのオーバーレイマージンを増加させることが可能になる。パターンフィーチャは、均一サイズで作製することができ、それによって、直接描画電子ビームおよび/または光リソグラフィによる光近接効果補正(OPC)の複雑さに対するスキャン時間を短縮することができる。パターンフィーチャを浅くすることもでき、これによりパターニング解像度を向上させることができる。続いて行われるエッチングプロセスは、等方性化学選択エッチングであってもよい。そのようなエッチングプロセスは、さもなければプロファイルおよび限界寸法に関連することを軽減し、そしてドライエッチング手法に典型的に関連する異方性の問題を軽減する。そのようなエッチングプロセスはまた、他の選択的除去手法と比較して、機器およびスループットの観点から相対的にはるかに安価である。
例示的な一般的な処理方式として、図22A〜図22Gは、本開示の一実施形態による、予め形成されたビアまたはプラグ位置の自己整合等方性エッチングを含む方法における様々な工程を表す集積回路層の一部を示す。説明される各工程における各図において、左側に平面図が示され、右側に対応する断面図が示されている。これらの図は、本明細書では対応する断面図および平面図と呼ばれる。
図22Aは、基板または層2202内の孔/トレンチ2204を予めパターニングした後の開始構造の平面図および対応する断面図(a−a'軸線に沿う)を示す。一実施形態では、基板または層2202は、層間誘電体(ILD)材料層である。
簡潔にするために描かれていないが、孔/トレンチ2204は、下地の金属ラインなどの下地のフィーチャを露出することができることを理解されたい。さらに、一実施形態では、開始構造は、一定のピッチで離間し一定の幅を有する孔/トレンチ2204を有するグレーティング状パターンにパターニングすることができる。そのパターンは、例えば、ピッチを半分にすること、またはピッチを4分の1にすることなどの手法によって製造することができる。ビア層が製造される場合、孔/トレンチ2204のうちのいくつかは、下地のより低いレベルのメタライゼーションラインと関連付けられてもよい。
図22Bは、犠牲または恒久的なプレースホルダ材料2206で孔/トレンチ2204を充填した後の図22Aの構造の平面図および対応する断面図(b−b'軸線に沿う)を示す。恒久的なプレースホルダ材料が使用される場合、ILD材料が孔/トレンチ2204を埋めるために使用されてもよい。犠牲的なプレースホルダ材料が使用される場合には、設計の選択においてより多くの柔軟性が与えられ得る。例えば、一実施形態では、構造的に弱いポリマーまたは柔らかいフォトレジスト材料など、他の方法では最終構造内に保持するのに適していない材料を使用することができる。図22Bの断面図に示すように、孔/トレンチ2204内に犠牲または恒久的なプレースホルダ材料2206のわずかなリセス部2208を形成することが、その後の処理を助けるために含まれてもよい。一実施形態では、犠牲または恒久的プレースホルダ材料2206はスピンオン誘電体材料である。
図22Cは、パターニング層2210の形成後の図22Bの構造の平面図および対応する断面図(c−c'軸線に沿う)を示す。一実施形態では、パターニング層2210は、ポジ型フォトレジスト層などの感光材料である。別の実施形態では、パターニング層2210は反射防止膜材料である。一実施形態では、パターニング層2210は、1つまたは複数の感光材料層および/もしくは1つまたは複数の反射防止コーティング材料層を含む材料層のスタックを含む。
図22Dは、パターニング層2210をパターニングしてパターニング層2210に開口部2212を形成した後の図22Cの構造の平面図および対応する断面図(d−d'軸線に沿う)を示す。図22Dを参照すると、開口部2212は、犠牲または恒久的なプレースホルダ材料2206の下地の部分を露出させる。特に、開口部2212は、ビアまたはプラグが形成されるように選択された孔/トレンチ2204においてのみ、犠牲または恒久的プレースホルダ材料2206の下地の部分を露出させる。一実施形態では、パターニング層2210の開口部2212は、露出した孔/トレンチ2204より実質的に小さい。上で簡単に説明したように、露出した孔/トレンチ2204よりも比較的小さい開口部2212を形成することにより、ミスアライメントの問題に対する許容範囲が著しく増大する。一実施形態では、パターニング層2210は感光性材料であり、開口部2212はポジ型リソグラフィプロセスなどのリソグラフィプロセスによって形成される。
図22Eは、開口部2212によって露出された位置で犠牲または恒久的プレースホルダ材料2206を除去して、再露出した孔/トレンチ2214を形成した後の図22Dの構造の平面図および対応する断面図(e−e'軸線に沿う)を示す。一実施形態では、犠牲または恒久的プレースホルダ材料2206は等方性エッチングプロセスによって除去される。そのような一実施形態では、等方性エッチングプロセスはウェットエッチング剤の塗布を含む。ウェットエッチング剤は、開口部2212を通して犠牲または恒久的プレースホルダ材料2206にアクセスしてエッチングする。エッチングプロセスは、開口部2212によって露出されないが開口部2212を通してアクセス可能である材料をエッチングして、ビアまたはプラグ形成のための所望の位置に選択的に再露出した孔/トレンチ2214を形成することができるという意味で等方性である。一実施形態では、ウェットエッチングプロセスは、エッチングすることなく、またはパターニング層2210を実質的にエッチングすることなく、犠牲または恒久的プレースホルダ材料2206をエッチングする。
一実施形態では、犠牲または恒久的プレースホルダ材料2206はスピンオン炭素ハードマスク材料であり、エッチングプロセスはTMAHベースのエッチングプロセスである。別の実施形態では、犠牲または恒久的プレースホルダ材料2206はスピンオンボトム反射防止膜(BARC)材料であり、エッチングプロセスはTMAHベースのエッチングプロセスである。別の実施形態では、犠牲または恒久的プレースホルダ材料2206はスピンオンボトムガラス材料であり、エッチングプロセスは有機溶媒、酸または塩基に基づくウェットエッチングプロセスである。別の実施形態では、犠牲または恒久的プレースホルダ材料2206はスピンオン金属酸化物材料であり、エッチングプロセスは市販の洗浄用化学物質に基づくウェットエッチングプロセスである。別の実施形態では、犠牲または恒久的プレースホルダ材料2206はCVD炭素材料であり、エッチングプロセスは酸素プラズマアッシングに基づいている。
図22Fは、パターニング層2210の除去後の図22Eの構造の平面図および対応する断面図(f−f'軸線に沿う)を示す。一実施形態では、パターニング層2210はフォトレジスト層であり、フォトレジスト層はウェット剥離またはプラズマアッシングプロセスによって除去される。パターニング層2210を除去すると、再露出した孔/トレンチ2214が完全に露出する。
図22Gは、再露出した孔/トレンチ2214を材料層2216で充填し、続いて平坦化した後の図22Fの構造の平面図および対応する断面図(g−g'軸線に沿う)を示す。一実施形態では、材料層2216はプラグを形成するためのものであり、恒久的ILD材料である。別の実施形態では、材料層116は導電ビアを形成するためのものであり、金属充填層である。そのような一実施形態では、金属充填層は単一材料層であるか、または導電性ライナー層および充填層を含むいくつかの層から形成される。そのような金属充填層を形成するために、電気めっき、化学気相成長または物理気相成長などの任意の適切な堆積プロセスを使用することができる。一実施形態では、金属充填層は、限定はしないが、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au、またはこれらの合金などの導電性材料からなる。材料層116が堆積後に平坦化される場合、化学機械研磨プロセスを使用することができる。
一実施形態では、材料層2216は、導電ビアを形成するのに適した材料である。そのような一実施形態では、犠牲または恒久的プレースホルダ材料2206は、恒久的ILD材料などの恒久的プレースホルダ材料である。別のそのような実施形態では、犠牲または恒久的プレースホルダ材料2206は、後で除去され、恒久的ILD材料などの材料で置き換えられる犠牲プレースホルダ材料である。別の実施形態では、材料層2216は誘電体プラグを形成するのに適した材料である。そのような一実施形態では、犠牲または恒久的プレースホルダ材料2206は、後で除去または部分的に除去されて金属ラインの形成を可能にする犠牲プレースホルダ材料である。
図22Gの結果として得られる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができることを理解されたい。あるいは、図22Gの構造は、集積回路内の最終金属相互接続層を表すことができる。さらに、上記の例は、そうでなければパターニングに必要であり得るエッチング停止層または金属キャッピング層を図中に含まないことを理解されたい。しかしながら、明確にするために、そのような層は全体の概念に影響を及ぼさないので図には含まれていない。
別の態様では、実施形態は、ホールシュリンクプロセスと共に等方性ドライエッチングを実施するプロセスフローに関する。そのような一実施形態では、パターニング方式は、すべてのビア位置を有機ポリマーで充填した後に、マスク層にピンホールパターニングを提供する。例示的な処理方式として、図22H〜図22Jは、本開示の一実施形態による、予め形成されたビア位置の自己整合等方性エッチングを含む方法における様々な工程を表す集積回路層の一部を示す斜視断面図である。
図22Hは、可能性のあるすべてのビア位置をプレースホルダ材料で充填した後の開始構造を示す。図22Hを参照すると、メタライゼーション層2252(メタライゼーション層のILD層など)が基板(図示せず)の上に形成され、その中に複数の金属ライン2254を含む。ILD材料は、2つ以上の異なるILD材料2256、2258であってもよく、ビアが形成される可能性がある位置を囲む。犠牲プレースホルダ材料2260は、すべての可能なビアが金属ライン2252の上に形成され得る位置を占める。薄い低温酸化物マスク層などのマスク層2262が下地の構造上に形成される。犠牲プレースホルダ材料2260は、隣接するフィーチャの上には存在せず、堆積および平坦化またはリセスプロセスによって達成することができることを理解されたい。
図22Iは、マスク層2262をパターニングしてマスク層2262に開口部2264を形成した後の図22Hの構造を示す。図22Iを参照すると、開口部2264は犠牲プレースホルダ材料2260の下地の部分を露出させる。特に、開口部2264は、ビアが形成されるように選択された位置でのみ犠牲プレースホルダ材料2260の下地の部分を露出させる。一実施形態では、マスク層2262の開口部2264は、露出した犠牲プレースホルダ材料2260よりもかなり小さい。上で簡単に説明したように、露出した犠牲プレースホルダ材料2260よりも比較的小さい開口部2264の形成は、ミスアライメントの問題に対する許容度を著しく増大させる。このプロセスは、実際のビア位置の選択およびパターニングに関して、ビア位置を「ピンホール」のサイズに効果的に「縮小」する。一実施形態では、マスク層2262は、ポジ型リソグラフィプロセスなどのリソグラフィプロセスによってマスク層2262上に感光性材料を最初に形成してパターニングし、次にエッチングプロセスによってマスク層2262をパターニングすることによって開口部2262と共にパターニングされる。
図22Jは、開口部2264によって露出された位置において犠牲プレースホルダ材料2260を除去して露出したビア位置2266を形成した後の図22Iの構造を示す。一実施形態では、犠牲プレースホルダ材料2260は、等方性エッチングプロセスによってビア位置2266で除去される。そのような一実施形態では、犠牲プレースホルダ材料2260は有機ポリマーであり、等方性エッチングプロセスは等方性プラズマアッシング(酸素プラズマ)またはウェット洗浄プロセスである。
再び図22Jを参照すると、後続の処理は、マスク層2262の除去および導電ビア材料での孔/トレンチ2266の充填を含むことができることを理解されたい。また、開口部2264によって露出されていない(すなわち、ビア位置として選択されていない)残りの犠牲プレースホルダ材料2260は、恒久的ILD材料と交換することができる。結果として得られる構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、結果として生じる構造は、集積回路内の最終金属相互接続層を表すことができる。
本開示の1つまたは複数の実施形態によれば、上述のように、本明細書で説明される手法は、いわゆる「フォトバケット」を用いて構築することができ、そこではすべての可能なフィーチャ、例えばビアまたはプラグは、基板に予めパターニングされている。次に、フォトレジストがパターニングされたフィーチャに充填され、リソグラフィ工程は単にビア開口部形成のための選択ビアを選択するために使用される。フォトバケット手法は、関心のあるビアまたはプラグを選択する能力を保持しながら、より大きな限界寸法(CD)および/またはオーバーレイにおける誤差を可能にし得る。特定のフォトバケットを選択するためのリソグラフィ手法としては、193nm液浸リソグラフィ(i193)、極紫外線(EUV)、および/または電子ビーム直接描画(EBDW)リソグラフィが挙げられるが、これらに限定されない。
全体として、本開示の1つまたは複数の実施形態によれば、DSA手法またはサブトラクティブ手法は感光性としてレンダリングされる。一態様では、フォトバケットは非光分解性材料によって囲まれているため、リソグラフィの制約が緩和され、ミスアライメント許容誤差が高くなり得るフォトバケットの形態が実現される。さらに、一実施形態では、例えば30mJ/cm2で露光する代わりに、そのようなフォトバケットは、例えば3mJ/cm2で露光してもよい。通常、これは非常に不十分なCD制御および粗さをもたらすであろう。しかし、この場合、CDおよび粗さ制御はフォトバケットの幾何学的形状によって規定され、それは非常によく制御および規定することができる。したがって、そのようなフォトバケット手法を使用して、次世代のリソグラフィプロセスのスループットを制限する撮像/線量のトレードオフを回避することができる。一実施形態では、除去のために選択されていないフォトバケット材料は、最終的に半導体構造内の恒久的ILD部分として保持される。別の実施形態では、除去のために選択されていないフォトバケット材料は、最終的に半導体構造内の恒久的ILD部分と交換される。
一実施形態では、フォトバケットの「ILD」組成は通常、標準のILDとは非常に異なり、一実施形態では、両方向に高度に自己整合している。より一般的には、一実施形態では、本明細書で使用されるフォトバケットという用語は、超高速フォトレジストまたは電子ビームレジスト、あるいはエッチングされた開口部に形成される他の感光性材料の使用を含む。そのような一実施形態では、スピンコート塗布後に、開口部へのポリマーのサーマルリフローが使用される。一実施形態では、高速フォトレジストは、既存のフォトレジスト材料からクエンチャを除去することによって製造される。別の実施形態では、フォトバケットはエッチバックプロセスおよび/またはリソグラフィ/シュリンク/エッチングプロセスによって形成される。材料が感光性スイッチとして機能する限り、フォトバケットに実際のフォトレジストを充填する必要はないことを理解されたい。一実施形態では、除去のために選択された対応するフォトバケットを露光するためにリソグラフィが使用される。しかしながら、フォトバケットは非光分解性材料で囲まれているので、リソグラフィの制約は緩和され、ミスアライメントの許容誤差が大きくなる可能性がある。一実施形態では、フォトバケットは、フォトバケットを露光するために極紫外線(EUV)光で露光され、特定の実施形態では、EUV露光は5〜15ナノメートルの範囲内である。本明細書に記載される多くの実施形態はポリマーに基づくフォトバケット材料を含むが、他の実施形態では、ナノ粒子に基づくフォトバケット材料も同様に実施される。
本開示の一実施形態による、フォトバケット手法について説明する。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアおよびプラグパターニングのためのサブトラクティブ手法、およびそれから生じる構造に関する。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。次世代のビアおよびプラグのパターニングについて予想されるオーバーレイの問題は、本明細書に記載の1つまたは複数の手法によって対処することができる。より具体的には、本明細書に記載の1つまたは複数の実施形態は、既にエッチングされたトレンチを使用してすべてのビアおよびプラグを予め形成するためのサブトラクティブ法の使用を含む。次に追加の工程を使用して、どのビアおよびプラグを保持するかを選択する。そのような工程は、フォトバケットを使用して説明することができるが、選択プロセスは、より従来のレジスト露光およびILD埋め戻し手法を使用して実行することもできる。
第1の態様では、第1にビア、第2にプラグを使用する手法が使用される。一例として、図23A〜図23Lは、本開示の一実施形態による、サブトラクティブ自己整合ビアおよびプラグパターニングの方法における様々な工程を表す集積回路層の一部を示す。説明する各工程の各図では、断面図および/または斜視図が示されている。これらの図は、本明細書では対応する断面図および斜視図と呼ばれる。
図23Aは、本開示の一実施形態による、層間誘電体(ILD)層2302上に形成された第1のハードマスク材料層2304の堆積後であるがパターニング前の開始構造2300の断面図である。図23Aを参照すると、パターニングされたマスク2306は、第1のハードマスク材料層2304上またはその上に、その側壁に沿って形成されたスペーサ2308を有する。
図23Bは、本開示の一実施形態による、ピッチ2倍化による第1のハードマスク層のパターニング後の図23Aの構造を示す。図23Bを参照すると、パターニングされたマスク2306が除去され、スペーサ2308の得られたパターンが、例えばエッチングプロセスによって、第1のハードマスク材料層2304に転写されて、第1のパターニングされたハードマスク2310が形成される。そのような一実施形態では、図23Bに示すように、第1のパターニングされたハードマスク2310はグレーティングパターンで形成される。一実施形態では、第1のパターニングされたハードマスク2310のグレーティング構造は、狭ピッチグレーティング構造である。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができる(マスク2306)が、図23Aおよび図23Bに示すように、スペーサマスクパターニングを使用することによってピッチを半分にすることができる。さらに、図示していないが、2回目のスペーサマスクパターニングによって元のピッチを4分の1にすることができる。したがって、図23Bの第1のパターニングされたハードマスク2310のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図23Cは、本開示の一実施形態による、第2のパターニングされたハードマスクの形成後の図23Bの構造を示す。図23Cを参照すると、第2のパターニングされたハードマスク2312が第1のパターニングされたハードマスク2310と交互に形成されている。このような一実施形態では、第2のパターニングされたハードマスク2312は、第2のハードマスク材料層(第1のハードマスク材料層2304とは異なる組成を有する)の堆積によって形成される。次に、第2のハードマスク材料層を、例えば化学機械研磨(CMP)によって平坦化して、第2のパターニングされたハードマスク2312を提供する。
図23Dは、本開示の一実施形態による、ハードマスクキャップ層の堆積後の図23Cの構造を示す。図23Dを参照すると、第1のパターニングされたハードマスク2310および第1のパターニングされたハードマスク2312の上にハードマスクキャップ層2314が形成されている。そのような一実施形態では、ハードマスクキャップ層2314の材料組成およびエッチング選択性は、第1のパターニングされたハードマスク2310および第1のパターニングされたハードマスク2312と比較して異なる。
図23Eは、本開示の一実施形態による、ハードマスクキャップ層のパターニング後の図23Dの構造を示す。図23Eを参照すると、パターニングされたハードマスクキャップ層2314が第1のパターニングされたハードマスク2310および第1のパターニングされたハードマスク2312上に形成されている。そのような一実施形態では、パターニングされたハードマスクキャップ層2314は、図23Eに示すように、第1のパターニングされたハードマスク2310および第1のパターニングされたハードマスク2312のグレーティングパターンと直交するグレーティングパターンで形成される。一実施形態では、パターニングされたハードマスクキャップ層2314によって形成されたグレーティング構造は、狭ピッチグレーティング構造である。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図23Eのパターニングされたハードマスクキャップ層2314のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図23Fは、本開示の一実施形態による、第1のパターニングされたハードマスクのさらなるパターニングおよびそれに続く複数のフォトバケットの形成後の図23Eの構造を示す。図23Fを参照すると、パターニングされたハードマスクキャップ層2314をマスクとして使用し、第1のパターニングされたハードマスク2310をさらにパターニングして第1のパターニングされたハードマスク2316を形成する。このプロセスでは、第2のパターニングされたハードマスク2312はさらにパターニングされない。その後、パターニングされたハードマスクキャップ層2314が除去され、フォトバケット2318がILD層2302の上の結果として生じる開口部に形成される。この段階におけるフォトバケット2318は、結果として得られるメタライゼーション層内の可能なビア位置すべてを表す。
図23Gは、本開示の一実施形態による、フォトバケット露光および現像して選択されたビア位置を残し、続いてビア開口部エッチングを下地のILDに行った後の図23Fの構造を示す。図23Gを参照すると、選択フォトバケット2318が露光および除去されて、選択されたビア位置2320を提供する。ビア位置2320は、ビア開口部を下地のILD層2302内に延長するために選択的プラズマエッチングプロセスなどの選択的エッチングプロセスを施されて、パターニングされたILD層2302'を形成する。エッチングは、残りのフォトバケット2318、第1のパターニングされたハードマスク2316、および第2のパターニングされたハードマスク2312に対して選択的である。
図23Hは、本開示の一実施形態による、残りのフォトバケットを除去し、続いてハードマスク材料を形成し、続いて第2の複数のフォトバケットを形成した後の図23Gの構造を示す。図23Hを参照すると、残りのフォトバケットが、例えば選択的エッチングプロセスによって除去される。形成されたすべての開口部(例えば、フォトバケット2318およびビア位置2320の除去時に形成された開口部)は、次いで、炭素系ハードマスク材料などのハードマスク材料2322で充填される。続いて、第1のパターニングされたハードマスク2316を、例えば選択的エッチングプロセスで除去し、得られた開口部を第2の複数のフォトバケット2324で充填する。この段階で、フォトバケット2324は、結果として得られるメタライゼーション層内のすべての可能なプラグ位置を表す。プロセスのこの段階では、第2のパターニングされたハードマスク2312はさらにパターニングされないことを理解されたい。
図23Iは、本開示の一実施形態による、プラグ位置選択後の図23Hの構造を示す。図23Iを参照すると、図23Hのフォトバケット2324は、プラグが形成されない位置2326から除去されている。プラグが形成されるように選択された位置では、フォトバケット2324は保持される。一実施形態では、プラグが形成されない位置2326を形成するために、対応するフォトバケット2324を露光するためにリソグラフィが使用される。露光されたフォトバケットはその後現像剤によって除去することができる。
図23Jは、本開示の一実施形態による、ビアおよびラインの位置から最後に形成されたハードマスクを除去した後の図23Iの構造を示す。図23Jを参照すると、図23Iに描かれたハードマスク材料2322が除去されている。そのような一実施形態では、ハードマスク材料2322は炭素系ハードマスク材料であり、プラズマアッシングプロセスで除去される。図示するように、残っているフィーチャは、パターニングされたILD層2302'、プラグ形成のために保持されたフォトバケット2324、およびビア開口部2328を含む。図示していないが、一実施形態では、第2のハードマスク層2312もこの段階で保持されることを理解されたい。
図23Kは、本開示の一実施形態による、プラグ形成フォトバケットによって保護されていない位置にパターニングされたILD層をリセスした後の図23Jの構造を示す。図23Kを参照すると、フォトバケット2324によって保護されていないパターニングされたILD層2302'の一部がリセスされて、ビア開口部2328に加えて、金属ライン開口部2330を提供する。
図23Lは、本開示の一実施形態による、金属充填後の図23Kの構造を示す。図23Lを参照すると、メタライゼーション2332が開口部2328、2332内に形成されている。そのような一実施形態では、メタライゼーション2332は、金属充填および研磨戻しプロセスによって形成される。図23Lの左側部分を参照すると、その構造は、内部に金属ラインおよびビア(まとめて符号2332で示す)が形成されたパターニングされたILD層2302'を含む下側部分を含むものとして示されている。構造2334の上部領域は、残りの(プラグ位置)フォトバケット2324と同様に、第2のパターニングされたハードマスク2312を含む。一実施形態では、上部領域2334は、後続の製造の前に、例えばCMPまたはエッチバックによって除去される。しかしながら、代替的な実施形態では、上部領域2334は最終構造内に保持される。
図23Lの構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図23Lの構造は、集積回路内の最終金属相互接続層を表すことができる。上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。再び図23Lを参照すると、サブトラクティブ法による自己整合製造がこの段階で完了することができる。同様にして製造された次の層は、全工程の開始をもう一度必要とする可能性が高い。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
第2の態様では、第1にプラグ、第2にビアを使用する方法が使用される。一例として、図23M〜図23Sは、本開示の別の実施形態による、サブトラクティブ自己整合プラグおよびビアパターニング方法における様々な工程を表す集積回路層の一部を示す。説明される各工程の各図では、平面図は上部に示し、対応する断面図は下部に示す。これらの図は、本明細書では対応する断面図および平面図と呼ばれる。
図23Mは、本開示の一実施形態による、基板2351の上に形成された開始直交グリッドの平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、開始グリッド構造2350は、上に第1のハードマスク層2354が配置されたグレーティングILD層2352を含む。第2のハードマスク層2356が第1のハードマスク層2354上に配置され、その下地のグレーティング構造と直交するグレーティング構造を有するようにパターニングされる。さらに、開口部2358は、第2のハードマスク層2356のグレーティング構造と、ILD層2352および第1のハードマスク層2354によって形成された下地のグレーティングと、の間に残る。
図23Nは、本開示の一実施形態による、開口部の充填およびエッチバック後の図23Mの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図23Mの開口部2358は、シリコン酸化物層などの誘電体層2360で充填されている。このような誘電体層2360は、化学気相成長(CVD)、高密度プラズマ堆積(HDP)、またはスピンオン誘電体などの堆積された酸化物薄膜で形成することができる。堆積されたままの材料は、図23Nに示す相対的高さを達成するためにエッチバックが必要とされてもよく、上側開口部2358'が残る。
図23Oは、本開示の一実施形態による、選択されたプラグ位置を残すためのフォトバケット充填、露光、および現像後の図23Nの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図23Nの上部開口部2358'にフォトバケットが形成されている。その後、ほとんどのフォトバケットは露光されて除去される。しかし、選択されたフォトバケット2362は露光されず、したがって図23Oに示すように選択されたプラグ位置を提供するために保持される。
図23Pは、本開示の一実施形態による、誘電体層2360の一部を除去した後の図23Oの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、フォトバケット2362によって覆われていない誘電体層2360の部分が除去されている。しかしながら、フォトバケット2362によって覆われている誘電体層2360の部分は、図23Pの構造内に残る。一実施形態では、フォトバケット2362によって覆われていない誘電体層2360の部分は、ウェットエッチングプロセスによって除去される。
図23Qは、本開示の一実施形態による、選択されたビア位置を残すためのフォトバケット充填、露光、および現像後の図23Pの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、誘電体層2360の一部の除去時に形成された開口部にフォトバケットが形成されている。その後、図23Qに示すように、選択されたフォトバケットが露光され除去されて選択されたビア位置2364を提供する。
図23Rは、本開示の一実施形態による、下地のILDへのビア開口部エッチング後の図23Qの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、図23Qのビア位置2364は、ビア開口部2364を下地のILD層2352内に形成される開口部2364'に延長するために、選択的プラズマエッチングプロセスなどの選択的エッチングプロセスが施される。
図23Sは、本開示の一実施形態による、第2のハードマスク層および残りのフォトバケット材料を除去した後の図23Rの構造の平面図および対応する断面図である。平面図ならびにそれぞれ軸線a−a'およびb−b'に沿った対応する断面図(a)および(b)を参照すると、第2のハードマスク層2356、ならびに残りのフォトバケット材料(すなわち、まだ露光および現像されていなかったフォトバケット材料)が除去される。除去は、他のすべての残りのフィーチャに対して選択的に実行されてもよい。そのような一実施形態では、第2のハードマスク層2356は炭素系ハードマスク材料であり、除去はOプラズマアッシングプロセスによって行われる。再び図23Sを参照すると、この段階で残っているのは、内部にビア開口部2364'が形成されたILD層2352、およびプラグ位置のために保存された(例えば、上にあるフォトバケット材料によって保存された)誘電層2360の部分である。したがって、一実施形態では、図23Sの構造は、プラグを形成するために誘電体層2360の位置を有する(その後の金属充填のための)ビア開口部と共にパターニングされたILD層2352を含む。残りの開口部2366を金属で充填して金属ラインを形成することができる。ハードマスク2354を除去してもよいことを理解されたい。
したがって、金属相互接続材料で充填されると、図23Sの構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、金属相互接続材料で充填されると、図23Sの構造は、集積回路内の最終金属相互接続層を表すことができる。再び図23Sを参照すると、サブトラクティブ法による自己整合製造がこの段階で完了することができる。同様にして製造された次の層は、全工程の開始をもう一度必要とする可能性が高い。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
図23A〜図23Lおよび図23M〜図23Sに関連して説明された手法は、下地のメタライゼーション層に整合されたビアを形成するように必ずしも実行されるわけではないことを理解されたい。したがって、いくつかの場面では、これらのプロセス方式は、任意の下地のメタライゼーション層に関して上から下への方向のブラインドシューティングを含むと見なすことができる。第3の態様では、サブトラクティブ手法は、下地のメタライゼーション層とのアライメントを提供する。一例として、図24A〜図24Iは、本開示の別の実施形態による、サブトラクティブ自己整合ビアパターニング方法における様々な工程を表す集積回路層の一部を示す。説明する各工程の各図では、3次元斜視断面図が提供される。
図24Aは、本開示の一実施形態による、深い金属ラインの製造後のサブトラクティブなビアおよびプラグプロセスの開始点構造2400を示す。図24Aを参照すると、構造2400は、介在する層間誘電体(ILD)ライン2404を有する金属ライン2402を含む。相互接続ライン2402のうちのいくつかは、前の相互接続層に結合するために下地のビアと関連付けられてもよいことを理解されたい。一実施形態では、金属ライン2402は、トレンチをILD材料(例えば、ライン2404のILD材料)にパターニングすることによって形成される。次にトレンチを金属で充填し、必要に応じてILDライン2404の最上部まで平坦化する。一実施形態では、金属トレンチおよび充填プロセスは高アスペクト比のフィーチャを含む。例えば、一実施形態では、金属ラインの幅(w)に対する金属ラインの高さ(h)のアスペクト比は、約5〜10の範囲内である。
図24Bは、本開示の一実施形態による、金属ラインをリセス処理した後の図24Aの構造を示す。図24Bを参照すると、金属ライン2402が選択的にリセスされて第1のレベルの金属ライン2406を提供する。リセス処理は、ILDライン2404に対して選択的に行われる。リセス処理は、ドライエッチング、ウェットエッチング、またはそれらの組み合わせによるエッチングによって行うことができる。リセス処理の程度は、バックエンドオブライン(BEOL)相互接続構造内の適切な導電性相互接続ラインとして使用するための第1のレベルの金属ライン2406の目標とする厚さによって決定することができる。
図24Cは、本開示の一実施形態による、層間誘電体(ILD)層を形成した後の図24Bの構造を示す。図24Cを参照すると、ILD材料層2408が堆積され、そして必要ならば、リセスされた金属ライン2406およびILDライン2404の上のレベルまで平坦化される。
図24Dは、本開示の一実施形態による、ハードマスク層の堆積およびパターニング後の図24Cの構造を示す。図24Dを参照すると、ハードマスク層2410がILD層2408上に形成されている。このような一実施形態では、ハードマスク層2410は、図24Dに示すように、第1のレベルの金属ライン2406/ILDライン2404のグレーティングパターンと直交するグレーティングパターンで形成される。一実施形態では、ハードマスク層2410によって形成されたグレーティング構造は、狭ピッチグレーティング構造である。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図24Dの第2のハードマスク層2410のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図24Eは、本開示の一実施形態による、図24Dのハードマスクのパターンを使用して画定されたトレンチ形成後の図24Dの構造を示す。図24Eを参照すると、ILD層2408の露出領域(すなわち、2410で保護されていない)がエッチングされて、トレンチ2412およびパターニングされたILD層2414が形成される。エッチングは、第1のレベルの金属ライン2406およびILDライン2404の上部表面で止まり、その上部表面を露出させる。
図24Fは、本開示の一実施形態による、すべての可能なビア位置におけるフォトバケット形成後の図24Eの構造を示す。図24Fを参照すると、フォトバケット2416が、リセスされた金属ライン2406の露出部分の上のすべての可能なビア位置に形成されている。一実施形態では、フォトバケット2416は、図24Fに示すように、ILDライン2404の上部表面と実質的に同一平面上に形成される。さらに、再び図24Fを参照すると、ハードマスク層2410をパターニングされたILD層2414から除去することができる。
図24Gは、本開示の一実施形態によるビア位置選択後の図24Fの構造を示す。図24Gを参照すると、選択されたビア位置2418にある図24Fからのフォトバケット2416が除去されている。ビアが形成されるように選択されていない位置では、フォトバケット2416が保持される。一実施形態では、ビア位置2418を形成するために、リソグラフィを使用して対応するフォトバケット2416を露光する。露光されたフォトバケットはその後現像剤によって除去することができる。
図24Hは、本開示の一実施形態による、残りのフォトバケットを恒久的ILD材料に変換した後の図24Gの構造を示す。図24Hを参照すると、フォトバケット2416の材料は、例えばベーキング工程の際に架橋することによって、最終ILD材料2420を形成するようにその位置で改質される。そのような一実施形態では、架橋はベーキング時に溶解度の切り替えをもたらす。最終的な架橋材料は誘電体間特性を有し、したがって最終的なメタライゼーション構造内に保持することができる。
再び図24Hを参照すると、一実施形態では、結果として得られる構造は、メタライゼーション構造の単一平面2450内に最大3つの異なる誘電体材料領域(ILDライン2404+ILDライン2414+架橋フォトバケット2420)を含む。そのような一実施形態では、ILDライン2404、ILDライン2414、および架橋フォトバケット2420のうちの2つまたはすべてが同じ材料からなる。別のそのような実施形態では、ILDライン2404、ILDライン2414、および架橋フォトバケット2420はすべて異なるILD材料からなる。いずれの場合も、特定の実施形態では、ILDライン2404とILDライン2414との材料間(例えば、シーム2497)および/またはILDライン2404と架橋フォトバケット2420との間(例えばシーム2498)および/またはILDライン2414と架橋フォトバケット2420との間(例えばシーム2499)の垂直方向のシームなどの違いが最終構造において観察され得る。
図24Iは、本開示の一実施形態による、金属ラインおよびビアを形成した後の図24Hの構造を示す。図24Iを参照すると、金属ライン2422およびビア2424が図24Hの開口部の金属充填時に形成される。金属ライン2422は、ビア2424によって下地の金属ライン2406に結合されている。一実施形態では、開口部は、図24Iに示す構造を提供するためにダマシン手法またはボトムアップフィル手法で充填される。したがって、上記の手法で金属ラインおよびビアを形成するための金属(例えば、銅および関連するバリア層およびシード層)の堆積は、標準的なバックエンドオブライン(BEOL)処理に通常使用されるものであってもよい。一実施形態では、その後の製造工程で、ILDライン2414を除去して、結果として生じる金属ライン2424の間にエアギャップを設けることができる。
図24Iの構造は、その後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図24Iの構造は、集積回路内の最終金属相互接続層を表すことができる。再び図24Iを参照すると、サブトラクティブ法による自己整合製造がこの段階で完了することができる。同様にして製造された次の層は、全工程の開始をもう一度必要とする可能性が高い。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
本開示の一実施形態による、多色フォトバケットについて説明する。本明細書に記載されている1つまたは複数の実施形態は、リソグラフィピッチ限界未満でプラグおよびビアに対処するための手法として多色フォトバケットの使用に関する。本明細書に記載の1つまたは複数の実施形態は、自己整合ビアおよびプラグパターニングのためのサブトラクティブ手法、およびそれから生じる構造に関する。一実施形態では、本明細書に記載のプロセスは、バックエンドオブラインフィーチャ製造のための自己整合メタライゼーションの実現を可能にする。次世代のビアおよびプラグのパターニングについて予想されるオーバーレイの問題は、本明細書に記載の1つまたは複数の手法によって対処することができる。
例示的な実施形態では、以下に説明される手法は、いわゆるフォトバケットを使用する手法の上に成り立っており、そこでは、すべての可能なフィーチャ、例えばビアは、基板に再パターニングされる。次に、フォトレジストがパターニングされたフィーチャに充填され、リソグラフィ工程は単にビア開口部形成のための選択ビアを選択するために使用される。以下に説明する特定の実施形態では、リソグラフィ工程を使用して、複数の「多色フォトバケット」の上に比較的大きな孔を画定し、次にこれを特定の波長のフラッド露光によって開口することができる。多色フォトバケット手法は、関心のあるビアを選択する能力を保持しながら、より大きな限界寸法(CD)および/またはオーバーレイにおける誤差を可能にする。そのような一実施形態では、レジスト自体を含むためにトレンチが使用され、関心のあるビアを選択的に開口するために複数の波長のフラッド露光が使用される。
より具体的には、本明細書に記載の1つまたは複数の実施形態は、既にエッチングされたトレンチを使用してすべてのビアまたはビア開口部を予め形成するためのサブトラクティブ法の使用を含む。次に追加の工程を使用して、どのビアおよびプラグを保持するかを選択する。そのような工程は、フォトバケットを使用して説明することができるが、選択プロセスは、より従来のレジスト露光およびILD埋め戻し手法を使用して実行することもできる。
一例では、自己整合ビア開口手法が使用されてもよい。例示的な処理方式として、図25A〜図25Hは、本開示の一実施形態による、多色フォトバケットを使用したサブトラクティブ自己整合ビアパターニングの方法における様々な工程を表す集積回路層の一部を示す。説明する各工程の各図では、断面図が示されている。
図25Aは、本開示の一実施形態による、層間誘電体(ILD)層2502上に形成された第1のハードマスク材料層2504の堆積後であるがパターニング前の開始構造2500の断面図である。図25Aを参照すると、パターニングされたマスク2506は、第1のハードマスク材料層2504上またはその上に、その側壁に沿って形成されたスペーサ2508を有する。
図25Bは、本開示の一実施形態による、第1のハードマスク層の初回パターニングおよびそれに続く第1のカラーフォトバケット充填後の図25Aの構造を示す。図25Bを参照すると、エッチング中にパターニングされたマスク2506および対応するスペーサ2508を共に使用して、第1のハードマスク材料層2504を貫通して部分的にILD層2502内にトレンチ2510を形成する。次に、トレンチ2510を第1のカラーフォトバケット2512で充填する。
図25Cは、本開示の一実施形態による、第1のハードマスク層の2回目のパターニングおよびそれに続く第2のカラーフォトバケット充填後の図25Bの構造を示す。図25Cを参照すると、パターニングされたマスク2506が除去され、第2の複数のトレンチ2514が第1のハードマスク材料層2504を貫通して部分的にスペーサ2508間のILD層2502内にエッチングされる。続いて、トレンチ2514は第2のカラーフォトバケット材料層2516で充填される。
再び図25Cを参照すると、スペーサ2508のネガパターンは、例えば、トレンチ2510、2514を形成する2つのエッチングプロセスによって、第1のハードマスク材料層2504に転写される。そのような一実施形態では、図25Cに示すように、スペーサ2508、したがってトレンチ2510、2514はグレーティングパターンで形成される。一実施形態では、グレーティングパターンは狭いピッチのグレーティングパターンである。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンは、最初にマスク2506に限定されてもよいが、図25A〜25Cに示すように、ネガスペーサマスクパターニングの使用によってピッチを半分にすることができる。さらに、図示していないが、2回目のスペーサマスクパターニングによって元のピッチを4分の1にすることができる。したがって、フォトバケット2512、2516のグレーティング状パターンは、まとめて、一定のピッチで離間し、一定の幅を有する。
図25Dは、本開示の一実施形態による、第1および第2のカラーフォトバケットを互いに分離するための平坦化後の図25Cの構造を示す。図25Dを参照すると、第2のカラーフォトバケット材料層2516およびスペーサ2508の最上部分は、例えば化学機械研磨(CMP)によって、第1のカラーフォトバケット2512の上部表面が露出するまで平坦化され、フォトバケット材料層2516から別々の第2のカラーフォトバケット2518を形成する。一実施形態では、第1のカラーフォトバケット2512と第2のカラーフォトバケット2518との組み合わせは、後に形成されるメタライゼーション構造内のすべての可能なビア位置を表す。
図25Eは、本開示の一実施形態による、選択されたビア位置を残すための第1のカラーフォトバケットの露光および現像後の図25Dの構造を示す。図25Eを参照すると、第2のハードマスク2520が図25Dの構造上に形成され、パターニングされている。パターニングされた第2のハードマスク2520は、選択された第1のカラーフォトバケット2512Aを露出させる。選択されたフォトバケット2512Aは、光照射され、除去(すなわち現像)されて、選択されたビア開口部2513Aを提供する。ハードマスク層の形成およびパターニングに関する本明細書における説明は、一実施形態では、後でブランケットハードマスクの上にマスクを形成することを含むことを理解されたい。マスク形成は、リソグラフィ処理に適した1つまたは複数の層の使用を含むことができる。1つまたは複数のリソグラフィ層をパターニングすると、パターンは、エッチングプロセスによってハードマスク層に転写されて、パターニングされたハードマスク層が提供される。
図25Eを再度参照すると、第2のハードマスク層2520のパターニング時に選択されたフォトバケット2512Aのみを露出させることは不可能であり得る。例えば、1つまたは複数の隣接する(または近くにある)第2のカラーフォトバケット2518も露出され得る。これらの追加的に露出されたフォトバケットは、最終的なビア形成にとって望ましい位置ではない可能性がある。しかし、一実施形態では、露出された第2のカラーフォトバケット2518は、第1のカラーフォトバケット2512のグループをパターニングするために使用される照射の露光時には改質されない。例えば、一実施形態では、図25Eに示すように、第1のカラーフォトバケット2512は赤色のフラッド露光2521の影響を受けやすく、第1のカラーフォトバケット2512の選択を除去するように現像することができる。その実施形態では、図25Eに示すように、第2のカラーフォトバケット2518は、赤色のフラッド露光の影響を受けず、したがって、赤色のフラッド露光中に露出されても現像および除去することができない。一実施形態では、異なる照射感受性の隣接するフォトバケットを有することによって、より大きいパターンおよび/またはオフセット許容誤差を許容して、そうでなければ第2のハードマスク層2520のパターニングに関連する制約を緩和することができる。
図25Fは、本開示の一実施形態による、追加の選択されたビア位置を残すための第2のカラーフォトバケットの露光および現像後の図25Eの構造を示す。図25Fを参照すると、第3のハードマスク2522が図25Eの構造上に形成され、パターニングされている。図25Fに示すように、第3のハードマスク2522はまた、選択されたビア開口部2513Aを充填することができる。パターニングされた第3のハードマスク2522は、選択された第2のカラーフォトバケット2518A、2518Bを露出させる。選択されたフォトバケット2518A、2518Bは、光照射され、除去(すなわち現像)されて、それぞれ選択されたビア開口部2519A、2519Bを提供する。
図25Fを再度参照すると、第3のハードマスク層2522のパターニング時に選択されたフォトバケット2518A、2518Bのみを露出させることは不可能であり得る。例えば、1つまたは複数の隣接する(または近くにある)第1のカラーフォトバケット2512も露出され得る。これらの追加的に露出されたフォトバケットは、最終的なビア形成にとって望ましい位置ではない可能性がある。しかし、一実施形態では、露出された第1のカラーフォトバケット2512は、第2のカラーフォトバケット2518のグループをパターニングするために使用される照射の露光時には改質されない。例えば、一実施形態では、図25Fに示すように、第2のカラーフォトバケット2518は緑色のフラッド露光2523の影響を受けやすく、第2のカラーフォトバケット2518の選択を除去するように現像することができる。その実施形態では、図25Fに示すように、第1のカラーフォトバケット2512は、緑色のフラッド露光の影響を受けず、したがって、緑色のフラッド露光中に露出されても現像および除去することができない。一実施形態では、異なる照射感受性の隣接するフォトバケットを有することによって、より大きいパターンおよび/またはオフセット許容誤差を許容して、そうでなければ第3のハードマスク層2522をパターニングすることに関する制限を緩和することができる。
図25Gは、本開示の一実施形態による、第3のハードマスク層を除去し、ビア位置を形成するためにエッチングした後の図25Fの構造を示す。図25Gを参照すると、第3のハードマスク層2522が除去されている。そのような一実施形態では、第3のハードマスク層2522は炭素系ハードマスク層であり、アッシングプロセスによって除去される。次に、ビア開口部2519A、2513A、2519Bのパターンは、選択的プラズマエッチングプロセスなどの選択的エッチングプロセスを施されて、ビア開口部を下地のILD層2502内にさらに深く延長し、ビア位置2524と共にビアパターニングされたILD層2502'を形成する。エッチングは、残りのフォトバケット2512、2518およびスペーサ2508に対して選択的である。
図25Hは、本開示の一実施形態による、金属充填前の図25Gの構造を示す。図25Hを参照すると、残っているすべての第1のカラーおよび第2のカラーのフォトバケット2512、2518が除去されている。残りの第1のカラーおよび第2のカラーのフォトバケット2512、2518は直接除去することができ、あるいは除去を可能にするために最初に露光および現像することができる。残りの第1のカラーおよび第2のカラーのフォトバケット2512、2518を除去すると、金属ライントレンチ2526が形成され、そのうちのいくつかは、パターニングされたILD層2502'内のビア位置2524に結合される。後続のプロセスは、スペーサ2508およびハードマスク層2504の除去、ならびに金属ライントレンチ2526およびビア位置2524の金属充填を含むことができる。そのような一実施形態では、メタライゼーションは、金属充填および研磨戻しプロセスによって形成される。
図25Hの構造は、金属が充填されると、続いて次の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図25Hの構造は、金属が充填されると、集積回路内の最終金属相互接続層を表すことができる。再び図25Hを参照すると、サブトラクティブ法による自己整合製造がこの段階で完了することができる。同様にして製造された次の層は、全工程の開始をもう一度必要とする可能性が高い。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
図25A〜図25Hを再度参照すると、第1のカラーフォトバケット2512および第2のカラーフォトバケット2518を提供するためにいくつかの選択肢が実行可能であると考えることができる。例えば、一実施形態では、2つの異なるポジ型有機フォトレジストが使用される。そのような一実施形態では、異なる化学構造を有する材料を第1のカラーフォトバケット2512および第2のカラーフォトバケット2518に選択して、異なるコーティング、光活性化および現像プロセスを使用できるようにすることができることを理解されたい。例示的な実施形態として、従来の193nmリソポリメタクリレートレジストシステムが第1のカラーフォトバケット2512に選択され、一方、従来の248nmのポリヒドロキシスチレンフォトレジストシステムは第2のカラーフォトバケット2518に選択される。これら2種類の樹脂の顕著な化学的差異により、2種類の有機キャスティング溶媒を使用することができる。これは、第2のカラーフォトバケット2518の材料が既に存在している第1のカラーフォトバケット2512の材料で被覆されているために必要である。第1のカラーフォトバケット2512のキャスティング溶媒は限定されないが、第2のカラーフォトバケット2518の場合、アルコール性溶媒は依然としてPHS材料を可溶化することができるが極性の低いポリメタクリレートを可溶化することができない。
一実施形態では、第1のカラーフォトバケット2512の材料としてのポリメタクリレート樹脂と第2のカラーフォトバケット2518の材料としてのポリヒドロキシスチレン樹脂との組み合わせによって、2つの異なる露光波長を使用することができる。典型的な193nmリソポリマーは、193nm吸収光感応性酸発生剤(PAG)を有するポリメタクリレートをベースとしているが、それは、ポリマーがこの波長では強く吸収しないからである。他方、ポリヒドロキシスチレンは、193nmを強く吸収し、そして薄膜全体にわたってPAGの活性化を妨げるので、適切でない可能性がある。一実施形態では、次いで、第1のカラーフォトバケット2512の材料を、193nmの光子の存在下で選択的に活性化および現像することができる。第1のカラーフォトバケット2512と第2のカラーフォトバケット2518との間のフォトスピードの違いを強調するために、193nmでのPAG吸光度、PAG装填量および光感応性酸強度などの要因を各々調整することができる。さらに、強力な193nm吸収剤を第2のカラーフォトバケット2518に追加する(または第2のカラーフォトバケット2518の上に選択的に堆積させる)ことで、薄膜のバルク内のPAG活性化を低減させることができる。露光後、特定の実施形態では、第1のカラーフォトバケット2512の現像は、第2のカラーフォトバケット2518の現像が最小となる標準のTMAH現像剤を用いて選択的に行われる。
一実施形態では、第1のカラーフォトバケット2512の存在下で第2のカラーフォトバケット2518を選択的に除去するために、第1のカラーフォトバケット2512ではなく第2のカラーフォトバケット2518のPAGのみを活性化する第2の低エネルギー波長が使用される。これは2つの方法で達成することができる。第1に、一実施形態では、異なる吸光度特性を有するPAGが使用される。例えば、トリアルキルスルホニウム塩は248nmなどの波長で非常に低い吸光度を有するのに対して、トリアリールスルホニウムは非常に高い吸光度を有する。したがって、選択性は、第2のカラーフォトバケット2518にトリアリールスルホニウムまたは他の248nm吸収PAGを使用し、第1のカラーフォトバケット2512にトリアルキルスルホニウムまたは他の非248nm吸収PAGを使用することによって達成される。あるいは、増感剤が存在しないため、第1のカラーフォトバケット2512において活性化が生じることなく、第2のカラーフォトバケット2518において選択的にエネルギーをPAGに伝達する低エネルギー光子を吸収する増感剤を第2のカラーフォトバケット2518に組み込むことができる。
別の実施形態では、図25Iは、本開示の一実施形態による、1つのフォトバケットタイプのための例示的なデュアルトーンレジストおよび別のフォトバケットタイプのための例示的なシングルトーンレジストを示す。図25Iを参照すると、一実施形態では、第1のカラーフォトバケット2512の材料にデュアルトーンフォトレジストシステム(PB−1)が使用されている。第2のカラーフォトバケット2518の材料には、シングルトーン(低速)フォトレジストシステム(PB−2)が使用されている。デュアルトーンフォトレジストは、システムに含まれる光感応性塩基発生剤の活性化により、より高い線量で効果的に遮断される光応答を有することを特徴とすることができる。光生成塩基は光感応性酸を中和し、ポリマーの脱保護を防ぐ。一実施形態では、第1のカラーフォトバケット2512の露光中に、デュアルトーンレジスト(PB−1)は高速ポジ型システムとして動作し、シングルトーンレジスト(PB−2)は、溶解度切り替えを有効にするために十分な光子を受け取らないように線量が選択される。これにより、PB−2を除去せずにPB−1をTMAH現像剤で除去することができる。PB−1を除去せずにPB−2を選択的に除去するために、より高い線量が第2の露光(すなわち、第2のカラーフォトバケット2518の露光)に使用される。選択された線量は、TMAHへの溶解を可能にするためにPB−2中の十分なPAGを活性化することと、PBGの活性化を介してPB−2をネガティブトーン反応レジームに移行させることの両方を必要とする。この方式では、同じPAGをPB−1およびPB−2に使用することができ、同じ露光波長を露光1および2に使用することができる。PB−1は光感応性塩基発生剤(PBG)の組み込みを必要とし得ることを理解されたい。しかしながら、いったんPB−1が既に被覆されていると、PB−2の被覆を可能にするためには異なる種類のポリマーが必要となるであろう。以上のように、PB−1にポリメチルメタクリレート系レジスト、PB−2にPHS系レジストを用いることでこの要求を満たすことができた。
本開示の実施形態による、第1および第2のカラーフォトバケット2512、2518のそれぞれについて上記で指定された材料を交換することができることを理解されたい。また、上記の多色フォトバケット手法は1−Dと呼ぶことができる。フォトバケット材料は、エッチングに耐えなければならず、上の交差グレーティングからの洗浄を行わなければならないが、同様の手法を、交差グレーティングを使用する2−Dシステムに適用することができる。その結果、上述した方法におけるものに対して垂直方向に小さいビア/プラグを有する市松模様型のパターンが得られる。さらに、図25A〜図25Hに関連して説明した手法は、確かにそのように実施できるが、下地のメタライゼーション層に整合されたビアを形成するものとして必ずしも実行されるわけではないことを理解されたい。他の場面では、これらのプロセス方式は、任意の下地のメタライゼーション層に関して上から下への方向のブラインドシューティングを含むと見なすことができる。
本開示の一実施形態による、導電タブ用のフォトバケットについて説明する。
例として、図26Aは従来のバックエンドオブライン(BEOL)メタライゼーション層の平面図である。図26Aを参照すると、従来のBEOLメタライゼーション層2600が、層間誘電体層2602内に配置された導電ラインまたはルーティング2604と共に示されている。金属ラインは一般に互いに平行に走っていてもよく、1つまたは複数の導電ライン2604の連続した部分にカット、切れ目またはプラグ2606を含んでいてもよい。2つ以上の平行金属ラインを電気的に結合するために、上層または下層のルーティング2608が前または次のメタライゼーション層に含まれている。そのような上層または下層のルーティング2608は、導電ビア2612を結合する導電ライン2610を含むことができる。上層または下層のルーティング2608は、前または次のメタライゼーション層に含まれるので、上層または下層のルーティング2608は、メタライゼーション層を含む半導体構造の垂直方向のリアルエステートを消費する可能性があることを理解されたい。
対照的に、図26Bは、本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層の平面図である。図26Bを参照すると、BEOLメタライゼーション層2650が、層間誘電体層2652内に配置された導電ラインまたはルーティング2654と共に示されている。金属ラインは一般に互いに平行に走っていてもよく、1つまたは複数の導電ライン2654の連続した部分にカット、切れ目またはプラグ2656を含んでいてもよい。2つ以上の平行金属ラインを電気的に結合するために、導電タブ158がメタライゼーション層2650に含まれている。導電タブ2658は導電ライン2654と同じメタライゼーション層に含まれるので、メタライゼーション層を含む半導体構造の垂直方向のリアルエステートの導電タブ2658の消費量を、図26Aの構造に対して低減できることを理解されたい。
本明細書に記載の1つまたは複数の実施形態は、ダマシンプラグおよびタブのパターニングのためのフォトバケット手法に関する。このようなパターニング方式は、双方向スペーサベースの相互接続を可能にするために実施することができる。実施は、2本の金属ラインがスペーサベースの手法を使用して製造された場合に、メタライゼーション層の2本の平行ラインを電気的に接続するのに特に適しており、そうでなければ、その手法は同じメタライゼーション層内の2本の隣接するライン間の導電接続の包含を制限する可能性がある。一般に、1つまたは複数の実施形態は、導電タブおよび非導電性スペースまたは金属(プラグ)間の遮断部を形成するためにダマシン技術を使用する手法に関する。
より具体的には、本明細書に記載の1つまたは複数の実施形態は、タブおよびプラグを形成するためのダマシン法の使用を含む。最初は、可能なすべてのタブおよびプラグの位置が最初にハードマスク層にパターニングされる。次に、追加の工程を使用して、どのタブおよびプラグの位置を保持するかを選択する。この位置は次に下地の層間誘電体層に転写される。このような工程は、フォトバケットを使って説明することができる。特定の実施形態では、ビア、プラグ、およびタブのダマシンパターニングのための方法には、フォトバケット手法および選択的ハードマスクを使用する自己整合が提供される。
本開示の一実施形態によれば、フォトバケットパターニングは、自己整合方式でプラグおよびタブを製造するために使用される。一般的な概観プロセスフローは、(1)交差グレーティングの製造、それに続く(2)プラグ画定のためのフォトバケット化およびフォトレジストを下流プロセスに耐えることができる「硬質」材料に変えること、続いて(3)充填可能な材料で埋め戻すことによりグレーティングトーンを反転し、充填可能な材料をリセスし、元の交差グレーティングを除去すること、続いて(4)「タブ」画定のためのフォトバケット化、続いて(5)パターンを下地の層間誘電体(ILD)層へエッチング転写し、追加のハードマスク材料を研磨すること、を含むことができる。一般的なプロセスフローはビアを含まないが、一実施形態では、同じ自己整合グレーティングを使用してプラグ、ビア、およびタブの複数のパスに拡張するように本明細書に記載の手法を実施することができる。
一例として、図27A〜図27Kは、本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における様々な工程を表す斜視断面図である。
図27Aを参照すると、交差グレーティングパターニング方式における第1の工程が、基板2700の上に形成された層間誘電体(ILD)層2702の上で行われる。最初に、ブランケットハードマスク2704がILD層2702上に形成される。第1のグレーティングハードマスク2706は、ブランケットハードマスク2704の上に第1の方向に沿って形成される。一実施形態では、第1のグレーティングハードマスク2706は、図27Aに示すようにグレーティングパターンで形成される。一実施形態では、第1のグレーティングハードマスク2706のグレーティング構造は、狭ピッチグレーティング構造である。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図27Aの第1のグレーティングハードマスク2706のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図27Bを参照すると、交差グレーティングパターニング方式における第2の工程が、層間誘電体(ILD)層2702の上で行われる。第2のグレーティングハードマスク2708は、ブランケットハードマスク2704の上に第2の方向に沿って形成される。第2の方向は第1の方向と直交している。第2のグレーティングハードマスク2708は、その上にハードマスク2710を有する。一実施形態では、第2のグレーティングハードマスク2710は、上にあるハードマスク2710を使用するパターニングプロセスで製造される。第2のグレーティングハードマスク2708の連続性は、第1のグレーティングハードマスク2706のラインによって分断されているので、第1のグレーティングハードマスク2706の一部は、上にあるハードマスク2710の下に延在する。一実施形態では、第2のグレーティングハードマスク2708は、第1のグレーティングハードマスク2706と交互に配置されて形成される。そのような一実施形態では、第2のグレーティングハードマスク2708は、第1のグレーティングハードマスク2706とは異なる組成を有する第2のハードマスク材料層の堆積によって形成される。次いで、第2のハードマスク材料層を、例えば化学機械研磨(CMP)によって平坦化し、次いで、上にあるハードマスク2710を使用してパターニングして、第2のグレーティングハードマスク2708を提供する。第1のグレーティングハードマスク2706の場合と同様に、一実施形態では、第2のグレーティングハードマスク2708のグレーティング構造は狭ピッチグレーティング構造である。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図27Aの第2のグレーティングハードマスク2708のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図27Cを参照すると、プラグフォトバケットパターニング方式が第1のフォトバケットプロセスとして実行される。一実施形態では、フォトバケット2712は、第1のグレーティングハードマスク2706と第2のグレーティングハードマスク2708との間の露出した開口部のすべてに形成される。一実施形態では、プラグフォトバケットパターニングプロセスの前に、ビアパターニングプロセスが任意選択で行われる。ビアパターニングは直接パターニングでもよく、または別個のフォトバケットプロセスを含んでもよい。
図27Dを参照すると、例えば選択したフォトバケット2712を他のすべてのフォトバケット2712を開口するために使用されるリソグラフィおよび現像プロセスにさらさないことによって、他のフォトバケット2712を保持しながら選択したフォトバケット2712を除去する。次いで、図27Aのブランケットハードマスク2704の露出部分をエッチングして、初回パターニングされたハードマスク2714を提供する。この段階で、保持されているフォトバケット2712は、最終メタライゼーション層内のプラグ位置を表す。すなわち、第1のフォトバケットプロセスにおいて、フォトバケットはプラグが形成されない位置から除去される。一実施形態では、プラグが形成されない位置を形成するために、リソグラフィを使用して対応するフォトバケットを露光する。露光されたフォトバケットはその後現像剤によって除去することができる。
図27Eを参照すると、グレーティングトーン反転プロセスが実行される。一実施形態では、誘電体領域2716が図27Dの構造のすべての露出領域に形成される。一実施形態では、誘電体領域2716は、誘電体層の堆積およびエッチバックによって誘電体領域2716を形成することによって形成される。
図27Fを参照すると、上にあるハードマスク2710によって覆われていない第1のグレーティングハードマスク2706の一部が次に除去されて、上にあるハードマスク2710の下に残っている第1のグレーティングハードマスク2706の一部2706'のみを残す。
図27Gを参照すると、タブフォトバケットパターニング方式が第2のフォトバケットプロセスとして実行される。一実施形態では、フォトバケット2718は、第1のグレーティングハードマスク2706の露出部分の除去時に形成された露出した開口部のすべてに形成される。
図27Hを参照すると、例えば他のフォトバケットを開口するために使用されるリソグラフィおよび現像プロセスにフォトバケット2718をさらさないことによって、他のフォトバケット2718を保持しながら、フォトバケット2718のうちの選択されたものを除去する。次に、図27D〜図27Gの1回目のパターニングされたハードマスク2714の露出部分をエッチングして、2回目のパターニングされたハードマスク2715を提供する。この段階で保持されているフォトバケット2718は、導電タブが最終的なメタライゼーション層内に存在しない位置を表す。すなわち、第2のフォトバケットプロセスにおいて、フォトバケットは、導電タブが最終的に形成される位置から除去される。一実施形態では、導電タブが形成される位置を形成するために、リソグラフィを使用して対応するフォトバケットを露光する。露光されたフォトバケットはその後現像剤によって除去することができる。
図27Iを参照すると、上にあるハードマスク2710、第2のグレーティングハードマスク2708、および誘電体領域2716が除去されている。続いて、上にあるハードマスク2710の除去時に露出された2回目のパターニングされたハードマスク2715の一部が除去され、3回目のパターニングされたハードマスク2720、第2のグレーティングハードマスク2708、および誘電体領域2716が除去される。一実施形態では、上にあるハードマスク2710、第2のグレーティングハードマスク2708、および誘電体領域2716を除去する前に、フォトバケット2712、2718のうちの残りのものを(例えば、ベーキングプロセスによって)最初に硬化させる。この段階で、フォトバケット2712のうちの1つを選択し、フォトバケット2718のうちの1つを選択し、そして第1のグレーティングハードマスク2706の保持部分2706'が、3回目のパターニングされたハードマスク2720の上に残る。一実施形態では、上にあるハードマスク2710、第2のグレーティングハードマスク2708、および誘電体領域2716が選択的ウェットエッチングプロセスを使用して除去され、上にあるハードマスク2710の除去時に露出された2回目のパターニングされたハードマスク2715の一部がドライエッチングプロセスを使用して除去されて、3回目のパターニングされたハードマスク2720を提供する。
図27Jを参照すると、3回目のパターニングされたハードマスク2720のパターンがILD層2702の上側部分に転写されてパターニングされたILD層2722が形成されている。一実施形態では、次に、3回目のパターニングされたハードマスク2720のプラグアンドタブパターンをILD層2702に転写して、パターニングされたILD層2722を形成する。一実施形態では、パターンをILD層2702に転写するためにエッチングプロセスが使用される。そのような一実施形態では、選択されたフォトバケット2712、選択されたフォトバケット2718、および3回目のパターニングされたハードマスク2720の上に残っている第1のグレーティングハードマスク2706の保持部分2706'は、パターニングされたILD層2722を形成するために使用されるエッチング中に除去または消費される。別の実施形態では、選択されたフォトバケット2712、選択されたフォトバケット2718、および3回目のパターニングされたハードマスク2720の上に残っている第1のグレーティングハードマスク2706の保持部分2706'は、パターニングされたILD層2722を形成するために用いられるエッチングの前または後に除去される。
図27Kを参照すると、パターニングされたILD層2732の形成に続いて、導電ライン2724が形成される。一実施形態では、導電ライン2724は、金属充填および研磨戻しプロセスを使用して形成される。導電ライン2724の形成中に、2本の金属ライン2724を結合する導電タブ2728も形成される。したがって、一実施形態では、導電ライン2724間の導電結合(タブ2728)は、同じILD層2722内で、かつ導電ライン2724と同じ平面内で、導電ライン2724と同時に形成される。さらに、プラグ2726は、図27Kに示すように、1つまたは複数の導電ライン2724内の切れ目または遮断部として形成されてもよい。そのような一実施形態では、プラグ2726は、パターン転写中に保存されてパターニングされたILD層2722を形成するILD層2702の領域である。一実施形態では、図27Kに示すように、3回目のパターニングされたハードマスク2720が除去される。そのような一実施形態では、例えばポストメタライゼーション化学機械平坦化(CMP)プロセスを使用して、導電ライン2724およびタブ2728を形成した後に、3回目のパターニングされたハードマスク2720を除去する。
再び図27Kを参照すると、一実施形態では、半導体構造のバックエンドオブライン(BEOL)メタライゼーション層は、基板2700の上に配置された層間誘電体(ILD)層2722を含む。ILD層2722内に、第1の方向に沿って複数の導電ライン2724が配置されている。導電タブ2728がILD層2722内に配置されている。導電タブは、第1の方向と直交する第2の方向に沿って複数の導電ライン2724のうちの2つを結合する。
図27Kに描かれているようなそのような配置は、従来のリソグラフィ処理によっては、小さなピッチ、小さな幅、またはその両方で達成することができない可能性がある。また、自己整合は従来のプロセスでは達成できない可能性がある。さらに、図27Kに示すような配置は、ピッチ分割方式が最終的に導電ライン2724のためのパターンを提供するために使用される場合には、他の方法では達成できない可能性がある。
一実施形態では、導電タブ2728は、図27Kに示すように、複数の導電性ラインのうちの2つと連続しているが、隣接していない。一実施形態では、導電タブ2728は、図27Kに示すように、2つの複数の導電ライン2724と同一平面上にある。一実施形態では、BEOLメタライゼーション層は、図27Kに示すように、複数の導電ライン2724のうちの1つの端部に配置された誘電体プラグ2726をさらに含む。一実施形態では、図27Kに示すように、誘電体プラグ2726はILD層と連続しているが、隣接していない。一実施形態では、図示していないが、BEOLメタライゼーション層は、複数の導電ライン2724のうちの1つの下に配置されて、かつそれに電気的に結合された導電ビアをさらに含む。
続いて、図27Kの構造を、それに続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図27Kの構造は、集積回路内の最終金属相互接続層を表すことができる。再び図27Kを参照すると、ダマシンフォトバケット手法によるそのような自己整合製造は、次のメタライゼーション層を製造するために継続することができる。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。図示していないが、1つまたは複数の導電ライン2724が、追加のフォトバケット工程を使用して形成することができる下地の導電ビアに結合されてもよいことも理解されたい。一実施形態では、上述の2次元手法の代替として、1次元グレーティング手法をプラグおよびタブ(および場合によってはビア)パターニングのために実施することもできる。そのような1次元手法は一方向だけの制限を提供する。そのように、ピッチは一方向に「厳しく」、一方向に「緩く」することができる。
本明細書に記載の1つまたは複数の実施形態は、サブトラクティブなプラグおよびタブのパターニングのためのフォトバケット手法に関する。このようなパターニング方式は、双方向スペーサベースの相互接続を可能にするために実施することができる。実施は、2本の金属ラインがスペーサベースの手法を使用して製造された場合に、メタライゼーション層の2本の平行ラインを電気的に接続するのに特に適しており、そうでなければ、その手法は同じメタライゼーション層内の2本の隣接するライン間の導電接続の包含を制限する可能性がある。一般に、1つまたは複数の実施形態は、導電タブおよび非導電性スペースまたは金属(プラグ)間の遮断部を形成するためにサブトラクティブ技術を使用する手法に関する。
本明細書に記載の1つまたは複数の実施形態は、フォトバケット手法および選択的ハードマスクを使用して、自己整合を用いてビア、カット、および/またはタブをサブトラクティブにパターニングするための手法を提供する。実施形態は、サブトラクティブにパターニングされた自己整合相互接続部、プラグ、およびビアのためのいわゆるテキスタイルパターニング手法の使用を含むことができる。テキスタイル手法は、各ハードマスク材料の間でエッチング選択性を有するハードマスクのテキスタイルパターンの実施を含むことができる。本明細書に記載の特定の実施形態では、相互接続部、カット、およびビアをサブトラクティブにパターニングするために、テキスタイル処理方式が実施される。
本明細書に記載の1つまたは複数の実施形態の概要として、一般的な概要プロセスフローは以下のプロセスシーケンスを含むことができる。(1)互いにエッチング選択性のある4つの「カラー」ハードマスクを用いたテキスタイルプロセスフローを用いた製造、(2)ビアのフォトバケット化のための第1のハードマスクタイプの除去、(3)第1のハードマスク材料の埋め戻し、(4)カット(またはプラグ)のフォトバケット化のための第2のハードマスクタイプの除去、(5)第2のマスク材料の埋め戻し、(6)導電タブのフォトバケット化のための第3のハードマスクタイプの除去、(7)カットおよびタブのためのサブトラクティブ金属エッチング、および(8)ハードマスクの除去およびそれに続く恒久的なILD材料を用いた埋め戻しおよび研磨戻し。
図28A〜図28Tは、本開示の一実施形態による、メタライゼーション層の金属ラインを結合する導電タブを有するバックエンドオブライン(BEOL)メタライゼーション層を製造する方法における様々な工程を表す斜視断面図である。
図28Aを参照すると、基板(図示せず)の上に形成された金属層2800の上に形成されたブランケットハードマスク層2802の上にグレーティングパターニング方式が実行される。第1のグレーティングハードマスク2804は、ブランケットハードマスク2802の上に第1の方向に沿って形成される。第2のグレーティングハードマスク2806が第1の方向に沿って第1のグレーティングハードマスク2804と交互に形成されている。一実施形態では、第1のグレーティングハードマスク2804は、第2のグレーティングハードマスク2806の材料とは異なるエッチング選択性を有する材料から形成される。
一実施形態では、図28Aに示すように、第1および第2のグレーティングハードマスク2804、2806は、グレーティングパターンで形成される。一実施形態では、第1および第2のグレーティングハードマスク2804、2806のグレーティング構造は、狭ピッチグレーティング構造である。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図28Aの第1および第2のグレーティングハードマスク2804、2806のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図28Bを参照すると、犠牲交差グレーティングパターニングプロセスが行われる。上にあるハードマスク2808は、第1の方向に直交する、すなわち第1および第2のグレーティングハードマスク2804、2806に直交する第2の方向に沿ってグレーティングパターンで形成される。
一実施形態では、上にあるハードマスク2808は、狭ピッチグレーティング構造で形成される。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図28Bの上にあるハードマスク2808のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図28Cを参照すると、テキスタイルパターン形成が行われる。上にあるハードマスク2808のグレーティング間に露出された第1のハードマスク2804の領域は選択的にエッチングされ、第3のハードマスク2810の領域と置き換えられる。上にあるハードマスク2808のグレーティング間に露出された第2のハードマスク2806の領域は選択的にエッチングされ、第4のハードマスク2812の領域と置き換えられる。一実施形態では、第3のハードマスク2810は、第1のハードマスク2804および第2のハードマスク2806の材料とは異なるエッチング選択性を有する材料から形成される。さらなる実施形態では、第4のハードマスク2812は、第1のハードマスク2804、第2のハードマスク2806、および第3のハードマスク2810の材料とは異なるエッチング選択性を有する材料から形成される。
図28Dを参照すると、上にあるハードマスク2808が除去されている。一実施形態では、上にあるハードマスク2808は、第1のハードマスク2804、第2のハードマスク2806、第3のハードマスク2810、および第4のハードマスク2812に対して選択的なエッチング、アッシングまたは洗浄プロセスを用いて除去されて、図28Dに示すようにテキスタイルパターンが残る。
図28E〜図28Hは、ビアパターニングプロセスに関連する。図28Eを参照すると、第3のハードマスク2810が、第1のハードマスク2804に対して選択的に、第2のハードマスク2806に対して選択的に、かつ第4のハードマスク2812に対して選択的に除去され、ブランケットハードマスク2802の一部を露出させる開口部2814を提供する。一実施形態では、第3のハードマスク2810は、選択エッチングまたは洗浄プロセスを使用して、第1のハードマスク2804に対して選択的に、第2のハードマスク2806に対して選択的に、かつ第4のハードマスク2812に対して選択的に除去される。
図28Fを参照すると、第1のフォトバケットプロセスとしてビアフォトバケットパターニング方式が実行される。一実施形態では、フォトバケットは、図28Eの露出された開口部2814のすべてに形成される。例えば、他のすべての第1のフォトバケットを開口するために使用されるリソグラフィおよび現像プロセスにフォトバケット2816をさらさないことによって、他のフォトバケット2816を保持しながら(図示する特定の例では、1つが除去されている間に3つのフォトバケットが保持される)、フォトバケットのうちの選択されたものを除去して開口部2814を再露出させる。
図28Gを参照すると、次に、ブランケットハードマスク2802の露出部分がエッチングされて、初回パターニングされたハードマスク2820が提供される。さらに、金属層2800を、開口部を通してエッチングして、初回パターニングされた金属層2822にエッチングトレンチ2818を設ける。初回パターニングされた金属層2822は、導電ビア2824を含む。サブトラクティブ金属エッチングに続いて、残りのフォトバケット2816が除去されて、関連する開口部2814が再露出される。
図28Hを参照すると、トレンチ2818および開口部2814がハードマスク材料で埋め戻される。一実施形態では、第3のハードマスク2810の材料と類似または同一の材料を図28Gの構造上に形成し、平坦化またはエッチバックして深いハードマスク領域2826と浅いハードマスク領域2828とを設ける。一実施形態では、深いハードマスク領域2826および浅いハードマスク領域2828は、第3の材料タイプ(例えば、第3のハードマスク2810の材料タイプ)である。
図28I〜図28Lは、金属ラインのカットまたはプラグの形成パターニングプロセスに関連する。図28Iを参照すると、第1のハードマスク2804は、第2のハードマスク2806に対して選択的に、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4のハードマスク2812に対して選択的に除去され、1回目のパターニングされたハードマスク2820の一部を露出させる開口部2830を提供する。一実施形態では、第1のハードマスク2804は、選択エッチングまたは洗浄プロセスを用いて、第2のハードマスク2806に対して選択的に、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4のハードマスク2812に対して選択的に除去される。
図28Jを参照すると、第2のフォトバケットプロセスとして、カットまたはプラグのフォトバケットパターニング方式が実行される。一実施形態では、フォトバケットは、図28Iの露出された開口部2830のすべてに形成される。例えば、他のすべての第2のフォトバケットを開口するために使用されるリソグラフィおよび現像プロセスにフォトバケット2832をさらさないことによって、他のフォトバケット2832を保持しながら(図示する特定の例では、1つが除去されている間に3つのフォトバケットが保持される)、フォトバケットのうちの選択されたものを除去して開口部2830を再露出させる。除去されたフォトバケットは、この段階で、カットまたはプラグが最終的なメタライゼーション層に入る位置を表す。すなわち、第2のフォトバケットプロセスでは、フォトバケットは、プラグまたはカットが最終的に形成される位置から除去される。
図28Kを参照すると、1回目のパターニングされたハードマスク2820の露出部分が次にエッチングされて、トレンチ2836が内部に形成された2回目のパターニングされたハードマスク2834を提供する。エッチングに続いて、残りのフォトバケット2832は除去されて関連する開口部2830を再び露出させる。
図28Lを参照すると、トレンチ2834および開口部2830がハードマスク材料で埋め戻される。一実施形態では、第1のハードマスク2804の材料と類似または同一の材料が図28Kの構造上に形成され、平坦化またはエッチバックされて深いハードマスク領域2838および浅いハードマスク領域2840が設けられる。一実施形態では、深いハードマスク領域2838および浅いハードマスク領域2840は、第1の材料タイプ(例えば、第1のハードマスク2804の材料タイプ)のものである。
図28Mを参照すると、第4のハードマスク2812が、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840に対して選択的に、第2のハードマスク2806に対して選択的に、かつ第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に除去される。一実施形態では、第4のハードマスク2812は、選択的エッチングまたは洗浄プロセスを使用して、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840に対して選択的に、第2のハードマスク2806に対して選択的に、かつ第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に除去される。深いエッチングプロセスは、得られた開口部を通して、かつ2回目のパターニングされたハードマスク2834を全体的に通して実行されて、3回目のパターニングされたハードマスク2842を形成し、そして1回目のパターニングされたハードマスク2822を全体的に通して実行されて、2回目のパターニングされた金属層2844を形成する。図示していないが、この段階で、第2のカットまたはプラグのパターニングプロセスが実行されてもよい。
図28Nを参照すると、図28Mに関連して形成された深い開口部がハードマスク材料で埋め戻される。一実施形態では、第4のハードマスク2812の材料と類似または同じ材料を図28Mの構造上に形成し、平坦化またはエッチバックして深いハードマスク領域2846を提供する。一実施形態では、深いハードマスク領域2846は第4の材料タイプ(例えば、第4のハードマスク2812の材料タイプ)のものである。任意選択の実施形態では、以下で説明される図28Sの符号2899に関連して示されるように、ILD層(low−k誘電体層など)が最初に充填され、2回目のパターニングされた金属層2844のレベルまでエッチバックされる。次に、第4のタイプのハードマスク材料(すなわち、2846の浅いバージョン)がILD層上に形成される。
図28O〜図28Rは、導電タブ形成パターニングプロセスに関連する。図28Oを参照すると、第2のハードマスク2806は、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840に対して選択的に、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4の材料タイプの深いハードマスク領域2846に対して選択的に除去されて、3回目のパターニングされたハードマスク2842の一部を露出させる開口部2848を提供する。一実施形態では、第2のハードマスク2806は、選択的エッチングまたは洗浄プロセスを用いて、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840に対して選択的に、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4の材料タイプの深いハードマスク領域2846に対して選択的に除去される。
図28Pを参照すると、第3のフォトバケットパターニングプロセスとして、導電タブフォトバケットパターニング方式が実行される。一実施形態では、フォトバケットは、図28Oの露出された開口部2848のすべてに形成される。例えば、他のすべての第3のフォトバケットを開口するために使用されるリソグラフィおよび現像プロセスにフォトバケット2850をさらさないことによって、他のフォトバケット2850を保持しながら、フォトバケットのうちの選択されたものを除去して、開口部2848を再露出させる(図示する特定の場合では、1つのフォトバケット2850が保持され、3つが除去される)。除去されたフォトバケットは、この段階で、導電タブが最終メタライゼーション層に形成されない位置を表す。すなわち、第3のフォトバケットプロセスにおいて、フォトバケット2850は、導電タブが最終的に形成される位置に保持される。
図28Qを参照すると、次に、3回目のパターニングされたハードマスク2842の露出部分を、開口部2848を通してエッチングして、トレンチ2854が内部に形成された4回目のパターニングされたハードマスク2852を提供する。エッチングに続いて、残っているフォトバケット2850が除去される。
図28Rを参照すると、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840が、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4の材料タイプの深いハードマスク領域2846に対して選択的に除去されて、4回目のパターニングされたハードマスク2852の一部をさらに露出させる。一実施形態では、第1の材料タイプの深いハードマスク領域2838および浅いハードマスク領域2840は、選択的エッチングまたは洗浄プロセスを使用して、第3の材料タイプの深いハードマスク領域2826および浅いハードマスク領域2828に対して選択的に、かつ第4の材料タイプの深いハードマスク領域2846に対して選択的に除去される。
図28Sを参照すると、得られた開口部を通して、かつ2回目のパターニングされた金属層2844を全体的に通して深いエッチングプロセスが行われて、3回目のパターニングされた金属層2856が形成される。この段階で、任意選択の実施形態で上述したように、ILD層2899が図28Nに関連する工程で形成される場合、そのようなILD層2899の一部が図28Sの構造に見える。
図28Tの(a)を参照すると、一実施形態では、図28Sの残りのハードマスク部分2828、2846、2852のハードマスク除去が行われ、続いてその構造が平坦化される。一実施形態では、深いハードマスク領域2826の高さが低減されるが、その領域は、ビアキャップ2858およびILD2860を形成するためにすべて一緒に除去されるわけではない。さらに、プラグ領域2862が形成される。一実施形態では、ILD2899は図28Nに関連して形成され、そのような一実施形態ではプラグ領域2862はILD2899とは異なる材料を含む。別の実施形態では、ILD2899は、図28Nに関連して形成されず、ILD2860およびプラグ2862の全部分が、例えばILD埋め戻しプロセスを使用して、同時に同じ材料で形成される。一実施形態では、その構造のメタライゼーション部分は、図28Tの(a)に示すように、金属ライン2864、導電ビア2824(ビアキャップ2858をその上に有する)、および導電タブ2866を含む。
図28Tの(a)を参照すると、一実施形態では、ILD埋め戻し2861が図28Sの構造上に形成されている。そのような一実施形態では、ILD膜が堆積され、次いでエッチバックされて図28Tの(b)の構造がもたらされる。一実施形態では、図28Sのハードマスクを所定の位置に残したまま、次のメタライゼーション層のテンプレート形成を実行することができる。すなわち、置き去りハードマスクを有するトポグラフィを使用して、次の層のパターニングプロセスをテンプレート化することができる。
いずれの場合も、図28Tの(a)または(b)にかかわらず、本明細書に記載の実施形態は、半導体構造内の最終メタライゼーション層の導電ビア2824の上に置き去りハードマスク材料(2858または2826)を含む。さらに、再び図28A〜図28Tを参照すると、カット、ビア、およびタブのパターニングの順序は交換可能であり得ることを理解されたい。また、例示的なプロセスフローは、1回のカット、1回のビア、および1回のタブのパスを示しているが、各タイプのパターニングについて複数回のパスを実行することができる。
再び図28Tの(a)を参照すると、一実施形態では、半導体構造用のバックエンドオブライン(BEOL)メタライゼーション層は、層間誘電体(ILD)層2860を含む。複数の導電ライン2864が第1の方向に沿ってILD層2860内に配置されている。導電タブ2866は、第1の方向と直交する第2の方向に沿って複数の導電ライン2864のうちの2つを結合する。
図28Tに描かれているようなそのような配置は、従来のリソグラフィ処理によっては、小さなピッチ、小さな幅、またはその両方で達成することができない可能性がある。また、自己整合は従来の処理方式では達成できない可能性がある。さらに、図28Tに示すような配置は、ピッチ分割方式が最終的に導電ライン2864のためのパターンを提供するために使用される場合には、他の方法では達成できない可能性がある。
一実施形態では、導電タブ2866は、複数の導電ライン2864のうちの2つと連続しているが、隣接していない。一実施形態では、導電タブ2866は、複数の導電ライン2866のうちの2つと同一平面上にある。一実施形態では、BEOLメタライゼーション層は、複数の導電ライン2866のうちの1つの端部に配置された誘電体材料2862のプラグをさらに含む。一実施形態では、BEOLメタライゼーション層はさらに導電ビアを含む。
続いて、図28Tの構造を、それに続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図28Tの構造は、集積回路内の最終金属相互接続層を表すことができる。再び図28Tを参照すると、サブトラクティブフォトバケット手法によるそのような自己整合製造は、次のメタライゼーション層を製造するために継続することができる。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
本開示の一実施形態による、露光ミスアライメントの許容範囲に対するレジスト調整について説明する。レジスト調整は、内部クエンチ、グラフト層クエンチ、または最上層クエンチのうちの1つまたは複数を含むことができる。本明細書に記載の1つまたは複数の実施形態は、放出可能なクエンチャを有する二段ベークフォトレジストに関する。用途は、極紫外線(EUV)リソグラフィ、一般的なリソグラフィ用途、オーバーレイ問題に対する解決策、および一般的なフォトレジスト技術のうちの1つまたは複数に向けることができる。一実施形態では、フォトバケットベースの手法の性能を向上させるのに適した材料が説明される。そのような手法では、レジスト材料は予めパターニングされたハードマスクに限定される。次いで、高解像度リソグラフィツール、例えばEUVリソグラフィツールを使用して、フォトバケットのうちの選択されたものを除去する。所与のフォトバケットにわたってレジスト材料の応答の均一性を改善するために特定の実施形態を実施することができる。
場面を提供するために、フォトバケット手法における1つの目標は、露光されたフォトバケットにわたってEUV放出酸を最初に拡散させて、選択されたバケットにわたってレジスト応答の均一性を改善することである。過去の手法では、これは、酸がこれらの酸から引き起こされる溶解度交換反応を回避するのに十分低い温度でフォトバケットにわたって拡散することを可能にする特別な材料の使用によって達成されてきた。しかしながら、他のレジスト成分、すなわちクエンチャの作用は、そのような利点が十分に実現されることを妨げる可能性がある。特に、クエンチャは、酸が所与のフォトバケットにわたって拡散または広がることができる前に酸を中和することができる。本明細書に記載の1つまたは複数の実施形態によれば、そのような問題に対処するために、標準的なクエンチャは、紫外線(UV)露光などによって放出することができるクエンチャと置き換えられ、早すぎる酸中和を回避する能力を提供する。
より具体的には、本明細書に記載の1つまたは複数の実施形態によれば、EUV露光の効果が所与のフォトバケットにわたって効果的に平均化される「2段階PEB」を効果的に提供するようにUV放出クエンチャを含むフォトバケットレジスト材料が実施される。そのような実施形態は、「デジタル」バケット応答を可能にすることができ、その場合、フォトバケット全体が一掃されるかまたは一掃されない。特定の実施形態では、そのような応答は、空間像がフォトバケットグリッドに完全には整合しないエッジ配置誤差に対してより寛容である。
本明細書に含まれる概念のうちの1つまたは複数を例示するために、図29A〜図29Cは、本開示の一実施形態による、2段階ベークフォトレジストを含むフォトバケットを使用してパターニングする方法における様々な工程の断面図および対応する平面図である。
図29Aを参照すると、予めパターニングされたハードマスク2904が基板2902の上に配置されている。予めパターニングされたハードマスク2904は、二段階ベークフォトレジスト2906で充填された開口部を有する。二段階ベークフォトレジスト2906は、例えば可能性のあるビア位置のグリッドを提供するために、予めパターニングされたハードマスク2904の開口部に限定される。
図29Bを参照すると、フォトバケットのうちの選択されたものがリソグラフィツールの露光2907にさらされる。二段階ベークフォトレジスト2906は、どのビアを開口するかを選択するために、リソグラフィツール、例えばEUVリソグラフィツールで露光される。一実施形態では、リソグラフィツールと予めパターニングされたハードマスク2904グリッドとの間のアライメントは不完全であり、その結果、ターゲットバケット内の露光の非対称性および/または隣接バケット内の部分露光が生じる。平面図に見られるように、露光2907は変位した空間画像2908である。
図29Cを参照すると、図29Bの露光は、選択されていないフォトバケットのアライメント不良および部分的な露光を伴う可能性があるが、選択されたフォトバケットのみが開口部2920を形成するためにクリアされ、選択されていないフォトバケットは閉じたフォトバケット2912として残る。一実施形態では、二段階ベークフォトレジスト2906の選択領域の露光2907に続いて、選択されたフォトバケットのみを確実に最終的に開口するために使用されるプロセスでは、すべての二段階ベークフォトレジスト2906が最初に酸拡散のためにベーキングされる。次いで、酸中和のために紫外線(UV)クエンチ放出が行われる。次いで、以下により詳細に記載されるように、溶解度切り替えのために第2のベーキングが行われる。特定の実施形態では、第1のベーキング工程から放出された光感応性酸はフォトバケット全体に拡散する。UVフラッド露光によりクエンチャが放出され、その後最終的な溶解度切り替えベーキングが行われる。このプロセスは、図30A〜図30Eに関連して以下に詳述される。
結果として、より大きな露光を受ける選択された位置は、現像後に開口したフォトバケット位置2920を提供するために最終的にクリアされる。露光されないか、またはアライメント不良の場合で部分的に露光されるがより少ない程度である非選択位置は、現像後に閉じたフォトバケット位置2912として残る。
従来のフォトレジストが用いられる対照的なシナリオを例示するために、図29Dは、ミスアライメント露光後のフォトバケット現像後の従来のレジストフォトバケット構造の断面図である。フォトバケット領域2954は、いくらかの残留フォトレジスト2952が残っている状態で、部分的にのみクリアされた符号2950として示されている。フォトバケット2954が選択されたフォトバケットである場合、ミスアライメント露光2907はフォトバケットを部分的にクリアするだけであり、それはそのような位置での導電性構造のその後の低品質の製造につながる可能性がある。フォトバケット2954が選択されていないフォトバケットである場合、何らかの望ましくない開口部2950が発生し、それにより、望ましくない位置に導電性構造が続いて形成される可能性がある。
より詳細なプロセスの説明において、図30A〜図30Eは、本開示の一実施形態による、二段階ベークフォトレジストを含むフォトバケットを使用したパターニング方法における様々な工程の概略図である。
図30Aを参照すると、第1のフォトバケット3002および第2のフォトバケット3004は各々、酸脱保護性フォトレジスト材料、光感応性酸発生(PAG)成分3010、および光感応性塩基発生成分3012を含む光分解性組成物を含む。選択されたフォトバケット3002および選択されていないフォトバケット3004に対して、ミスアライメントしたEUVまたは電子ビーム露光3006が行われ、これは、選択されたフォトバケット3002を強く露光し、選択されていないフォトバケット3004を部分的に露光するが、より少ない程度である。特定の実施形態では、光感応性塩基発生成分3012はUV放出クエンチャである。
図30Bを参照すると、第1のベーキングが行われる。一実施形態では、第1のベーキングは、溶解度の切り替えを引き起こすには低すぎる温度で行われる。そのような一実施形態では、ベーキングは、それぞれフォトバケット3002、3004の拡散材料3020、3022をもたらす拡散専用ベーキングである。
図30Cを参照すると、クエンチャ3014が放出されて、それぞれフォトバケット3002、3004用の材料3024、3026を形成する。一実施形態では、クエンチャ3014はUV放出クエンチャである。このような特定の実施形態では、UV放出クエンチャはUVフラッド露光、例えば365nm露光によって放出される。一実施形態では、フォトバケット3002、3004はどちらも同じ程度でフラッド露光にさらされる。
図30Dを参照すると、第2のベーキングが行われて、それぞれフォトバケット3002、3004の材料3028、3030が提供される。一実施形態では、第2のベーキングは溶解度切り替えを生成し、ここで亜臨界酸濃度がクエンチされる。このように、局所的な酸濃度は本質的にない。すなわち、意図しない部分的にのみ露光されたフォトバケットの一部の脱保護は起こらない。
図30Eを参照すると、フォトバケット3002、3004が現像プロセスを受ける。選択されたフォトバケット3002は現像時にクリアされ、クリアされたフォトバケット3032を提供する。選択されていないフォトバケット3004は現像時にクリアされず、ブロックされたフォトバケット3034のままである。このようにして、ミスアライメント露光が生じても、デジタルフォトバケットの応答(開くか閉じるかだけであり、部分的に開くことがない)が実現される。
二段階ベークフォトレジストを達成するためにすべての実施形態が単一の組成を必要とするわけではないことを理解されたい。第1の代替例では、図30A−1は、本開示の一実施形態による、フォトバケットを使用したパターニングの別の方法における工程の概略図である。図30A−1を参照すると、第1のフォトバケット3002'および第2のフォトバケット3004'は各々、第1のフォトバケット3002'および第2のフォトバケット3004'の底部および側壁に沿ってグラフト化光感応性塩基発生成分3050を含む。グラフト化光感応性塩基発生成分3050内に光分解性組成物が形成される。光分解性組成物は、酸脱保護性フォトレジスト材料および光感応性酸発生(PAG)成分3010'を含む。次に、露光3006'および多段階現像プロセスを上記の手法と同様に実行することができる。
第2の代替例では、図30A−2は、本開示の一実施形態による、フォトバケットを使用したパターニングの別の方法における工程の概略図である。図30A−2を参照すると、第1のフォトバケット3002''および第2のフォトバケット3004''は各々、酸脱保護性フォトレジスト材料、光感応性酸発生(PAG)成分3010''を含む光分解性組成物を含む。第1のベーキングを実行した後、第1のフォトバケット3002''および第2のフォトバケット3004''上に塩基発生成分を含む層3060が形成される。次に、フォトバケット3002''、3004''を紫外線(UV)で露光する。この場合、塩基成分は、光感応性塩基発生剤を介して導入する必要はなく、むしろ後の工程で、例えば塩基層の蒸着または塩基性雰囲気NMPへの暴露により堆積させることができる。
上述のフォトレジスト組成物および手法の適用は、すべての可能なビア(またはプラグ)位置をカバーする規則的な構造を作り出し、続いて所望のフィーチャのみを選択的にパターニングすることにより、実施することができる。さらなる材料の詳細を提供するために、一実施形態では、再び図30A〜図30Eを参照すると、フォトバケット3002、3004は、光分解性組成物を含む。光分解性組成物は、ある波長で実質的な透明性を有する酸脱保護性フォトレジスト材料を含む。光分解性組成物はまた、その波長で実質的な透明性を有する光感応性酸発生(PAG)成分を含む。光分解性組成物は、その波長で実質的な吸収性を有する塩基発生成分を含む。別の実施形態では、酸脱保護性フォトレジスト材料はその波長で実質的に透明ではない。
一実施形態では、塩基発生成分は、光感応性塩基発生成分、電子塩基発生成分、化学的塩基発生成分、および紫外線塩基発生成分からなる群から選択されるものである。一実施形態では、塩基発生成分は超音波処理塩基発生成分である。一実施形態では、塩基発生成分は紫外線吸収性である。一実施形態では、塩基発生成分は低エネルギーUV発色団を含む。そのような特定の実施形態では、低エネルギーUV発色団は、アントラセニルカルバメート、ナフタレニルカルバメート、2−ニトロフェニルカルバメート、アリールカルバメート、クマリン、フェニルグリオキシル酸、置換アセトフェノンおよびベンゾフェノンからなる群から選択される。一実施形態では、低エネルギーUV発色団は光放出アミンである。一実施形態では、塩基発生成分は、N,N−ジシクロヘキシル−2−ニトロフェニルカルバメート、N,N−二置換カルバメートおよび一置換カルバメートからなる群から選択される材料を含む。
一実施形態では、PAG成分は、トリエチル、トリメチルおよび他のトリアルキルスルホネートからなる群から選択される材料を含み、ここで、スルホネート群は、トリフルオロメチルスルホネート、ノナンフルオロブタンスルホネート、およびp−トリルスルホネート、または有機基に結合した−SO3スルホン酸アニオンを含む他の例からなる群から選択される。一実施形態では、酸脱保護性フォトレジスト材料は、ポリマー、分子ガラス、カルボシランおよび金属酸化物からなる群から選択される酸脱保護性材料である。一実施形態では、金属酸化物が使用され、放出塩基は必要とされない。一実施形態では、酸脱保護性フォトレジスト材料は、ポリヒドロキシスチレン、ポリメタクリレート、ポリヒドロキシスチレンの低分子量分子ガラスバージョン、またはカルボン酸への酸触媒脱保護に敏感なエステル官能基を含むポリメタクリレート、カルボシラン、および酸触媒脱保護または架橋に敏感な官能基を有する金属酸化物からなる群から選択される材料を含む。
一実施形態では、波長は約365nmである。一実施形態では、酸脱保護性フォトレジスト材料は、約13.5ナノメートルの波長で実質的に吸収性である。一実施形態では、酸脱保護性フォトレジスト材料は、約5〜150keVの範囲のエネルギーで実質的に吸収性である。一実施形態では、塩基発生成分に対するPAG成分のモル比は少なくとも50:1である。
図30A〜図30E、図30A−1、および図30A−2を再度参照すると、本開示の一実施形態によれば、半導体処理のためのフォトバケットを選択する方法は、第2のフォトバケット3004に隣接する第1のフォトバケット3002を有する構造を提供するステップを含む。その構造は、極紫外線(EUV)または電子ビーム放射3006で露光され、第1のフォトバケット3002は、第2のフォトバケット3004よりも大きな程度でEUVまたは電子ビーム放射3006で露光される。その構造をEUVまたは電子ビーム放射3006で露光した後、図30Bに関連して説明したように、第1および第2のフォトバケットの第1のベーキングが行われる。図30Cに関連して説明したように、第1のベーキングを行った後、その構造を紫外線(UV)で露光し、第1のフォトバケットは、第2のフォトバケットとほぼ同程度に紫外線で露光される。構造をUV放射で露光した後、図30Dに関連して説明したように、第1および第2のフォトバケットの第2のベーキングが行われる。第2のベーキングを行った後、その構造が現像される。現像は、図30Eに関連して説明したように、第1のフォトバケットを開き、第2のフォトバケットを閉じたままにする。
一実施形態では、構造を極紫外線(EUV)または電子ビーム放射で露光することは、約13.5ナノメートルの波長を有するエネルギーで構造を露光することを含む。別の実施形態では、構造を極紫外線(EUV)または電子ビーム放射で露光することは、構造を5〜150keVの範囲のエネルギーで露光することを含む。一実施形態では、構造を紫外線で露光することは、構造を約365ナノメートルの波長を有するエネルギーで露光することを含む。一実施形態では、第1のベーキングは、約50〜120℃の範囲の温度で約0.5〜5分の範囲の期間にわたって行われる。一実施形態では、第2のベーキングは、約100〜180℃の範囲の温度で約0.5〜5分の範囲の期間にわたって行われる。
一実施形態では、具体的に図30Aを参照すると、第1および第2のフォトバケットは各々、酸脱保護性フォトレジスト材料、光感応性酸発生(PAG)成分、および光感応性塩基発生成分を含む光分解性組成物を含む。そのような一実施形態では、構造を極紫外線(EUV)または電子ビーム放射で露光することは、PAG成分を活性化することを含む。第1のベーキングは、PAG成分を活性化することにより形成された酸を第1および第2のフォトバケット全体に拡散させる。構造を紫外線で露光することは、光感応性塩基発生成分を活性化することを含む。第2のベーキングは、第2のフォトバケット中に形成された酸の総量を、光感応性塩基発生成分から発生した塩基でクエンチするが、第1のフォトバケット中に形成された総量の酸をクエンチしない。
別の実施形態では、特に図30A−1を参照すると、第1および第2のフォトバケットは各々、第1および第2のフォトバケットの底部および側壁に沿ったグラフト化光感応性塩基発生成分およびグラフト化光感応性塩基発生成分内に形成される光分解性組成物を含む。光分解性組成物は、酸脱保護性フォトレジスト材料および光感応性酸発生(PAG)成分を含む。そのような一実施形態では、構造を極紫外線(EUV)または電子ビーム放射で露光することは、PAG成分を活性化することを含む。第1のベーキングは、PAG成分を活性化することにより形成された酸を第1および第2のフォトバケット全体に拡散させる。構造を紫外線で露光することは、グラフト化光感応性塩基発生成分を活性化することを含む。第2のベーキングは、第2のフォトバケット中に形成された酸の総量を、光感応性塩基発生成分から発生した塩基でクエンチするが、第1のフォトバケット中に形成された総量の酸をクエンチしない。
別の実施形態では、具体的に図30A−2を参照すると、第1および第2のフォトバケットは各々、酸脱保護可能フォトレジスト材料および光感応性酸発生(PAG)成分を含む光分解性組成物を含む。本方法は、第1のベーキングを実行した後で、構造を紫外線(UV)放射で露光する前に、第1および第2のフォトバケット上に塩基発生成分を含む層を形成するステップをさらに含む。そのような一実施形態では、構造を極紫外線(EUV)または電子ビーム放射で露光することは、PAG成分を活性化することを含む。第1のベーキングは、PAG成分を活性化することにより形成された酸を第1および第2のフォトバケット全体に拡散させる。構造を紫外線で露光することは、塩基発生成分を活性化することを含む。第2のベーキングは、第2のフォトバケット中に形成された酸の総量を、塩基発生成分から発生した塩基でクエンチするが、第1のフォトバケット中に形成された総量の酸をクエンチしない。
上記の場合のいずれにおいても、一実施形態では、構造を現像することは、ポジ型現像の場合、標準の水性TMAH現像剤(例えば、0.1M〜1Mの濃度範囲)あるいは、水酸化テトラアルキルアンモニウムをベースとした他の水性またはアルコール性現像液に30〜120秒間浸漬もしくはコーティングし、続いてDI水でリンスすることを含む。別の実施形態では、ネガ型現像の場合、構造を現像することは、シクロヘキサノン、2−ヘプタノン、プロピレングリコールメチルエチルアセテートまたは他のものなどの有機溶媒で浸漬またはコーティングし、続いてヘキサン、ヘプタン、シクロヘキサンなどの別の有機溶媒でリンスすることを含む。
例示的な実施形態では、上述の手法は、いわゆるフォトバケットを使用する手法に基づいており、すべての可能なフィーチャ、例えばビアは、基板に予めパターニングされている。次に、フォトレジストがパターニングされたフィーチャに充填され、リソグラフィ工程は単にビア開口部形成のための選択ビアを選択するために使用される。特定の実施形態では、リソグラフィ工程を使用して、上述のように、2段階ベークフォトレジストを含む複数のフォトバケットの上に比較的大きな孔を画定する。2段階ベークフォトレジストフォトバケット手法は、関心のあるビアを選択する能力を保持しながら、より大きい限界寸法(CD)および/またはオーバーレイにおける誤差を可能にする。
本開示の一実施形態による、例えばフォトバケット用のレジストの画像トーン反転について説明する。本明細書に記載の1つまたは複数の実施形態は、パターンの反転(例えば、孔のポストへの反転)を可能にするための特殊な特性を有する材料の種類、ならびに関連する処理手法およびそれから生じる構造に関する。ある種類の材料は、ある種類の軟質材料、例えばフォトレジスト様材料であってもよい。一般的な方法として、レジスト様材料を予めパターニングされたハードマスクに堆積する。次いで、レジスト様材料を高解像度リソグラフィツール、例えば極紫外線(EUV)処理ツールを用いて選択することができる。一方、レジスト様材料は、その代わりに、最終的に製造された構造内に恒久的に残るように、例えば金属ライン間に切れ目を形成する層間誘電体(ILD)材料または構造(「プラグ」)として残すことができる。次世代のプラグのパターニングに予想されるオーバーレイ(エッジ配置)の問題は、本明細書に記載の1つまたは複数の手法によって対処することができる。
より具体的には、本明細書に記載の1つまたは複数の実施形態は、フォトレジスト層パターンを破壊することなくパターニングされたフォトレジスト層内の孔(「バケット」)を埋めることを可能にする特定の特性を有するスピンオン誘電体(例えばILD)の使用に関する。第1に、スピンオン誘電体材料は、フォトレジストと誘電体材料とを溶解しないかまたは混合しない溶媒中に導入される。孔の良好な充填性が要求されることを理解されたい。スピンオン誘電体膜の初期架橋(または硬化)は、フォトレジストとスピンオン誘電体が混ざり合い、パターン情報を失うことのない条件下で達成される。パターンが反転されると、バケット内の材料は、ベーキング/硬化によって、k値、モジュラス、エッチング選択性などの所望の特性を有する誘電体に変換される。そのような材料に限定されないが、1,3,5−トリシラシクロヘキサンビルディングブロックに基づくスピンオン誘電体材料は、上記の基準を満たすために実施することができる。そのような材料(または他のシリコンベースの誘電体)の溶解性の喪失を伴う架橋は、酸、塩基またはルイス酸触媒プロセスを使用することによって、熱的にまたはより低い温度で開始することができる。一実施形態では、そのような低温触媒は、本明細書に記載の方法の実施にとって重要である。
一実施形態では、本明細書に記載の手法は、ネガ型パターンを生成するために(例えばポジ型材料から得られる)最良の撮像性能を得ることを含み、最終薄膜は求められる材料特性を有する。最終的な材料特性は、高性能の低k誘電体/ILD材料の特性と類似している可能性がある。対照的に、誘電体膜の直接パターニングのための最新技術の選択肢は制限されており、将来のスケールダウンされた技術世代のために製造可能であるために必要なリソグラフィ性能を示すとは思われない。
本明細書に記載の実施形態によれば、図31および図32A〜図32Hに関連して以下でさらに詳細に説明するように、ILD材料に予めパターニングされたトレンチが化学増幅型フォトレジストで充填される。高解像度リソグラフィ(例えば、EUV)を使用して、トレンチ内の選択された孔が従来のポジ型処理によって露光され除去される。この段階で、空孔は予備触媒層で処理される。そのような一実施形態では、予備触媒層は自己組織化単層(SAM)含有付着触媒層である。次いで、得られた装飾された孔は、付随する過剰負担と共に誘電体前駆体で充填される。孔内の触媒の局在化(または近接)は、孔内のみでの選択的架橋および誘電体の硬化をもたらす。過剰負担およびフォトレジストが除去され、続いて(必要ならば)誘電体の最終硬化およびメタライゼーションプロセスが続く。
本開示の一実施形態によれば、本明細書に記載されている手法の重要なフィーチャは、様々な厚さの過剰負担と共に様々なパターン密度に対応することを含む。一実施形態では、架橋は孔の中または近傍でのみ起こり、そして最終的に平坦化によって(例えば化学機械研磨によって)除去される過剰負担があるので、そのような適応が可能になる。一実施形態では、孔の中の誘電体材料の選択的架橋は、過剰負担の領域でも同じ効果をもたらすことなく行われる。特定の実施形態では、ポジ型リソグラフィパターニングおよび現像に続いて、親水性Si−OH終端表面が孔内に露出され、どこでもフォトレジストが除去されている。親水性表面は、フォトレジストコーティングの前に存在してもよく、または例えば水酸化テトラメチルアンモニウム(TMAH)現像またはその後のリンスの間に形成されてもよい。露光および現像されていないフォトレジストは、特徴的な穏やかにまたは強く疎水性の性質を維持し、したがって、パターニングプロセスは、親水性および疎水性領域を効果的に作り出すことを理解されたい。
一実施形態では、露出した親水性表面は、誘電体材料を架橋するのに必要な触媒または予備触媒のいずれかを担持する表面グラフト剤で官能化されている。その後の誘電体のコーティングは、上述したように、また以下でより詳細に説明するように、過剰負担で孔を埋めることにつながる。例えば低温ベーキングによる予備触媒の活性化および制御された拡散の際に、誘電体材料は、過剰負担で、すなわち孔の直上で生じる架橋を最小限にして孔内で選択的に架橋される。次に、過剰負担の誘電体材料をキャスティング溶媒または他の溶媒への溶解を使用して除去することができる。当然のことながら、除去プロセスはフォトレジストも除去することができ、またはフォトレジストは別の溶媒を用いてまたはアッシングプロセスによって除去することができる。一実施形態では、トーンを反転して、誘電体材料を、メタライゼーションまたは他の処理の前に比較的高い温度でベーキング/硬化することができる。
本明細書に記載の1つまたは複数の実施形態によれば、触媒または予備触媒を孔に取り付けるためのいくつかの手法がある。いくつかの誘電体材料については、強いブレンステッド酸が必要とされる。他の場合には、強いルイス酸を使用することができる。本明細書での説明を容易にするために、「酸」という用語は両方のシナリオを指すのに使用される。一実施形態では、触媒または予備触媒の直接吸着が用いられる。このシナリオでは、触媒は親水性表面上にコーティングされ、そしてH結合または他の静電相互作用を介して強く保持される。その後の誘電体材料のコーティングは、熱または他の活性化が所望の架橋化学を開始するところの、孔内に局在する酸および誘電体前駆体をもたらす。例示的な実施形態では、Si−OHに富む表面と強いルイス酸B(Cとの反応により、Si−O−B(Cが形成される。この結果として生じるルイス酸は、非触媒プロセスよりも比較的低い温度でヒドロシラン前駆体分子の架橋を触媒するために使用される。一実施形態では、使用される大きなサイズの触媒は、過剰負担領域への拡散を最小限に抑える。
別の実施形態では、手法は、クロロ−、アルコキシ−、およびアミノシランなどのシラン化学物質、またはシロキサン、塩化シリル、アルケン、アルキン、アミン、ホスフィン、チオール、ホスホン酸またはカルボン酸を含み得る他の表面グラフト基を介した触媒または予備触媒の共有結合を含む。このシナリオでは、触媒または予備触媒はグラフト剤に共有結合している。例えば、オニウム塩に基づく周知の酸発生剤(例えば、光または熱の)は、シロキサン(例えば、[(MeO)Si−CH CH CHSR] [X]、ここで、R=アルキルまたはアリール基、X=トリフレート、ノナフレート、H−B(C、BFなどの弱配位アニオン)に付着され得る。触媒または予備触媒は、関心のあるILDに選択的に付着させるか、または熱、ドライエッチング、またはウェットエッチングプロセスを使用してレジストから選択的に除去することができる。さらに別の実施形態では、触媒または予備触媒は、同様の技術を用いてフォトレジストコーティングの前に導入される。このシナリオでは、効果的であるためには、グラフトされた材料はリソグラフィを妨害してはならず、その後の処理に耐えなければならない。
本明細書に記載の概念を実証するための例示的な媒体として、図31は、本開示の一実施形態による、1つのレジストラインに孔が形成された、層間誘電体(ILD)ラインとレジストラインの交互に配置されたパターンの斜視図である。図31を参照すると、パターン3100は、交互に配置されたILDライン3102とレジストライン3104を含む。例えば、従来のリソグラフィによって、レジストライン3104のうちの1つに孔3106が形成される。以下に説明するように、図32A〜図32Hに関連して、パターン3100などのパターンはトーン反転を施されることができる。
例示的なプロセスフローでは、図32A〜図32Hは、本開示の一実施形態による、ボトムアップ架橋を使用した誘電体による画像階調反転を含む製造プロセスにおける断面図である。
図32Aは、ILD材料3202内のトレンチ3204の予めパターニング後の開始構造の断面図である。トレンチ3204のうちの選択されたものは化学増幅型フォトレジスト3206で充填され、他のものは未充填トレンチ(または図31に示すように未充填トレンチ部分)を提供するように処理されている。例えば、一実施形態では、高解像度リソグラフィ(例えば、極紫外線(EUV)リソグラフィ)を使用して、トレンチ3204内の選択された孔が従来のポジ型処理により露光され除去される。
簡単にするために描かれていないが、領域3208において、充填されていないトレンチ(または充填されたトレンチ内に形成された孔)が、下地の金属ラインなどの下地のフィーチャを露出させ得ることを理解されたい。さらに、一実施形態では、開始構造は、一定のピッチで離間し、一定の幅を有するトレンチを有するグレーティング状パターンにパターニングすることができる。例えば、パターンは、ピッチを半分にするか、またはピッチを4分の1にする手法によって製造することができる。いくつかのトレンチは、下地のビアまたはより低いレベルのメタライゼーションラインと関連付けることができる。
図32Bは、一実施形態では自己組織化単分子層(SAM)含有触媒材料である予備触媒層3210で空のトレンチまたは孔を処理した後の図32Aの構造の断面図である。そのような一実施形態では、図示するように、予備触媒層3210はILD3202の露出部分上に形成されるが、レジスト3206の露出部分または領域3208などの任意の露出金属上には形成されない。一実施形態では、予備触媒層3210は、図32Aの構造を気相中の予備触媒形成分子、または溶媒に溶解した分子にさらすことによって形成される。一実施形態では、予備触媒層は、上述のように直接吸着によって形成された触媒または予備触媒の層である。別の実施形態では、予備触媒層3210は、共有結合によって形成された触媒または予備触媒の層である。
図32Cは、得られた装飾孔を誘電体材料3212で充填した後の図32Bの構造の断面図である。誘電体材料3212は、トレンチを充填するかまたは孔を開けられた部分3212Aと、トレンチまたは孔の上の部分3212Bと、を有する。部分3212Bは、本明細書では過剰負担と呼ばれる。一実施形態では、誘電体材料3212はスピンオン誘電体材料である。
一実施形態では、誘電体材料3212は、触媒が水、テトラエトキシオルトシリケート(TEOS)、ヘキサエトキシトリシラシクロヘキサン、または類似の多官能性架橋剤などの架橋剤とのSi−H結合の反応を媒介するヒドロシラン前駆体分子に基づく材料の種類から選択される。そのような一実施形態では、誘電体材料3212はトリシラシクロヘキサンを含み、これは後にO基によって一緒に結合することができる。他の実施形態では、アルコキシシラン系誘電体前駆体またはシルセスキオキサン(SSQ)が誘電体材料3212に使用される。
図32Dは、誘電体材料3212の一部3212Aの架橋後の図32Cの構造の断面図である。一実施形態では、充填されていないトレンチまたは孔内の触媒(例えば、予備触媒層3210)の局在化(または近接)により、選択的架橋が生じて架橋領域3214が形成され、孔内の誘電体材料3212のみの部分3212Aが硬化する。すなわち、一実施形態では、誘電体材料3212の一部3212Bは架橋されていない。一実施形態では、領域3214を形成するために使用される架橋は、熱硬化プロセスによって、すなわち加熱によって行われる。
一実施形態では、誘電体材料3212はトリシラシクロヘキサンを含み、領域3214を形成するのに使用される架橋は、トリシラシクロヘキサンをO基によって互いに結合することを含む。図33Aを参照すると、トリシラシクロヘキサン3300が示されている。図33Bを参照すると、2つの架橋(XL)トリシラシクロヘキサン分子3300が架橋材料3320を形成する。図33Cは、連結トリシラシクロヘキサン構造3340の理想的な図である。構造3340はオリゴマーの複雑な混合物を表すのに使用されるが、共通点はH−キャップトリシラシクロヘキサン環であることを理解されたい。
図32Eは、誘電体材料3212の過剰負担領域3212Bを除去した後の図32Dの構造の断面図である。図32Fは、架橋領域3214に対して選択的なレジスト3206の除去後の図32Eの構造の断面図である。一実施形態では、図示するように、レジスト3206は、誘電体材料3212の過剰負担領域3212Bを除去するのに使用される処理工程(例えば、第1のウェット化学現像工程など)とは異なる後続の処理工程(例えば、第2のウェット化学現像工程など)で除去される。しかしながら、別の実施形態では、レジスト3206は、誘電体材料3212の過剰負担領域3212Bを除去するのに使用されたのと同じ処理工程(例えば、ウェット化学現像工程)で除去される。一実施形態では、残りの架橋領域3214は追加の硬化プロセス(例えば、架橋硬化プロセスに続く追加の加熱)を施される。一実施形態では、追加の硬化は、レジスト3206および過剰負担領域3212Bを除去した後に行われる。
図32Gは、金属充填層3216を形成した後の図32Fの構造の断面図である。金属充填層3216は、図32Fからの開口トレンチ(または孔)内に、そして過剰負担領域内に形成することができる。金属充填層は、単一材料層でもよく、または導電性ライナー層および充填層を含むいくつかの層から形成されてもよい。電気めっき、化学気相成長または物理気相成長などの任意の適切な堆積プロセスを使用して金属充填層3216を形成することができる。一実施形態では、金属充填層3216は、これらに限定されないが、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auまたはそれらの合金などの導電材料からなる。
図32Hは、金属充填層を平坦化して金属フィーチャ3218(例えば、金属ラインまたはビア)を形成した後の図32Gの構造の断面図である。一実施形態では、金属フィーチャ3218を形成するための金属充填層3216の平坦化は、化学機械研磨プロセスを使用して行われる。例示的な結果として生じる構造が図32Hに示されており、そこでは金属フィーチャ3218はILD材料3202内の架橋(誘電)領域3214と交互に配置されている。
図32Hの結果として得られる構造は、その後の金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができることを理解されたい。あるいは、図32Hの構造は、集積回路内の最終金属相互接続層を表すことができる。さらに、上記の例は、そうでなければパターニングに必要であり得るエッチング停止層または金属キャッピング層を図中に含まないことを理解されたい。しかしながら、明確にするために、そのような層は全体的なボトムアップ充填の概念に影響を及ぼさないので図には含まれていない。
再び図32A〜図32Hを参照すると、そのようなパターニング方式は、すべての可能性のある位置を覆う規則的な構造を作成し、続いて所望のフィーチャのみを選択的にパターニングすることを含む統合パターニング手法として実施することができる。交差ライン領域3214は、(例えばプラグとしての)金属ラインの端部間のILDとして最終構造内に残ることができる材料を表す。
本開示の一実施形態による、対角マスクパターニングについて説明する。本明細書に記載の1つまたは複数の実施形態は、特に半導体集積回路用のバックエンドオブライン(BEOL)フィーチャの製造において、オーバーレイを改善するための対角ハードマスクパターニングに関する。対角ハードマスクに基づくパターニングの用途は、193nm液浸リソグラフィ、極紫外線(EUV)リソグラフィ、相互接続製造、オーバーレイ改善、オーバーレイバジェット、プラグパターニング、ビアパターニングによる実施を含むが、これらに限定されない。実施形態は、BEOL構造の自己整合製造に特に有用であり得る。
一実施形態では、本明細書に記載の手法は、既存の手法と比較してビアおよびプラグのオーバーレイマージンの増加を許容する統合方式を含む。そのような一実施形態では、すべての可能性のあるビアおよびプラグが予めパターニングされ、レジストで充填されて複数のフォトバケットを形成する。続いて、特定の実施形態では、実際の、最終的な、ビアおよびプラグ製造のためのビアおよびプラグ位置のうちのいくつかを選択するために、EUVまたは193nmリソグラフィが使用される。一実施形態では、対角ラインパターニングは、最近接距離を増加させるために使用され、その結果、オーバーレイバジェットにおいて2の平方根の係数だけ増加する。より具体的には、本明細書に記載の1つまたは複数の実施形態は、既にエッチングされたトレンチを使用してすべてのビアおよびプラグを予め形成するためのサブトラクティブ法の使用を含む。次に追加の工程を使用して、どのビアおよびプラグを保持するかを選択する。そのような工程は、フォトバケットを使用して説明されているが、選択プロセスは、より従来のレジスト露光およびILD埋め戻し手法を使用して実行することもできる。
一態様では、対角ハードマスク手法を実施することができる。一例として、図34A〜図34Xは、本開示の一実施形態による、斜めハードマスクを使用して自己整合ビアおよびプラグをパターニングする方法における様々な工程を表す集積回路層の一部を示す図である。説明する各工程の各図では、断面図および/または平面図および/または斜視図が示されている。これらの図は、本明細書では対応する断面図、平面図および斜視図と呼ばれる。
図34Aは、本開示の一実施形態による、層間誘電体(ILD)層3402上に形成された第1のハードマスク材料層3404の堆積後であるがパターニング前の開始構造3400の断面図である。図34Aを参照すると、パターニングされたマスク3406は、第1のハードマスク材料層3404上またはその上に、その側壁に沿って形成されたスペーサ3408を有する。
図34Bは、本開示の一実施形態による、ピッチ2倍化による第1のハードマスク層のパターニング後の図34Aの構造の断面図である。図34Bを参照すると、パターニングされたマスク3406が除去され、スペーサ3408の得られたパターンが、例えばエッチングプロセスによって第1のハードマスク材料層3404に転写されて、第1のパターニングされたハードマスク3410が形成される。そのような一実施形態では、図34Bに示すように、第1のパターニングされたハードマスク3410はグレーティングパターンで形成される。一実施形態では、第1のパターニングされたハードマスク3410のグレーティング構造は、狭ピッチグレーティング構造である。そのような特定の実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができる(マスク3406)が、図34Aおよび34Bに示すように、スペーサマスクパターニングを使用することによってピッチを半分にすることができる。さらに、図示していないが、2回目のスペーサマスクパターニングによって元のピッチを4分の1にすることができる。したがって、図34Bの第1のパターニングされたハードマスク3410のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。
図34Cは、本開示の一実施形態による、第2のパターニングされたハードマスクの形成後の図34Bの構造の断面図である。図34Cを参照すると、第2のパターニングされたハードマスク3412が第1のパターニングされたハードマスク3410と交互に形成されている。このような一実施形態では、第2のパターニングされたハードマスク3412は、第2のハードマスク材料層(例えば、第1のハードマスク材料層3404とは異なる組成を有する)の堆積によって形成される。次に、第2のハードマスク材料層を、例えば化学機械研磨(CMP)によって平坦化して、第2のパターニングされたハードマスク3412を提供する。
図34Dは、本開示の一実施形態による、ハードマスクキャップ層(第3のハードマスク層)の堆積後の図34Cの構造の断面図である。図34Dを参照すると、ハードマスクキャップ層3414が、第1のパターニングされたハードマスク3410および第1のパターニングされたハードマスク3412の上に形成されている。そのような一実施形態では、ハードマスクキャップ層3414の材料組成およびエッチング選択性は、第1のパターニングされたハードマスク3410および第1のパターニングされたハードマスク3412と比較して異なる。
図34Eは、本開示の一実施形態による、ハードマスクキャップ層のパターニング後の図34Dの構造の斜視図である。図34Eを参照すると、パターニングされたハードマスクキャップ層3414が第1のパターニングされたハードマスク3410および第1のパターニングされたハードマスク3412上に形成されている。そのような一実施形態では、パターニングされたハードマスクキャップ層3414は、図34Eに示すように、第1のパターニングされたハードマスク3410および第1のパターニングされたハードマスク3412のグレーティングパターンと直交するグレーティングパターンで形成される。一実施形態では、パターニングされたハードマスクキャップ層3414によって形成されたグレーティング構造は、狭ピッチグレーティング構造である。そのような一実施形態では、狭いピッチは従来のリソグラフィによって直接達成することはできない。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、ピッチはスペーサマスクパターニングの使用によって半分にすることができる。さらに、元のピッチは、2回目のスペーサマスクパターニングによって4分の1にすることができる。したがって、図34Eのパターニングされたハードマスクキャップ層3414のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。ハードマスク層(またはハードマスクキャップ層3414などのハードマスクキャップ層)の形成およびパターニングに関する本明細書での説明は、一実施形態では、ブランケットハードマスクまたはハードマスクキャップ層の上のマスク形成を含むことを理解されたい。マスク形成は、リソグラフィ処理に適した1つまたは複数の層の使用を含むことができる。1つまたは複数のリソグラフィ層をパターニングすると、そのパターンは、エッチングプロセスによってハードマスクまたはハードマスクキャップ層に転写され、パターニングされたハードマスクまたはハードマスクキャップ層が提供される。
図34Fは、本開示の一実施形態による、第1のパターニングされたハードマスクのさらなるパターニング後の図34Eの構造の斜視図および対応する平面図である。図34Fを参照すると、パターニングされたハードマスクキャップ層3414をマスクとして使用して、第1のパターニングされたハードマスク3410をさらにパターニングして第1のパターニングされたハードマスク3416を形成する。このプロセスでは、第2のパターニングされたハードマスク3412はさらにパターニングされない。一実施形態では、第1のパターニングされたハードマスク3410は、図34Fに示すようにILD層3402の領域を露出させるのに十分な深さまでパターニングされる。
図34Gは、本開示の一実施形態による、ハードマスクキャップ層を除去し、第4のハードマスク層を形成した後の図34Fの構造の平面図である。図34Gを参照すると、ハードマスクキャップ層(第3のハードマスク層)3414が、例えばウェットエッチングプロセス、ドライエッチングプロセス、またはCMPプロセスによって除去される。一実施形態では、堆積およびCMPプロセスによって、得られた構造上に第4のハードマスク層3418が形成される。そのような一実施形態では、第4のハードマスク層3418は、第2のパターニングされたハードマスク層3412および第1のパターニングされたハードマスク層3416の材料とは異なる材料層の堆積によって形成される。
図34Hは、本開示の一実施形態による、第1の対角ハードマスク層の堆積およびパターニング後の図34Gの構造の平面図である。図34Hを参照すると、第1の対角ハードマスク層3420が、図34Gの第4のハードマスク層3418、第2のパターニングされたハードマスク層3412、および第1のパターニングされたハードマスク層3416の配置上に形成されている。一実施形態では、第1の対角ハードマスク層3420は、第4のハードマスク層3418の交互に配置されたラインを覆うために、例えば第2のパターンハードマスク層3412のグレーティング構造に対して45度で、本質的にまたは高度に対称であるパターンを有する。一実施形態では、第1の対角ハードマスク層3420の対角パターンは、最小限界寸法(CD)で、すなわちピッチ半減またはピッチ4分の1を使用せずに印刷される。第4のハードマスク層3418の隣接する行のある領域が露出したままである限り、個々のラインは最小CDよりさらに大きく印刷されてもよいことを理解されたい。とにかく、図34Hの第1の対角ハードマスク層3420のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。(第1の対角ハードマスク層3420などの)対角ハードマスク層の形成およびパターニングに関する本明細書での説明は、一実施形態では、ブランケットハードマスク層の上のマスク形成を含むことを理解されたい。マスク形成は、リソグラフィ処理に適した1つまたは複数の層の使用を含むことができる。1つまたは複数のリソグラフィ層をパターニングすると、パターンはエッチングプロセスによってハードマスク層に転写されて、対角的にパターニングされたハードマスク層が提供される。特定の実施形態では、第1の対角ハードマスク層は炭素系ハードマスク層である。
図34Iは、本開示の一実施形態による、第4のハードマスク層の露出領域を除去した後の図34Hの構造の平面図である。図34Iを参照すると、第1の対角ハードマスク層3420をマスクとして使用して、第4のハードマスク層3418の露出領域が除去される。そのような一実施形態では、任意の部分的露出が第4のハードマスク材料の部分的露出ブロックの完全除去をもたらすように、第4のハードマスク層3418の露出領域は、等方性エッチングプロセス(例えば、ウェットエッチングプロセスまたは非異方性プラズマエッチングプロセス)によって除去される。一実施形態では、第4のハードマスク層3418が除去された領域は、図34Iに示すように、ILD層3402の一部を露出させる。
図34Jは、本開示の一実施形態による、第1の対角ハードマスク層を除去した後の図34Iの構造の平面図である。図34Jを参照すると、第1の対角ハードマスク層3420が除去されて、第1のパターニングされたハードマスク層3416および第2のパターニングされたハードマスク層3412が露出される。第1の対角ハードマスク層3420によって等方性エッチングから保護された第4のハードマスク層3418の一部もまた露出される。したがって、図34Jの結果として得られるグリッド状パターンの交互に配置された各行に沿って、または交互に配置された各列の下に、第4のハードマスク層3418の領域が下地のILD層3402の露出領域と交互に配置される。すなわち、結果は、ILD層3402領域および第4のハードマスク層領域3418の市松模様パターンである。そのため、2の平方根の係数による増加は、最近接距離3422(方向bの距離として示される)において達成される。特定の実施形態では、第1の対角ハードマスク層3420は炭素系ハードマスク材料であり、プラズマアッシングプロセスで除去される。
図34Kは、本開示の一実施形態による、第1の複数のフォトバケット形成後の図34Jの構造の平面図である。図34Kを参照すると、ILD層3402のどの部分も露出しないように、第1の複数のフォトバケット3424がILD層3402の上の開口部に形成される。この段階で、フォトバケット3424は、結果として得られるメタライゼーション層内の可能なすべてのビア位置の前半を表す。
図34Lは、本開示の一実施形態による、フォトバケット露光および現像して選択されたビア位置を残し、続いてビア開口部エッチングを下地のILDに行った後の図34Kの構造の平面図および対応する断面図(a−a'軸線に沿う)である。図34Lを参照すると、選択フォトバケット3424が露光および除去されて選択されたビア位置3426を提供する。ビア位置3426は、選択的プラズマエッチングプロセスなどの選択的エッチングプロセスを施されて、ビア開口部を下地のILD層3402内に延長し、パターニングされたILD層3402'を形成する。エッチングは、残りの未露光のフォトバケット3424に対して選択的であり、第1のパターニングされたハードマスク層3416に対して選択的であり、第2のパターニングされたハードマスク層3412に対して選択的であり、かつ第4のハードマスク層3418に対して選択的である。
図34Mは、本開示の一実施形態による、残りのフォトバケットを除去し、続いて第5のハードマスク材料を形成した後の図34Lの構造の平面図および対応する断面図(b−b'軸線に沿う)である。図34Mを参照すると、第1の複数のフォトバケット3424の残りの部分は、例えば選択的エッチングまたはアッシングプロセスによって除去される。露出されたすべての開口部(例えば、ビア位置3426と共にフォトバケット3424の除去時に形成された開口部)は、次いで、ハードマスク材料3428、例えば炭素系ハードマスク材料で充填される。
図34Nは、本開示の一実施形態による、第4のハードマスク層の残りの領域を除去した後の図34Mの構造の平面図および対応する断面図(c−c'軸線に沿う)である。図34Nを参照すると、第4のハードマスク層3418の残りのすべての領域が、例えば選択的エッチングまたはアッシングプロセスによって除去される。一実施形態では、残りの第4のハードマスク層3418が除去された領域は、図34Nに示すように、パターニングされたILD層3402'の一部を露出させる。
図34Oは、本開示の一実施形態による、第2の複数のフォトバケット形成後の図34Nの構造の平面図および対応する断面図(d−d'軸線に沿う)である。図34Oを参照すると、パターニングされたILD層3402'のどの部分も露出しないように、第2の複数のフォトバケット3430が、パターニングされたILD層3402'の上の開口部に形成される。この段階で、フォトバケット3430は、結果として得られるメタライゼーション層内のすべての可能なビア位置の後半を表す。
図34Pは、本開示の一実施形態による、フォトバケット露光および現像して選択されたビア位置を残し、続いてビア開口部エッチングを下地のILDに行った後の図34Oの構造の平面図および対応する断面図(e−e'軸線に沿う)である。図34Pを参照すると、選択フォトバケット3430が露光および除去されて、選択されたビア位置3432を提供する。ビア位置3432は、選択的プラズマエッチングプロセスなどの選択的エッチングプロセスを施されて、ビア開口部を下地のパターニングされたILD層3402'内に延長し、さらなるパターニングされたILD層3402''を形成する。エッチングは、残りの未露光のフォトバケット3430に対して選択的であり、第1のパターニングされたハードマスク層3416に対して選択的であり、第2のパターニングされたハードマスク層3412に対して選択的であり、かつハードマスク材料3428に対して選択的である。
図34Qは、本開示の一実施形態による、第5のハードマスク材料の除去、トレンチエッチング、およびそれに続く犠牲層形成後の図34Pの構造の平面図および対応する断面図(f−f'軸線に沿う)である。図34Qを参照すると、ハードマスク材料層3428が除去され、可能性のあるビア位置の元の前半および後半のすべてが露出している。次に、パターニングされたILD層3402''をパターニングして、ビア開口部3432、3426を含むILD層3402''を、ビア開口部が形成されていなかったトレンチ3436と共に形成する。以下に説明するように、トレンチ3436は最終的に金属ライン製造に使用される。トレンチエッチングが完了すると、すべての開口部(ビア開口部3426、3432およびトレンチ3436を含む)は犠牲材料3434で充填される。一実施形態では、ハードマスク材料層3428は炭素系ハードマスク材料であり、プラズマアッシングプロセスで除去される。一実施形態では、犠牲材料3434は、犠牲光吸収材料(SLAM)などの流動性有機または無機材料である。犠牲材料3434は、図34Qに示すように、第1のパターニングされたハードマスク3416および第2のパターニングされたハードマスク3412のレベルまで形成されるか、またはそのレベルに平坦化される。
図34Rは、本開示の一実施形態による、第2の対角ハードマスク層の堆積およびパターニング後の図34Qの構造の平面図である。図34Rを参照すると、図34Qの犠牲材料3434、第2のパターニングされたハードマスク層3412、および第1のパターニングされたハードマスク層3416の配置上に、第2の対角ハードマスク層3438が形成されている。一実施形態では、第2の対角ハードマスク層3438は、第1のパターニングされたハードマスク層3416の交互に配置されたラインを覆うために、例えば第2のパターンハードマスク層3412のグレーティング構造に対して45度で、本質的にまたは高度に対称であるパターンを有する。一実施形態では、第2の対角ハードマスク層3438の対角パターンは、最小限界寸法(CD)で、すなわちピッチ半減またはピッチ4分の1を使用せずに印刷される。第1のパターニングされたハードマスク層3416の隣接する行のある領域が露出したままである限り、個々のラインは最小CDよりさらに大きく印刷されてもよいことを理解されたい。とにかく、図34Rの第2の対角ハードマスク層3438のグレーティング状パターンは、一定のピッチで離間し、一定の幅を有するハードマスクラインを有することができる。(第2の対角ハードマスク層3438などの)対角ハードマスク層の形成およびパターニングに関する本明細書での説明は、一実施形態では、ブランケットハードマスク層の上のマスク形成を含むことを理解されたい。マスク形成は、リソグラフィ処理に適した1つまたは複数の層の使用を含むことができる。1つまたは複数のリソグラフィ層をパターニングすると、パターンはエッチングプロセスによってハードマスク層に転写されて、対角的にパターニングされたハードマスク層が提供される。特定の実施形態では、第2の対角ハードマスク層3438は炭素系ハードマスク層である。
図34Sは、本開示の一実施形態による、第1のパターニングされたハードマスク層の露出領域の除去、第2の対角ハードマスク層の除去、およびその後の第3の複数のフォトバケット形成後の図34Rの構造の平面図および対応する断面図(g−g'軸線に沿う)である。図34Sを参照すると、第2の対角ハードマスク層3438をマスクとして使用して、第1のパターニングされたハードマスク層3416の露出領域が除去される。このような一実施形態では、第1のパターニングされたハードマスク層3416の露出領域は、任意の部分的露出が第1のパターニングされたハードマスク層3416の部分的露出ブロックの完全な除去をもたらすように、等方性エッチングプロセス(例えば、ウェットエッチングプロセスまたは非異方性プラズマエッチングプロセス)によって除去される。図34Sを再度参照すると、第2の対角ハードマスク層3438が除去されて、犠牲材料3434および第2のパターニングされたハードマスク層3412が露出している。また、第2の対角ハードマスク層3438によって等方性エッチングから保護された第1のパターニングされたハードマスク層3416の一部も露出されている。特定の実施形態では、第2の対角ハードマスク層3438は炭素系ハードマスク材料であり、プラズマアッシングプロセスで除去される。図34Sを再度参照すると、パターニングされたILD層3402'''のどの部分も露出されないように、第3の複数のフォトバケット3440が、パターニングされたILD層3402'''の上の得られた開口部に形成される。この段階で、フォトバケット3440は、結果として得られるメタライゼーション層内のすべての可能なプラグ位置の前半を表す。したがって、図34Sのグリッド状パターンの交互に配置された各行に沿って、または交互に配置された各列の下に、第1のパターニングされたハードマスク層3416の領域がフォトバケット3440と交互に配置される。すなわち、結果は、フォトバケット3440領域および第1のパターニングされたハードマスク層3416領域の市松模様のパターンである。そのため、2の平方根の係数による増加は、最近接距離3442(方向bの距離として示される)において達成される。
図34Tは、本開示の一実施形態による、プラグ位置選択およびトレンチエッチング後の図34Sの構造の平面図および対応する断面図(h−h'軸線に沿う)である。図34Tを参照すると、図34Sからのフォトバケット3440が、プラグが形成されない位置3442から除去されている。プラグが形成されるように選択された位置では、フォトバケット3440は保持される。一実施形態では、プラグが形成されない位置3442を形成するために、リソグラフィを使用して対応するフォトバケット3440を露光する。露光されたフォトバケットはその後現像剤によって除去することができる。次に、パターニングされたILD層3402'''をパターニングして、位置3442に形成されたトレンチ3444を含むILD層3402''''を形成する。以下に説明するように、トレンチ3444は最終的に金属ライン製造に使用される。
図34Uは、本開示の一実施形態による、残りの第3のフォトバケットを除去し、続いてハードマスクを形成した後の図34Tの構造の平面図および対応する断面図(i−i'軸線に沿う)である。図34Uを参照すると、残りのすべてのフォトバケット3440が、例えばアッシングプロセスによって除去されている。残っているすべてのフォトバケット3440を除去すると、すべての開口部(トレンチ3444を含む)がハードマスク材料層3446で充填される。一実施形態では、ハードマスク材料層3446は、炭素系ハードマスク材料である。
図34Vは、本開示の一実施形態による、第1のパターニングされたハードマスクの除去および第4の複数のフォトバケット形成後の図34Vの構造の平面図および対応する断面図(j−j'軸線に沿う)である。図34Vを参照すると、第1のパターニングされたハードマスク層3416が除去され(例えば、選択的ドライまたはウェットエッチングプロセスによって)、パターニングされたILD層3402''''のどの部分も露出されないように、第4の複数のフォトバケット3448がパターニングILD層3402''''の上の得られた開口部に形成される。この段階におけるフォトバケット3448は、結果として得られるメタライゼーション層内のすべての可能なプラグ位置の後半を表す。
図34Wは、本開示の一実施形態による、プラグ位置の選択およびトレンチエッチング後の図34Vの構造の平面図および対応する断面図(k−k'軸線に沿う)である。図34Wを参照すると、図34Vのフォトバケット3448が、プラグが形成されないであろう位置3450から除去されている。プラグが形成されるように選択された位置では、フォトバケット3448は保持される。一実施形態では、プラグが形成されない位置3450を形成するために、リソグラフィを使用して対応するフォトバケット3448を露光する。露光されたフォトバケットはその後現像剤によって除去することができる。次に、パターニングされたILD層3402''''をパターニングして、位置3450に形成されたトレンチ3452を含むILD層3402''''を形成する。以下に説明するように、トレンチ3452は最終的に金属ライン製造に使用される。
図34Xは、本開示の一実施形態による、残った第4のフォトバケット、ハードマスク材料層および犠牲材料の除去、ならびにその後の金属充填後の図34Wの構造の平面図ならびに対応する第1の断面図(l−l'軸線に沿う)および第2の断面図(m−m'軸線に沿う)である。図34Xを参照すると、残った第4のフォトバケット3448、ハードマスク材料層3446および犠牲材料3434が除去されている。そのような一実施形態では、ハードマスク材料層3446は炭素系ハードマスク材料であり、ハードマスク材料層3446と残った第4のフォトバケット3448の両方はプラズマアッシングプロセスで除去される。一実施形態では、犠牲材料3434は異なるエッチングプロセスで除去される。図34Xの平面図を参照すると、メタライゼーション3454は、交互に配置され、第2のパターニングされたハードマスク層3412と同一平面上に形成される。図34Xの平面図のl−l'軸線に沿った第1の断面図を参照すると、メタライゼーション3454は、パターニングされた層間誘電体層3402'''''に形成されたトレンチ3452、3454を充填している(すなわち、図34Wのk−k'軸線に沿った断面図に対応するように)。図34Xの平面図のm−m'軸線に沿った第2の断面図を参照すると、メタライゼーション3454はまた、パターニングされた層間誘電体層3402'''''に形成されたトレンチ3436およびビア開口部3432、3426を充填している(すなわち、図34Qのf−f'軸線に沿った断面図に対応するように)。したがって、メタライゼーション3454を使用して、BEOLメタライゼーション構造などのメタライゼーション構造のために、層間誘電体層に複数の導電ラインおよび導電ビアを形成する。
一実施形態では、メタライゼーション3454は、金属充填および研磨戻しプロセスによって形成される。そのような一実施形態では、第2のパターニングされたハードマスク層3412は、研磨戻しプロセス中に厚さが減少する。そのような特定の実施形態では、厚さは減少するが、図34Xに示すように、第2のパターニングされたハードマスク3412の一部が保持される。したがって、パターニングされた層間誘電体層3402'''''に形成された導電ラインでも導電ビアでもない金属フィーチャ3456は、図34Xにも示すように、第2のパターニングされたハードマスク層と交互に、かつパターニングされた層間誘電体層3402'''''上またはその上(しかしその中ではない)に残る。代替的な特定の実施形態(図示せず)では、第2のパターニングされたハードマスク3412は研磨戻し中に完全に除去される。したがって、導電ラインでも導電ビアでもない金属フィーチャ3456は、最終構造には保持されない。いずれの場合も、図34Xについて説明した構造は、後に続く金属ライン/ビアおよびILD層を形成するための下地としてその後使用することができる。あるいは、図34Xの構造は、集積回路内の最終金属相互接続層を表すことができる。
上記の処理工程は代替的順序で実施されてもよく、すべての工程が実行される必要はなく、および/または追加の処理工程が実行されてもよいことを理解されたい。再び図34Xを参照すると、対角ハードマスクを使用することによるメタライゼーション層の製造はこの段階で完了することができる。同様にして製造された次の層は、全工程の開始をもう一度必要とする可能性が高い。あるいは、従来のデュアルまたはシングルダマシン手法など、他の手法をこの段階で使用して追加の相互接続層を設けることができる。
一実施形態では、本明細書を通して使用されるように、層間誘電体(ILD)材料は、誘電体または絶縁材料の層からなるかまたはそれを含む。適切な誘電体材料の例は、シリコン酸化物(例えば、二酸化シリコン(SiO))、ドープされたシリコン酸化物、フッ化シリコン酸化物、炭素ドープされたシリコン酸化物、当該技術分野で公知の様々な低k誘電体材料、およびそれらの組み合わせを含む。層間誘電体材料は、例えば化学気相成長(CVD)、物理気相成長(PVD)、または他の堆積方法などの従来技術によって形成することができる。
一実施形態では、本明細書全体を通して使用されるように、金属ラインまたは相互接続ライン材料(およびビア材料)は、1つまたは複数の金属もしくは他の導電性構造からなる。一般的な例は、銅ラインおよび構造の使用であって、それは銅と周囲のILD材料との間にバリア層を含んでも含まなくてもよい。本明細書で使用される場合、金属という用語は、合金、スタック、および複数の金属の他の組み合わせを含む。例えば、金属相互接続ラインは、バリア層(例えば、Ta、TaN、Ti、またはTiNのうち1つまたは複数を含む層)、異なる金属のスタック、または合金などを含むことができる。したがって、相互接続ラインは単一材料層でもよく、あるいは導電性ライナー層および充填層を含むいくつかの層から形成されてもよい。電気めっき、化学気相成長または物理気相成長などの任意の適切な堆積プロセスを使用して相互接続ラインを形成することができる。一実施形態では、相互接続ラインは、これらに限定されないが、Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Auまたはこれらの合金などの導電材料からなる。相互接続ラインは、当技術分野ではトレース、ワイヤ、ライン、金属、または単に相互接続とも呼ばれることがある。
一実施形態では、本明細書を通して同様に使用されるように、ハードマスク材料は、層間誘電体材料とは異なる誘電体材料からなる。一実施形態では、互いに異なる、またその下地の誘電体層および金属層に対して異なる成長またはエッチング選択性を提供するために、異なるハードマスク材料を異なる領域で使用することができる。いくつかの実施形態では、ハードマスク層は、シリコン窒化物層(例えば、窒化シリコン)もしくはシリコン酸化物層、またはその両方、あるいはそれらの組み合わせを含む。他の適切な材料は炭素系材料を含んでもよい。別の実施形態では、ハードマスク材料は金属種を含む。例えば、ハードマスクまたは他の上にある材料は、チタンまたは別の金属の窒化物(例えば、窒化チタン)の層を含んでもよい。酸素などの潜在的により少ない量の他の材料が、これらの層のうちの1つまたは複数に含まれてもよい。あるいは、特定の実施態様に応じて、当技術分野で公知の他のハードマスク層を使用してもよい。ハードマスク層は、CVD、PVD、または他の堆積方法によって形成されてもよい。
一実施形態では、本明細書全体を通して使用されるように、リソグラフィ工程は、193nm液浸リソグラフィ(i193)、EUVおよび/またはEBDWリソグラフィなどを使用して行われる。ポジ型レジストまたはネガ型レジストを使用することができる。一実施形態では、リソグラフィマスクは、トポグラフィックマスキング部分、反射防止コーティング(ARC)層、およびフォトレジスト層からなる三層マスクである。そのような特定の実施形態では、トポグラフィックマスキング部分は炭素ハードマスク(CHM)層であり、反射防止コーティング層はシリコンARC層である。
本明細書に記載の実施形態による、フォトバケットのための光学的およびSEM測定学について説明する。リソグラフィパターンを画定するための予めパターニングされたハードマスクの使用は、そのようなパターニングの露光に対する応答がデジタル(2値)であり、フィーチャサイズが量子化されるので、オーバーレイ測定を困難にする可能性がある。したがって、下地のマスクパターンのサイズは、オーバーレイの測定可能な最小単位となり、これは有効なプロセス制御には大きすぎる。以下に説明する手法は、下地の予めパターニングされたハードマスクサイズよりはるかに小さいオーバーレイ測定を可能にするだけでなく、オーバーレイシフトの信号応答の何倍も増幅された信号応答を提供し、非常に正確なオーバーレイ測定を可能にする。
本明細書で説明する概念の構造的枠組みを提供するために、図35A〜図35Dは、本開示の一実施形態による、予めパターニングされたハードマスクを使用したパターニング処理方式の様々な工程を表す断面図および対応する上面図である。
図35Aを参照すると、第1の予めパターニングされたハードマスク3502および第2の予めパターニングされたハードマスク3504が下地の層3506の上に形成されている。すべての可能なビアまたはプラグ位置は、予めパターニングされたハードマスク3502および第2の予めパターニングされたハードマスク3504の開口部3508として露出されている。
図35Bを参照すると、複数のフォトレジスト層部分3510が図35Aの開口部3508に形成されている。
図35Cを参照すると、複数のフォトレジスト層部分3510のうちの選択されたもの3512がリソグラフィ露光3514によって露光される。リソグラフィ露光3514によって露光された複数のフォトレジスト層部分3510のうちの選択されたもの3512は、最終的に開口または選択されることになるビアまたはプラグ位置を表すことができる。
しかし、本開示の一実施形態によれば、リソグラフィ露光3514は、図35CのX方向にオーバーレイ誤差を有する。例えば、断面図の左側の露光されたフォトレジスト層3512は、フォトレジストの一部がリソグラフィ露光3514によって露光されない程度まで右にシフトされている。上から見たすべての露光されたフォトレジスト層3512は、フォトレジストの一部がリソグラフィ露光3514によって露光されない程度まで右にシフトされている。さらに、シフトは、図35Cに示すように、隣接する位置を部分的に露出させるのに十分なほど大きくなり得る。
図35Dを参照すると、選択位置3512は、露光されたフォトレジストが除去されて開口部3516が設けられる。開口部3516は、半導体構造の特定の層に応じて、その後のビアまたはプラグの製造に使用することができる。
しかしながら、オーバーレイ誤差のために位置3512の不十分な露光が実行される場合、いくつかの開口部3516は壊滅的に完全に開口されないことがある。一般に、露光3514は、開口部3516を設けるために、複数のフォトレジスト層部分3510のうちの選択されたもの3512を完全に除去するために、臨界数の電子または光子を提供しなければならない。ある程度のオーバーレイ誤差は許容され得るが、実質的なオーバーレイ誤差は許容されないことがあり得る。さらに、以下により詳細に説明するように、すべての開口部3516が完全に開口されている場合であっても、次の層の製造を成功させるには開口部3516の少なくともある程度に基づくオーバーレイ測定が必要となる。
本明細書に記載の1つまたは複数の実施形態は、下地の層に関するオーバーレイ情報を抽出するために層上にマルチピッチグレーティング構造を使用することを含む手法に関する。本明細書に記載の実施形態は、光学的測定ツールを使用することによって、予めパターニングされたハードマスク(例えばビアまたはプラグ)の最上部にパターニングされた層と下地の予めパターニングされたハードマスク層(例えばフォトバケット)との間のオーバーレイ測定に関連する問題を解決するために実施することができる。一実施形態では、グレーティングは、下地の予めパターニングされたグレーティングとは異なるが下地のグレーティングのうちの1つに平行な2つ以上のピッチでパターニングされる。ハードマスクパターンに対する現在の層のオーバーレイのシフトは、オーバーレイと共に移動し、オーバーレイ誤差に比例する光信号をもたらす。比較すると、光学的オーバーレイは通常、実際のフィーチャを含み、したがってアナログ応答を提供する。ここでは、動きはアナログの動きではなく量子化される。すなわち、応答は、それがステップに基づいているという点でデジタル(例えば、デジタル化され拡大された動き)である。一実施形態では、「フリンジ」パターンが測定される。
以下に説明する図36A〜図36Eは、オーバーレイの変化に応答するフォトバケットを使用した光信号の生成を説明している。従来の光学的測定ツールは比較的大きなターゲット(例えば、20〜30ミクロン)を測定することを理解されたい。本明細書に記載の実施形態では、検査ツールの解像限界を下回り、フォトバケットの概念を利用して従来のオーバーレイ測定アルゴリズムで検出/測定することができる移動するエッジを作成するライン/スペースのアレイから構造が生成される。測定ツールによって見られる最終パターンは、オーバーレイと共に移動するサブ解像度パターンからの光の回折および散乱による測定可能な光学的エッジを示す。図36Fは、図36A〜図36Eに関連して使用するための可能な光学的測定マークを示す。
図36Aは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッド上にオーバーレイされるオーバーレイシナリオの上面図である。
図36Aを参照すると、下地の層は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604を含む。複数のフォトレジスト層部分3610および複数の開口部3616(露光および現像済み)は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604構造の間にある。現在の層は、オーバーレイ画像3650Aによって表される。オーバーレイ画像3650Aは、ゼロのオーバーレイシフトおよびP/4のピッチデルタを有する。例示的な実施形態として、現在の層のオーバーレイ画像3650Aのピッチは、25%大きく(上半分の領域3652Aに)、そして25%小さく(下半分の領域3654Aに)示されている。図36Aに示すように、広い未露光フィーチャ3656A、3658Aが現在の層に含まれる。
図36Bは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
図36Bを参照すると、下地の層は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604を含む。複数のフォトレジスト層部分3610および複数の開口部3616(露光および現像済み)は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604構造の間にある。現在の層は、オーバーレイ画像3650Bによって表される。オーバーレイ画像3650Bは、P/4のポジティブ(+ve)オーバーレイシフトを有する。広い未露光フィーチャ3656B、3658Bが現在の層に含まれ、図36Bに示すように広い未露光フィーチャ3656B、3658Bの移動を伴う。
図36Cは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して2分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
図36Cを参照すると、下地の層は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604を含む。複数のフォトレジスト層部分3610および複数の開口部3616(露光および現像済み)は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604構造の間にある。現在の層は、オーバーレイ画像3650Cによって表される。オーバーレイ画像3650Cは、P/2のポジティブ(+ve)オーバーレイシフトを有する。広い未露光フィーチャ3656C、3658Cが現在の層に含まれ、図36Cに示すように広い未露光フィーチャ3656C、3658Cの移動を伴う。
図36Dは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して任意の値Δのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
図36Dを参照すると、下地の層は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604を含む。複数のフォトレジスト層部分3610および複数の開口部3616(露光および現像済み)は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604構造の間にある。現在の層は、オーバーレイ画像3650Dによって表される。オーバーレイ画像3650Dは、ゼロのオーバーレイシフトおよびP+Δのピッチデルタを有する。図36Dに示すように、広い未露光フィーチャ3656D、3658Dが現在の層に含まれる。
図36Eは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスクグリッドに対して任意の値Δのポジティブオーバーレイを有するオーバーレイシナリオの上面図であり、測定可能なΔは、レジスト感度および/または描画フィーチャサイズを変更することによって必要に応じて小さくされる。
図36Eを参照すると、下地の層は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604を含む。複数のフォトレジスト層部分3610および複数の開口部3616(露光および現像済み)は、第1の予めパターニングされたハードマスク3602および第2の予めパターニングされたハードマスク3604構造の間にある。現在の層は、オーバーレイ画像3650Eによって表される。オーバーレイ画像3650Eは、+ΔのオーバーレイシフトおよびP+Δのピッチデルタを有する。広い未露光フィーチャ3656E、3658Eが現在の層に含まれており、図36Eに示すように広い未露光フィーチャ3656E、3658Eの移動がある。一実施形態では、Δの小さなオーバーレイシフトに対して、測定された信号はPのオーダーまで増幅され、Δは必要に応じて小さくすることができる。
図36Fは、本開示の一実施形態による、図36A〜図36Eに関連して上述した手法に適した例示的な計測構造を示す。図36Fを参照すると、計測構造3697は、層1のフィーチャ3698(例えば、下地の層)と層2のフィーチャ3699(例えば、現在の層)の両方を含む。一実施形態では、図36Fに示すように、フィーチャの各々の幅は約20〜30ミクロンである。そのような構造は、例えば、スクライブライン内またはドロップインセル内のダイ上に含まれてもよい。一実施形態では、完成したダイは、狭いフィーチャの集合内のビアまたはプラグのアレイによって形成された広いフィーチャのビート周波数を有する領域を含むことができる。任意の方向に2つの異なるビート周波数が存在することは、オーバーレイを測定するための上記の技術の使用を意味することができる。上記の手法は、この技術を使用するビアまたはプラグのパターニング層ごとにフォトバケット内のオーバーレイの正確な測定を可能にすることができる。実施形態は、現在の技術のオーバーレイ測定ツールを使用しながら、将来の世代の技術の精度を高めることができる。
本明細書に記載の1つまたは複数の実施形態は、限界寸法走査型電子顕微鏡(CDSEM)技術を使用して予めパターニングされたハードマスク(例えば、フォトバケット)上のオーバーレイを測定することを含む手法に関する。本明細書に記載の実施形態は、走査型電子顕微鏡(例えば、CDSEM)を使用することによって、予めパターニングされたハードマスク層(例えば、フォトバケット層)の最上部にパターニングされたビアおよび/またはプラグ層と下地の予めパターニングされたハードマスク層との間のオーバーレイ測定に関する問題を解決するために実施することができる。一実施形態では、ビアまたはプラグ位置は、下地の予めパターニングされたハードマスクピッチとはわずかに異なるピッチでパターニングされる。オーバーレイの不一致のため、クリアされるフォトバケットの位置はオーバーレイの不一致の量に依存する。
図37Aは、本開示の一実施形態による、現在の層が下地の予めパターニングされたハードマスク上にオーバーレイされるオーバーレイシナリオの上面図である。
図37Aを参照すると、下地の層は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704を含む。複数のフォトレジスト層部分3710および複数の開口部3716(露光および現像済み)は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704構造の間にある。現在の層は、オーバーレイ画像3750Aによって表される。オーバーレイ画像3750Aは、XにおいてゼロのオーバーレイシフトおよびYにおいてゼロのオーバーレイシフトを有する。現在の層のオーバーレイ画像3750Aのピッチは、例示的な実施形態として下地の層に対して25%大きい、すなわちピッチ+Δでパターニングされ、ここでΔ=P/4である。領域3760Aは、ゼロオーバーレイシフト(PB0,0)での「フォトバケットクラスタ」の位置を強調する。
図37Bは、本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイシフトを有するオーバーレイシナリオの上面図である。
図37Bを参照すると、下地の層は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704を含む。複数のフォトレジスト層部分3710および複数の開口部3716(露光および現像済み)は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704構造の間にある。現在の層は、オーバーレイ画像3750Bによって表される。オーバーレイ画像3750Bは、XにおいてP/4のオーバーレイシフトおよびYにおいてゼロのオーバーレイシフトを有する。現在の層のオーバーレイ画像3750Bのピッチは、例示的な実施形態として下地の層に対して25%大きい、すなわちピッチ+Δでパターニングされ、ここでΔ=P/4である。領域3760Bは、PB0,0に対するフォトバケットクラスタについてX=−2PおよびY=0の位置を強調している。領域3760Bおよび対応する開いた/閉じた垂直な列は、ピッチの2倍に等しい量だけ左に移動する。露出したフォトバケット密度が領域内の他の列とは異なるという事実のために、開いた/閉じた列は他の列とは異なるコントラストを有することを理解されたい。
図37Cは、本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのネガティブオーバーレイを有するオーバーレイシナリオの上面図である。
図37Cを参照すると、下地の層は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704を含む。複数のフォトレジスト層部分3710および複数の開口部3716(露光および現像済み)は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704構造の間にある。現在の層は、オーバーレイ画像3750Cによって表される。オーバーレイ画像3750Cは、Xにおいて−P/4のオーバーレイシフトおよびYにおいてゼロのオーバーレイシフトを有する。現在の層のオーバーレイ画像3750Cのピッチは、例示的な実施形態として下地の層に対して25%大きい、すなわちピッチ+Δでパターニングされ、ここでΔ=P/4である。領域3760Cは、PB0,0に対するフォトバケットクラスタについてX=+2PおよびY=0の位置を強調している。領域3760Cおよび対応する開いた/閉じた垂直な列は、ピッチの2倍に等しい量だけ右に移動する。
図37Dは、本開示の一実施形態による、現在の層がY方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
図37Dを参照すると、下地の層は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704を含む。複数のフォトレジスト層部分3710および複数の開口部3716(露光および現像済み)は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704構造の間にある。現在の層は、オーバーレイ画像3750Dによって表される。オーバーレイ画像3750Dは、XにおいてゼロのオーバーレイシフトおよびYにおいてP/4のオーバーレイシフトを有する。現在の層のオーバーレイ画像3750Dのピッチは、例示的な実施形態として下地の層に対して25%大きい、すなわちピッチ+Δでパターニングされ、ここでΔ=P/4である。領域3760Dは、PB0,0に対するフォトバケットクラスタについてX=0およびY=−2Pの位置を強調している。領域3760Dおよび対応する開いた/閉じた水平な列は、ピッチの2倍に等しい量だけ下に移動する。
図37Eは、本開示の一実施形態による、現在の層がX方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有し、かつY方向に下地の予めパターニングされたハードマスクグリッドに対して4分の1ピッチのポジティブオーバーレイを有するオーバーレイシナリオの上面図である。
図37Eを参照すると、下地の層は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704を含む。複数のフォトレジスト層部分3710および複数の開口部3716(露光および現像済み)は、第1の予めパターニングされたハードマスク3702および第2の予めパターニングされたハードマスク3704構造の間にある。現在の層は、オーバーレイ画像3750Eによって表される。オーバーレイ画像3750Eは、XにおいてP/4のオーバーレイシフトおよびYにおいてP/4のオーバーレイシフトを有する。現在の層のオーバーレイ画像3750Eのピッチは、例示的な実施形態として下地の層に対して25%大きい、すなわちピッチ+Δでパターニングされ、ここでΔ=P/4である。領域3760Eは、PB0,0に対するフォトバケットクラスタについてX=−2PおよびY=−2Pの位置を強調している。領域3760Eおよび対応する開いた/閉じた水平な列は、ピッチの2倍に等しい量だけ下に移動する。加えて、領域3760Eおよび対応する開いた/閉じた垂直な列は、ピッチの2倍に等しい量だけ左に移動する。
再び図37A〜37Eを参照すると、半導体チップの断面解析は、本明細書に記載の1つまたは複数の実施形態の適用を示すように、複数のグリッド状ビアおよびプラグのうちのビアおよび/またはプラグの垂直および水平アレイを含むアライメントマークを露出させ得ることを理解されたい。そのような構造は、例えば、スクライブライン内にまたはドロップインセル内のダイ上に含まれてもよい。そのような手法を適用することにより、CDSEM測定法と共に使用することを意図したあらゆるビアおよび/またはプラグのパターニング層についてのフォトバケット内のオーバーレイの正確な測定を可能にすることができる。従来のオーバーレイ技術はこのスタイルのパターニングではうまくいかない可能性があることも理解されたい。
本開示の一実施形態による、極紫外線リソグラフィ(EUV)などのリソグラフィ用の高解像度位相シフトマスク(PSM)製造のための新しい構造について説明する。このようなPSMマスクは、一般的な(直接)リソグラフィまたは相補的リソグラフィに使用することができる。
フォトリソグラフィは、フォトレジストの層にパターンを形成するために製造工程において一般的に使用されている。フォトリソグラフィプロセスでは、フォトレジスト層は、エッチングされる下地の層の上に堆積される。通常、下地の層は半導体層であるが、任意のタイプのハードマスクまたは誘電体材料であってもよい。フォトレジスト層は次にフォトマスクまたはレチクルを通して選択的に放射線に露光される。次いで、フォトレジストが現像され、「ポジ型」フォトレジストの場合には、放射線に露光されたフォトレジスト部分が除去される。
ウェハをパターニングするために使用されるフォトマスクまたはレチクルは、一般に「ステッパ」または「スキャナ」として知られるフォトリソグラフィ露光ツール内に配置される。ステッパまたはスキャナ装置では、フォトマスクまたはレチクルは放射源とウェハとの間に配置される。フォトマスクまたはレチクルは通常、石英基板上に配置されたパターニングされたクロム(吸収体層)から形成される。放射線は、クロムが存在しない位置で、フォトマスクまたはレチクルの石英部分を実質的に減衰せずに通過する。対照的に、放射線はマスクのクロム部分を通過しない。マスクに入射する放射線は、石英部分を完全に通過するかまたはクロム部分によって完全に遮断されるかのいずれかであるため、この種のマスクはバイナリマスクと呼ばれる。放射線がマスクを選択的に通過した後、マスクの像を一連のレンズを通してフォトレジストに投影することによってマスク上のパターンがフォトレジストに転写される。
フォトマスクまたはレチクル上のフィーチャが互いに近づくにつれて、マスク上のフィーチャのサイズが光源の波長に匹敵する場合に回折効果が現れ始める。回折はフォトレジスト上に投影された像をぼやけさせ、解像度の劣化をもたらす。
回折パターンがフォトレジストの所望のパターニングを妨げるのを防止する最新技術の一方法は、シフターとして知られる透明層でフォトマスクまたはレチクルの選択された開口部を覆うことである。シフターは、一組の露光光線のうちの1つを別の隣接する組に対して位相をシフトさせ、それが回折による干渉パターンを無効にする。この手法は位相シフトマスク(PSM)手法と呼ばれる。それにもかかわらず、欠陥を減少させ、マスク製造におけるスループットを増加させる代替的なマスク製造方式は、リソグラフィプロセス開発の重要な中心的領域である。
本開示の1つまたは複数の実施形態は、リソグラフィマスクを製造する方法、および結果として得られるリソグラフィマスクに関する。場面を説明するために、半導体産業によって定められた積極的なデバイススケーリング目標を満たすための要求は、リソグラフィマスクがより大きな忠実度でより小さなフィーチャをパターニングする能力を利用する。しかしながら、ますます小さなフィーチャをパターニングするための手法は、マスク製造にとって非常に困難な課題を提示している。これに関して、今日広く使用されているリソグラフィマスクは、フィーチャをパターニングするために位相シフトマスク(PSM)技術の概念に依存している。しかしながら、ますます小さなパターンを作成しながら欠陥を減らすことは、マスク製造における最大の障害の1つである。位相シフトマスクの使用はいくつかの欠点を有する可能性がある。第1に、位相シフトマスクの設計はかなりのリソースを必要とする比較的複雑な手順である。第2に、位相シフトマスクの性質上、位相シフトマスクに欠陥があるか否かを確認することは困難である。位相シフトマスクにおけるこのような欠陥は、マスク自体を製造するために採用されている現在の統合方式から生じる。従来の位相シフトマスクは、厚い光吸収材料をパターニングし、次いで位相シフトを助ける二次層にパターンを転写するために、厄介でやや欠陥が生じやすい手法を採用している。問題を複雑にするために、吸収体層は2回プラズマエッチングされ、その結果、負荷効果、反応性イオンエッチラグ、帯電および再現可能な効果などのプラズマエッチングの望ましくない効果がマスク製造における欠陥をもたらす。
欠陥のないリソグラフィマスクを製造するための材料の革新および新規の集積技術は、デバイスのスケーリングを可能にするための最優先事項であり続けている。したがって、位相シフトマスク技術の完全な利点を活用するために、(i)高い忠実度でシフター層をパターニングすること、および(ii)製造の最終段階の間に1回だけ吸収体をパターニングすることを用いる新規な統合方式が必要であり得る。さらに、そのような製造方式はまた、材料の選択における柔軟性、製造中の基板損傷の低減、およびマスク製造におけるスループットの向上などの他の利点も提供することができる。
図38は、本開示の一実施形態による、リソグラフィマスク構造3801の断面図である。リソグラフィマスク3801は、ダイ内領域3810、フレーム領域3820、およびダイ−フレーム界面領域3830を含む。ダイ−フレーム界面領域3830は、ダイ内領域3810とフレーム領域3820との隣接部分を含む。ダイ内領域3810は、基板3800上に直接配置されたパターニングされたシフター層3806を含み、パターニングされたシフター層は側壁を有するフィーチャを有する。フレーム領域3820は、ダイ内領域3810を囲み、基板3800上に直接配置されたパターニングされた吸収体層3802を含む。
ダイ−フレーム界面領域3830は、基板3800上に配置され、二重層スタック3840を含む。二重層スタック3840は、下側のパターニングされたシフター層3806上に配置された上側層3804を含む。二重層スタック3840の上側層3804は、フレーム領域3820のパターニングされた吸収体層3802と同じ材料からなる。
一実施形態では、パターニングされたシフター層3806のフィーチャの最上面3808は、ダイ−フレーム界面領域のフィーチャの最上面3812とは異なり、かつフレーム領域内のフィーチャの最上面3814とも異なる高さを有する。さらに、一実施形態では、ダイ−フレーム界面領域のフィーチャの最上面3812の高さは、フレーム領域のフィーチャの最上面3814の高さとは異なる。位相シフター層3806の典型的な厚さは40〜100nmの範囲であり、一方、吸収層の典型的な厚さは30〜100nmの範囲である。一実施形態では、フレーム領域3820内の吸収体層3802の厚さは50nmであり、ダイ−フレーム界面領域3830内のシフター層3806上に配置された吸収体層3804の合計厚さは120nmであり、フレーム領域内の吸収体の厚さは70nmである。一実施形態では、基板3800は石英であり、パターニングされたシフター層は、限定はしないが、モリブデンシリサイド、モリブデンシリコンオキシナイトライド、モリブデンシリコンナイトライド、シリコンオキシナイトライド、またはシリコンナイトライドなどの材料を含み、吸収体材料はクロムである。
本開示の一実施形態による、相補型電子ビームリソグラフィについて説明する。本明細書に記載の1つまたは複数の実施形態は、相補型電子ビームリソグラフィ(CEBL)を含むかまたはそれに適したリソグラフィ手法およびツーリングに関し、それにはそのような手法およびツーリングを実行する際の半導体処理の考慮事項が含まれる。
相補型リリソグラフィは、手を携えて働く2つのリソグラフィ技術の強みを活かして、大量生産(HVM)において20nmハーフピッチ以下のロジックデバイスの重要な層をパターニングするコストを削減する。相補型リソグラフィを実施するための最も費用対効果の高い方法は、光リソグラフィと電子ビームリソグラフィ(EBL)を組み合わせることである。集積回路(IC)の設計をウェハに転写するプロセスには、次のことが必要である。すなわち、一方向のライン(厳密に一方向または主に一方向)を所定のピッチで印刷する光リソグラフィ、ライン密度を高めるピッチ分割技術、およびラインを「カット」するEBLである。EBLは他の重要な層、特にコンタクトおよびビアホールのパターニングにも使用される。他の層をパターニングするために光リソグラフィを単独で使用することができる。光リソグラフィを補完するために使用される場合、EBLはCEBLまたは相補型EBLと呼ばれる。CEBLはラインと孔を切断することを目的とする。すべての層をパターニングしようとしないことで、CEBLは先進的な(より小さな)テクノロジノード(例えば、7nmまたは5nmテクノロジノードなど10nm以下)における業界のパターニングニーズを満たす上で相補的ではあるが重要な役割を果たす。CEBLはまた、現在の光リソグラフィ技術、ツールおよびインフラストラクチャの使用を拡張する。
本明細書に開示する実施形態は、多種多様な異なる種類の集積回路および/またはマイクロ電子デバイスを製造するために使用することができる。そのような集積回路の例には、限定はしないが、プロセッサ、チップセット構成要素、グラフィックプロセッサ、デジタル信号プロセッサ、マイクロコントローラなどが含まれる。他の実施形態では、半導体メモリを製造することができる。さらに、集積回路または他のマイクロ電子デバイスは、当技術分野で公知の多種多様な電子デバイスに使用することができる。例えば、コンピュータシステム(例えば、デスクトップ、ラップトップ、サーバ)、携帯電話、パーソナルエレクトロニクスなどにおいて。集積回路は、システム内のバスおよび他の構成要素と結合することができる。例えば、プロセッサは、1つまたは複数のバスによってメモリ、チップセットなどに結合することができる。プロセッサ、メモリ、およびチップセットの各々は、本明細書に開示されている手法を使用して可能性をもって製造することができる。
上述のように、電子ビーム(eビーム)リソグラフィは、集積回路製造のためのフィーチャの所望のスケーリングを達成するために標準的なリソグラフィ技術を補完するために実施することができる。電子ビームリソグラフィツールを使用して、電子ビームリソグラフィを実施することができる。例示的な実施形態では、図39は電子ビームリソグラフィ装置の電子ビームカラムの断面概略図である。
図39を参照すると、電子ビームカラム3900は電子ビーム3904を提供するための電子源3902を含む。電子ビーム3904は、制限アパーチャ3906を通過し、続いて高アスペクト比の照明光学系3908を通過する。出射ビーム3910は、次にスリット3912を通過し、例えば磁気であってもよい、スリムレンズ3914によって制御することができる。最終的に、ビーム3904は成形アパーチャ3916(これは1次元(1−D)成形アパーチャであってもよい)を通過し、次にブランカアパーチャアレイ(BAA)3918を通過する。BAA3918は、シリコンの薄いスライスに形成された開口部などの、その中に複数の物理的アパーチャを含む。所与の時間にBAA3918の一部のみが電子ビームにさらされる場合があり得る。代替的に、または併せて、BAA3918を通過する電子ビーム3904の一部3920のみが最終アパーチャ3922(例えば、ビーム部分3921は遮断されているように示されている)、および場合によってはステージフィードバック偏向器3924を通過することができる。
再び図39を参照すると、結果として生じる電子ビーム3926は、IC製造に使用されるシリコンウェハなどのウェハ3930の表面上にスポット3928として最終的に衝突する。具体的には、結果として生じる電子ビームは、ウェハ上のフォトレジスト層に衝突することができるが、実施形態はそのように限定されない。ステージスキャン3932は、図39に示す矢印3934の方向に沿ってビーム3926に対してウェハ3930を動かす。電子ビームツールは、全体として、図39に示すタイプの多数のカラム3900を含むことができる。また、電子ビームツールは関連するベースコンピュータを有してもよく、各カラムはさらに対応するカラムコンピュータを有してもよい。
一実施形態では、ブランカアパーチャアレイ(BAA)の開口部またはアパーチャについて以下で言及する場合、ウェハ/ダイがウェハの移動またはスキャン方向に沿ってその下を移動するにつれて、BAAの開口部またはアパーチャの全部または一部を(例えばビーム偏向によって)開または「閉」に切り替えることができる。一実施形態では、BAAは、各開口部がサンプルに電子ビームを通過させるか、またはビームを例えばファラデーカップまたはブランキングアパーチャ内に偏向させるかに関して独立に制御することができる。そのようなBAAを含む電子ビームカラムまたは装置は、全体のビーム有効範囲をBAAの一部分だけに偏向させるように構築され、次いでBAAの個々の開口部は電子ビームを通過させる(「オン」)または通過させない(「オフ」)ように電気的に構成される。例えば、偏向されていない電子はウェハを通過してレジスト層を露光する一方、偏向された電子はファラデーカップまたはブランキングアパーチャに捕捉される。「開口部」または「開口部の高さ」への言及は、物理的な開口部が最終的にBAAから生成されたスポットサイズ(例えば、ナノメートルスケール)よりも実質的に大きい(例えば、ミクロンスケール)ので、BAAの物理的開口部ではなく受け取るウェハに衝突するスポットサイズを指すことを理解されたい。したがって、本明細書でBAAのピッチまたはBAAの開口部のカラムが金属ラインのピッチに「対応する」と言われる場合には、そのような説明は実際にはBAAから生成される衝突スポットのピッチと切断されるラインのピッチとの間の関係を指す。以下に提供される例として、BAA4310から生成されるスポットは、(BAA開口部の両方のカラムが共に考えられる場合には)ライン4300のピッチと同じピッチを有する。一方、BAA4310の互い違いのアレイの1カラムのみから生成されるスポットは、ライン4300のピッチの2倍のピッチを有する。
一実施形態では、最小ビームピッチも可能にしながら、電子ビーム装置のスループットを解決するために、互い違いのビームアパーチャアレイが実施される。互い違いでない場合、エッジ配置誤差(EPE)を考慮することは、単一スタック内に垂直にスタックする可能性がないので、ワイヤ幅の2倍である最小ピッチを切断することができないことを意味する。例えば、図40は、ラインがアパーチャ4000の下の矢印4004の方向に沿ってスキャンされている間に切断されるか、またはビアがターゲット位置に配置されるライン4002に対するBAAのアパーチャ4000を示す。図40を参照すると、切断されるまたはビアが配置される所与のライン4002について、カッター開口部(アパーチャ)のEPE4006は、ラインのピッチであるBAAグリッド内に長方形の開口部をもたらす。
図41は、アパーチャ4100、4102の下の矢印4108の方向に沿ってスキャンされている間に切断されるかまたはビアがターゲット位置に配置される2つのライン4104、4106に対するBAAの2つの互い違いでないアパーチャ4100、4102をそれぞれ示す。図41を参照すると、図40の長方形の開口部4000が他のそのような長方形の開口部(例えば、ここでは符号4100、4102で示す)と共に垂直の単一のカラムに配置される場合、切断されるラインの許容ピッチは、2xEPE4110と、BAA開口部4100と4102との間の距離要件4112と、1本のワイヤ4104または4106の幅と、の和によって制限される。結果として生じる間隔4114は、図41の右端の矢印で示されている。そのような線形アレイは、ワイヤのピッチを、ワイヤの幅の3〜4倍よりも実質的に大きくなるように厳しく制限する可能性があり、これは受け入れられない可能性がある。別のおそらく受け入れられない代替案は、わずかにオフセットされたワイヤ位置で2回(またはそれ以上)のパスで狭いピッチのワイヤを切断することであろう。この手法では、電子ビーム装置のスループットが大幅に制限される可能性がある。
図41とは対照的に、図42は、本開示の一実施形態による、ライン4208がアパーチャ4206の下の方向4210に沿ってスキャンされる間に、切断されるかまたはビアがターゲット位置に配置される複数のライン4208に対する、BAA4200の互い違いのアパーチャ4206の2つのカラム4202、4204を示し、スキャン方向を矢印で示してある。図41を参照すると、互い違いのBAA4200は、図示するように空間的に互い違いである2つの線形アレイ4202、4204を含む。2つの互い違いのアレイ4202、4204は、交互に配置されたライン4208を切断する(またはビアを配置する)。一実施形態では、ライン4208は、ワイヤ幅の2倍で狭いグリッド上に配置されている。本開示を通して使用されるように、互い違いのアレイという用語は、一方向(例えば垂直方向)に互い違いになっており、直交方向(例えば水平方向)にスキャンして見た場合に重なりがないか、またはある程度重なり合う開口部4206の互い違いを指すことができる。後者の場合、効果的な重なりはミスアライメントの許容範囲を提供する。
簡単にするために、スタガードアレイを本明細書では2つの垂直カラムとして示すが、単一の「カラム」の開口部またはアパーチャは垂直方向にカラム状である必要はないことを理解されたい。例えば、一実施形態では、第1のアレイが集合的に垂直方向のピッチを有し、第1のアレイからスキャン方向に互い違いに配列された第2のアレイが集合的に垂直方向のピッチを有する限り、互い違いのアレイが達成される。したがって、本明細書における垂直カラムへの言及または描写は、実際には、開口部またはアパーチャの単一のカラムであると特定されない限り、1つまたは複数のカラムから構成することができる。一実施形態では、開口部の「カラム」が開口部の単一のカラムではない場合、「カラム」内のいかなるオフセットもストローブタイミングで補償することができる。一実施形態では、重要な点は、BAAの互い違いのアレイの開口部またはアパーチャが、第1の方向に特定のピッチで置かれているが、第1の方向にカットとビアとの間の隙間がなくカットまたはビアを配置できるように第2の方向にオフセットされていることである。
したがって、1つまたは複数の実施形態は、EPE技術のニーズを満たすことができないインライン構成とは対照的に、EPEカットを満たすことおよび/またはビア要件を満たすことを可能にするためように開口部が互い違いに配置される互い違いビームアパーチャアレイに関する。対照的に、互い違いではない場合、エッジ配置誤差(EPE)の問題は、単一スタックで垂直にスタックする可能性がないので、ワイヤ幅の2倍である最小ピッチを切断することができないことを意味する。代わりに、一実施形態では、互い違いのBAAを使用することで、各ワイヤ位置を個々に電子ビームで書き込むよりも4000倍高速にすることができる。さらに、互い違いのアレイは、ワイヤピッチをワイヤ幅の2倍にすることを可能にする。特定の実施形態では、アレイは、2つのカラムにわたって4096個の互い違いの開口部を有するので、切断位置およびビア位置の各々についてEPEを作成することができる。本明細書で企図されるように、互い違いのアレイは、互い違いの開口部の2つ以上のカラムを含むことができることを理解されたい。
一実施形態では、互い違いのアレイを使用すると、電子ビームをウェハに通過させるかもしくはウェハに導くための、またはファラデーカップもしくはブランキングアパーチャに導くための1つまたは2つの電極を含むBAAのアパーチャの周りに金属を含むためのスペースが残る。すなわち、各開口部は、電子ビームを通過または偏向させるために電極によって別々に制御することができる。一実施形態では、BAAは4096個の開口部を有し、電子ビーム装置は4096個の開口部のアレイ全体を覆い、各開口部は電気的に制御される。太い黒い矢印で示すように、開口部の下でウェハをスイープすることでスループットの向上が可能になる。
特定の実施形態では、互い違いのBAAは、2列の互い違いのBAA開口部を有する。そのようなアレイは、狭ピッチのワイヤを可能にし、ワイヤピッチはワイヤ幅の2倍であってもよい。さらに、すべてのワイヤを1回のパスで切断することができ(または1回のパスでビアを作成することができ)、それによって電子ビーム装置のスループットが可能になる。図43Aは、本開示の一実施形態による、互い違いのBAAを使用してパターニングされたカット(水平ラインの切れ目)またはビア(塗りつぶしたボックス)を有する複数のライン(右)に対するBAAの2列の互い違いのアパーチャ(左)を示す図であり、スキャン方向を矢印で示してある。
図43Aを参照すると、単一の互い違いのアレイから得られるラインは、図示するように、ラインが単一ピッチであり、カットおよびビアがパターニングされているものであり得る。特に、図43Aは、複数のライン4300、またはラインが存在しない開放ライン位置4302を示す。ビア4304およびカット4306はライン4300に沿って形成されてもよい。ライン4300は、スキャン方向4312を有するBAA4310に対して示されている。したがって、図43Aは、単一の互い違いのアレイによって生成された典型的なパターンと見なすことができる。点線は、パターニングされたラインのカットが生じるところを示す(フルラインまたはライン部分を削除するための全カットを含む)。ビア位置4304は、ワイヤ4300の最上部に配置されるパターニングビアである。
上述のように互い違いのビームアパーチャアレイ(スタガードBAA)を含む電子ビームカラムはまた、図39に関連して説明したものに加えて他のフィーチャを含んでもよいことを理解されたい。例えば、一実施形態では、サンプルステージを90度回転させて(例えば、Xスキャン方向とYスキャン方向との間で回転させて)、互いに直交して印刷することができる交互に配置されたメタライゼーション層を収容することができる。別の実施形態では、電子ビームツールは、ステージ上にウェハをロードする前にウェハを90度回転させることができる。
図43Bは、本開示の一実施形態による、図43Aに示すタイプの金属ラインレイアウトに基づく集積回路内のメタライゼーション層4352のスタック4350の断面図である。図43Bを参照すると、例示的な実施形態では、相互接続スタック4350の金属断面は、下側の8つの整合金属層4354、4356、4358、4360、4362、4364、4366、4368の単一のBAAアレイから導出される。上側の太くて幅広の金属ライン4370、4372は単一のBAAでは形成されない。ビア位置4374は、下側の8つの整合金属層4354、4356、4358、4360、4362、4364、4366、4368を接続するものとして示されている。
全体として、一実施形態では、本明細書に記載の相補型リソグラフィは、193nm液浸リソグラフィ(193i)などの従来のまたは最先端のリソグラフィによってグリッド状レイアウトを最初に製造することを含む。ピッチ分割を実施して、グリッド状レイアウト内のラインの密度をn倍に増加させることができる。193iリソグラフィとn倍のピッチ分割によるグリッド状レイアウト形成は、193i+P/nピッチ分割と呼ぶことができる。ピッチ分割グリッド状レイアウトのパターニングは、電子ビーム直接書き込み(EBDW)「カット」を用いてパターニングすることができる。そのような一実施形態では、193nm液浸スケーリングは、費用効果の高いピッチ分割を用いて多くの世代に拡張することができる。一実施形態では、相補的EBLを用いてグレーティングの連続性を破り、ビアをパターニングする。別の実施形態では、相補的EUVを用いてグレーティングの連続性を破り、ビアをパターニングする。
図44は、本開示の一実施態様によるコンピューティングデバイス4400を示す。コンピューティングデバイス4400はボード4402を収容する。ボード4402は、限定はしないが、プロセッサ4404および少なくとも1つの通信チップ4406を含む、いくつかの構成要素を含むことができる。プロセッサ4404は物理的かつ電気的にボード4402に結合されている。いくつかの実施態様では、少なくとも1つの通信チップ4406もまたボード4402に物理的かつ電気的に結合されている。さらなる実施態様では、通信チップ4406はプロセッサ4404の一部である。
その用途に応じて、コンピューティングデバイス4400は、ボード4402に物理的かつ電気的に結合されてもされなくてもよい他の構成要素を含んでもよい。これらの他の構成要素は、限定はしないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、パワーアンプ、全地球測位システム(GPS)装置、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および大容量記憶装置(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)など)を含む。
通信チップ4406は、コンピューティングデバイス4400との間のデータ転送のための無線通信を可能にする。「無線」という用語およびその派生語は、非固体媒体を通して変調された電磁放射を使用することによってデータを通信することができる回路、デバイス、システム、方法、技術、通信チャネルなどを記載するために使用することができる。この用語は関連する装置がワイヤを含まないことを意味しないが、いくつかの実施形態ではワイヤを含まなくてもよい。通信チップ4406は、限定はしないが、Wi−Fi(IEEE 802.11ファミリ)、WiMAX(IEEE 802.16ファミリ)、IEEE 802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、それらの派生物、ならびに3G、4G、5G、およびそれ以降として指定される任意の他の無線プロトコルを含む、いくつかの無線規格またはプロトコルのいずれかを実装することができる。コンピューティングデバイス4400は、複数の通信チップ4406を含んでもよい。例えば、第1の通信チップ4406は、Wi−Fiおよびブルートゥース(登録商標)などの短距離無線通信専用であってもよく、第2の通信チップ4406は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DOなどの長距離無線通信専用であってもよい。
コンピューティングデバイス4400のプロセッサ4404は、プロセッサ4404内にパッケージされた集積回路ダイを含む。本開示の実施形態のいくつかの実施態様では、プロセッサの集積回路ダイは、本開示の実施態様に従って構築されたMOS−FETトランジスタなどの1つまたは複数のデバイスを含む。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理して、その電子データをレジスタおよび/またはメモリに格納することができる他の電子データに変換する任意のデバイスまたはデバイスの一部を指すことができる。
通信チップ4406はまた、通信チップ4406内にパッケージされた集積回路ダイも含む。本開示の別の実施態様によれば、通信チップの集積回路ダイは本開示の実施態様に従って構築される。
さらなる実施態様では、コンピューティングデバイス4400内に収容された別の構成要素は、本開示の実施形態の実施態様に従って構築された集積回路ダイを含んでもよい。
様々な実施形態では、コンピューティングデバイス4400は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメント制御ユニット、デジタルカメラ、携帯音楽プレーヤ、またはデジタルビデオレコーダであってもよい。さらなる実施態様では、コンピューティングデバイス4400は、データを処理する他の任意の電子デバイスであってもよい。
図45は、本開示の1つまたは複数の実施形態を含むインターポーザ4500を示す。インターポーザ4500は、第1の基板4502を第2の基板4504に橋渡しするために使用される介在基板である。第1の基板4502は、例えば、集積回路ダイであってもよい。第2の基板4504は、例えば、メモリモジュール、コンピュータのマザーボード、または他の集積回路ダイであってもよい。一般に、インターポーザ4500の目的は、接続をより広いピッチに広げること、または接続を異なる接続に再ルーティングすることである。例えば、インターポーザ4500は、集積回路ダイをボールグリッドアレイ(BGA)506に結合してもよく、ボールグリッドアレイ(BGA)506はその後第2の基板4504に結合されてもよい。いくつかの実施形態では、第1および第2の基板4502/4504は、インターポーザ4500の両側に取り付けられている。他の実施形態では、第1および第2の基板4502/4504はインターポーザ4500の同じ側に取り付けられている。そして、さらなる実施形態では、3つ以上の基板がインターポーザ4500によって相互接続されている。
インターポーザ4500は、エポキシ樹脂、ガラス繊維強化エポキシ樹脂、セラミック材料、またはポリイミドなどのポリマー材料で形成することができる。さらなる実施態様では、インターポーザは、シリコン、ゲルマニウム、ならびに他のIII−V族およびIV族材料などの、半導体基板で使用するための上記の同じ材料を含み得る代替的な剛性または可撓性材料から形成することができる。
インターポーザは、スルーシリコンビア(TSV)4512を含むが、これに限定されない、金属相互接続4508およびビア4510を含むことができる。インターポーザ4500はさらに、受動デバイスと能動デバイスの両方を含む埋込みデバイス4514を含むことができる。そのようなデバイスは、キャパシタ、減結合キャパシタ、抵抗器、インダクタ、ヒューズ、ダイオード、変圧器、センサ、および静電放電(ESD)デバイスを含むが、これらに限定されない。高周波(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサ、およびMEMSデバイスなどのより複雑なデバイスもインターポーザ4500上に形成することができる。本開示の実施形態によれば、本明細書に開示されている装置またはプロセスは、インターポーザ4500の製造で使用されてもよい。
したがって、本開示の実施形態は、サブ10nmのピッチのパターニングおよび自己組織化デバイスを含む。
例示的な実施形態1:集積回路構造は、半導体基板の表面から突出し、部分的本体部分によって遮断されたグレーティングパターンを有する複数の半導体本体を含む。トレンチ分離層は、複数の半導体本体の間にあって複数の半導体本体の下側部分に隣接するが、複数の半導体本体の上側部分には隣接せず、部分的本体部分の上にある。1つまたは複数のゲート電極スタックは、上部表面上にあり、かつ複数の半導体本体の上側部分の側壁に横方向に隣接し、かつトレンチ分離層の一部上にある。バックエンドオブライン(BEOL)メタライゼーション層は、1つまたは複数のゲート電極スタックの上方にあり、同じ方向に沿って交互に複数配置された第1および第2の導電ラインタイプを含み、第1の導電ラインタイプの全組成は第2の導電ラインタイプの全組成とは異なる。
例示的な実施形態2:第1の導電ラインタイプのラインは、あるピッチで離間しており、第2の導電ラインタイプのラインは、ピッチで離間している、例示的な実施形態1に記載の集積回路構造。
例示的な実施形態3:交互に複数配置された第1および第2の導電ラインタイプは、層間誘電体(ILD)層内にある、例示的な実施形態1または2に記載の集積回路構造。
例示的な実施形態4:交互に複数配置された第1および第2の導電ラインタイプのラインは、エアギャップによって分離されている、例示的な実施形態1または2に記載の集積回路構造。
例示的な実施形態5:第1の導電ラインタイプの全組成は実質的に銅を含み、第2の導電ラインタイプの全組成は、実質的に、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料を含む、例示的な実施形態1、2、3または4に記載の集積回路構造。
例示的な実施形態6:交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、ラインの底部および側壁に沿ってバリア層を備える、例示的な実施形態1、2、3、4または5に記載の集積回路構造。
例示的な実施形態7:交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、各ラインの側壁に沿ってではなく、各ラインの底部に沿ってバリア層を含む、例示的な実施形態1、2、3、4または5に記載の集積回路構造。
例示的な実施形態8:交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、下地のメタライゼーション層に接続された下地のビアに接続され、下地のメタライゼーション層は、1つまたは複数のゲート電極スタックとBEOLメタライゼーション層との間にあり、交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、誘電体プラグによって遮断されている、例示的な実施形態1、2、3、4、5、6または7に記載の集積回路構造。
例示的な実施形態9:グレーティングパターンは一定のピッチを有する、例示的な実施形態1、2、3、4、5、6、7または8に記載の集積回路構造。
例示的な実施形態10:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分に隣接し、半導体本体の半導体材料とは異なる半導体材料を含む、例示的な実施形態1、2、3、4、5、6、7、8または9に記載の集積回路構造。
例示的な実施形態11:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分内にある、例示的な実施形態1、2、3、4、5、6、7、8または9に記載の集積回路構造。
例示的な実施形態12:1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を含む、例示的な実施形態1、2、3、4、5、6、7、8、9、10または11に記載の集積回路構造。
例示的な実施形態13:第1の導電ラインタイプは、第2の導電ラインタイプの上側表面の金属組成とは異なる金属組成の上側表面を有する、例示的な実施形態1、2、3、4、5、6、7、8、9、10、11または12に記載の集積回路構造。
例示的な実施形態14:集積回路構造は、半導体基板の表面から突出し、部分的本体部分によって遮断されたグレーティングパターンを有する複数の半導体本体を含む。トレンチ分離層は、複数の半導体本体の間にあって複数の半導体本体の下側部分に隣接するが、複数の半導体本体の上側部分には隣接せず、部分的本体部分の上にある。1つまたは複数のゲート電極スタックは、上部表面上にあり、かつ複数の半導体本体の上側部分の側壁に横方向に隣接し、かつトレンチ分離層の一部上にある。バックエンドオブライン(BEOL)メタライゼーション層は、1つまたは複数のゲート電極スタックの上方にあり、同じ方向に沿って交互に複数配置された第1および第2の導電ラインタイプを含み、交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、各ラインの側壁に沿ってではなく、各ラインの底部に沿ってバリア層を含む。
例示的な実施形態15:第1の導電ラインタイプのラインは、あるピッチで離間しており、第2の導電ラインタイプのラインは、ピッチで離間している、例示的な実施形態14に記載の集積回路構造。
例示的な実施形態16:交互に複数配置された第1および第2の導電ラインタイプは、層間誘電体(ILD)層内にある、例示的な実施形態14または15に記載の集積回路構造。
例示的な実施形態17:交互に複数配置された第1および第2の導電ラインタイプのラインは、エアギャップによって分離されている、例示的な実施形態14または15に記載の集積回路構造。
例示的な実施形態18:第1の導電ラインタイプの全組成は、第2の導電ラインタイプの全組成と同じである、例示的な実施形態14、15、16または17に記載の集積回路構造。
例示的な実施形態19:第1の導電ラインタイプの全組成は実質的に銅を含み、第2の導電ラインタイプの全組成は、実質的に、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料を含む、例示的な実施形態14、15、16または17に記載の集積回路構造。
例示的な実施形態20:交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、下地のメタライゼーション層に接続された下地のビアに接続され、下地のメタライゼーション層は、1つまたは複数のゲート電極スタックとBEOLメタライゼーション層との間にあり、交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、誘電体プラグによって遮断されている、例示的な実施形態14、15、16、17、18または19に記載の集積回路構造。
例示的な実施形態21:グレーティングパターンは一定のピッチを有する、例示的な実施形態14、15、16、17、18、19または20に記載の集積回路構造。
例示的な実施形態22:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分に隣接し、半導体本体の半導体材料とは異なる半導体材料を含む、例示的な実施形態14、15、16、17、18、19、20、または21に記載の集積回路構造。
例示的な実施形態23:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分内にある、例示的な実施形態14、15、16、17、18、19、20、または21に記載の集積回路構造。
例示的な実施形態24:1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を含む、例示的な実施形態14、15、16、17、18、19、20、21、22または23に記載の集積回路構造。
例示的な実施形態25:集積回路構造は、半導体基板の表面から突出し、部分的本体部分によって遮断された第1のグレーティングパターンを有する複数の半導体本体を含む。トレンチ分離層は、複数の半導体本体の間にあって複数の半導体本体の下側部分に隣接するが、複数の半導体本体の上側部分には隣接せず、部分的本体部分の上にある。1つまたは複数のゲート電極スタックは、上部表面上にあり、かつ複数の半導体本体の上側部分の側壁に横方向に隣接し、かつトレンチ分離層の一部上にある。第1のバックエンドオブライン(BEOL)メタライゼーション層は、1つまたは複数のゲート電極スタックの上方にあり、第1の方向に交互に配置された金属ラインおよび誘電体ラインの第2のグレーティングを含む。第2のBEOLメタライゼーション層は、第1のBEOLメタライゼーション層の上方にあり、第2の方向に交互に配置された金属ラインおよび誘電体ラインの第3のグレーティングを含む。第2の方向は第1の方向と直交している。第2のBEOLメタライゼーション層の第3のグレーティングの各金属ラインは、第1のBEOLメタライゼーション層の交互に配置された金属ラインおよび誘電体ラインに対応する第1の誘電体材料および第2の誘電体材料の交互に配置された別個の領域を含む誘電体層上にある。第2のBEOLメタライゼーション層の第3のグレーティングの各誘電体ラインは、第1の誘電体材料および第2の誘電体材料の交互に配置された別個の領域とは別の第3の誘電体材料の連続領域を含む。
例示的な実施形態26:第2のBEOLメタライゼーション層の金属ラインは、第1のBEOLメタライゼーション層の金属ラインの中心と直接整合され、かつ第2のBEOLメタライゼーション層の金属ラインの中心と直接整合された中心を有するビアによって、第1のBEOLメタライゼーション層の金属ラインに電気的に結合されている、例示的な実施形態25に記載の集積回路構造。
例示的な実施形態27:第2のBEOLメタライゼーション層の金属ラインは、第1のBEOLメタライゼーション層の誘電体ラインの中心と直接整合された中心を有するプラグによって分断されている、例示的な実施形態25または26に記載の集積回路構造。
例示的な実施形態28:第1の誘電体材料、第2の誘電体材料、および第3の誘電体材料のいずれも同じ材料ではない、例示的な実施形態25、26、または27に記載の集積回路構造。
例示的な実施形態29:第1の誘電材料、第2の誘電材料、および第3の誘電材料のうち2つのみが同じ材料である、例示的な実施形態25、26、または27に記載の集積回路構造。
例示的な実施形態30:第1の誘電体材料および第2の誘電体材料の交互に配置された別個の領域はシームによって分離されており、第3の誘電体材料の連続領域は、シームによって第1の誘電体材料および第2の誘電体材料の交互に配置された別個の領域から分離されている、例示的な実施形態25、26、27、28または29に記載の集積回路構造。
例示的な実施形態31:第1の誘電体材料、第2の誘電体材料、および第3の誘電体材料のすべてが同じ材料である、例示的な実施形態25、26、27または30に記載の集積回路構造。
例示的な実施形態32:第1のグレーティングパターンは一定のピッチを有する、例示的な実施形態25、26、27、28、29、30または31に記載の集積回路構造。
例示的な実施形態33:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分に隣接し、半導体本体の半導体材料とは異なる半導体材料を含む、例示的な実施形態25、26、27、28、29、30、31または32に記載の集積回路構造。
例示的な実施形態34:1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに含み、ソース領域またはドレイン領域は、複数の半導体本体の上側部分内にある、例示的な実施形態25、26、27、28、29、30、31または32に記載の集積回路構造。
例示的な実施形態35:1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を含む、例示的な実施形態25、26、27、28、29、30、31、32、33または34に記載の集積回路構造。
例示的な実施形態36:エッチング停止層または追加の誘電体層が、第1のBEOLメタライゼーション層と第2のBEOLメタライゼーション層とを分離する、例示的な実施形態25、26、27、28、29、30、31、32、33、34または35に記載の集積回路構造。
例示的な実施形態37:集積回路構造を製造する方法は、基板の上方に複数のバックボーンフィーチャを形成するステップと、複数のバックボーンフィーチャの各々の側壁に沿って第1の組のスペーサを形成するステップであって、第1の組のスペーサは、複数のバックボーンフィーチャの材料組成とは異なる第1の材料組成を有する、ステップと、第1の組のスペーサの各々の側壁に沿って第2の組のスペーサを形成するステップであって、第2の組のスペーサは、第1の材料組成とは異なり、かつ複数のバックボーンフィーチャの材料組成とは異なる第2の材料組成を有する、ステップと、第2の組のスペーサの各々の側壁に沿って第3の組のスペーサを形成するステップであって、第3の組のスペーサは、第1の材料組成とは異なり、かつ第2の材料組成とは異なり、かつ複数のバックボーンフィーチャの材料組成とは異なる第3の材料組成を有する、ステップと、第3の組のスペーサの各々の側壁に沿って、第2の材料組成を有する第4の組のスペーサを形成するステップと、第4の組のスペーサの各々の側壁に横方向に隣接して、第1の材料組成を有する第5の組のスペーサを形成するステップと、第5の組のスペーサを形成した後に、複数のバックボーンフィーチャを除去するステップと、複数のバックボーンフィーチャを除去した後、第1の組のスペーサの各々の側壁に沿って、かつ第5の組のスペーサの各々の側壁に沿って、第2の材料組成を有する第6のスペーサセットを形成するステップと、第6の組のスペーサの隣接するスペーサ対の間の各開口部に最終フィーチャを形成するステップと、ターゲット下地層を形成するために、第1の組のスペーサ、第2の組のスペーサ、第3の組のスペーサ、第4の組のスペーサ、第5の組のスペーサ、第6の組のスペーサ、および最終フィーチャを平坦化するステップと、半導体構造のメタライゼーション層を形成するために、ターゲット下地層を使用するステップと、を含む。
例示的な実施形態38:複数のバックボーンフィーチャを形成するステップは、標準的なリソグラフィ工程を使用するステップを含む、例示的な実施形態37に記載の方法。
例示的な実施形態39:複数のバックボーンフィーチャを形成するステップは、窒化シリコン、酸化シリコン、および炭化シリコンからなる群から選択される材料を含む複数のフィーチャを形成するステップを含む、例示的な実施形態37または38に記載の方法。
例示的な実施形態40:第1の組のスペーサを形成するステップは、原子層堆積(ALD)プロセスを使用して、複数のバックボーンフィーチャとコンフォーマルに第1の組のスペーサの材料を堆積させるステップと、複数のバックボーンフィーチャの各々の側壁に沿って第1の組のスペーサを形成するために、第1の組のスペーサの材料を異方性エッチングするステップと、を含む、例示的な実施形態37、38または39に記載の方法。
例示的な実施形態41:第1の組のスペーサを形成するステップは、複数のバックボーンフィーチャの各々の側壁に沿って第1の組のスペーサの材料を選択的に成長させるステップを含む、例示的な実施形態37、38または39に記載の方法。
例示的な実施形態42:各最終フィーチャは、第1の組のスペーサ、第2の組のスペーサ、第3の組のスペーサ、第4の組のスペーサ、第5の組のスペーサ、および第6の組のスペーサの各スペーサの横幅より大きい横幅を有する、例示的な実施形態37、38、39、40または41に記載の方法。
例示的な実施形態43:各最終フィーチャは、第6の組のスペーサの隣接するスペーサ対に沿って形成された材料成長の併合によって形成される、例示的な実施形態37、38、39、40、41または42に記載の方法。
例示的な実施形態44:各最終フィーチャは第3の材料組成を含む、例示的な実施形態37、38、39、40、41、42または43に記載の方法。
例示的な実施形態45:半導体構造のメタライゼーション層を形成するためにターゲット下地層を使用するステップは、第1の複数のトレンチを形成するために第1の材料組成のすべての部分を除去するステップと、第1の複数のトレンチ内に第1の複数の導電ラインを形成するステップと、を含む、例示的な実施形態37、38、39、40、41、42、43または44に記載の方法。
例示的な実施形態46:半導体構造のメタライゼーション層を形成するためにターゲット下地層を使用するステップは、第2の複数のトレンチを形成するために第3の材料組成のすべての部分を除去するステップと、第2の複数のトレンチ内に第2の複数の導電ラインを形成するステップと、をさらに含む、例示的な実施形態45に記載の方法。
例示的な実施形態47:第1の複数の導電ラインと第2の複数の導電ラインとは同じ組成である、例示的な実施形態46に記載の方法。
例示的な実施形態48:第1の複数の導電ラインと第2の複数の導電ラインとは異なる組成である、例示的な実施形態46に記載の方法。
例示的な実施形態49:第5の組のスペーサを形成するステップと第6の組のスペーサとを形成するステップの間に、かつ複数のバックボーンフィーチャを除去するステップの前に、追加の20〜200組のスペーサを形成するステップをさらに含む、例示的な実施形態37、38、39、40、41、42、43、44、45、46、47または48に記載の方法。
例示的な実施形態50:集積回路構造を製造するためのターゲット構造は、基板の上方のハードマスク層の上方の、第1の材料組成を有する第1の組のスペーサを含む。第2の組のスペーサは、第1の組のスペーサの各々の外側側壁に沿って、第1の材料組成とは異なる第2の材料組成を有する。第3の組のスペーサは、第2の組のスペーサの各々の側壁に沿って、第1の材料組成とは異なり、かつ第2の材料組成とは異なる第3の材料組成を有する。第4の組のスペーサは、第3の組のスペーサの各々の側壁に沿って、第2の材料組成を有する。第5の組のスペーサは、第4の組のスペーサの各々の側壁に横方向に隣接し、第1の材料組成を有する。第6の組のスペーサは、第1の組のスペーサの各々の内側側壁に沿って、かつ第5の組のスペーサの各々の側壁に沿って、第2の材料組成を有する。最終フィーチャは、第6の組のスペーサの隣接するスペーサ対の間の各開口部内にある。
例示的な実施形態51:第1の組のスペーサ、第2の組のスペーサ、第3の組のスペーサ、第4の組のスペーサ、第5の組のスペーサ、第6の組のスペーサ、および最終フィーチャは、互いに実質的に同一平面上にある、例示的な実施形態50に記載のターゲット構造。
例示的な実施形態52:各最終フィーチャは、第1の組のスペーサ、第2の組のスペーサ、第3の組のスペーサ、第4の組のスペーサ、第5の組のスペーサ、および第6の組のスペーサの各スペーサの横幅より大きい横幅を有する、例示的な実施形態50または51に記載のターゲット構造。
例示的な実施形態53:各最終フィーチャの横幅は6〜12ナノメートルの範囲内である、例示的な実施形態52に記載のターゲット構造。
例示的な実施形態54:各最終フィーチャは、最終フィーチャ内のほぼ中心にシームを有する、例示的な実施形態50、51、52または53に記載のターゲット構造。
例示的な実施形態55:各最終フィーチャは第3の材料組成を含む、例示的な実施形態50、51、52、53または54に記載のターゲット構造。

Claims (36)

  1. 集積回路構造であって、
    半導体基板の表面から突出し、部分的本体部分によって遮断されたグレーティングパターンを有する複数の半導体本体と、
    前記複数の半導体本体の間にあって前記複数の半導体本体の下側部分に隣接するが、前記複数の半導体本体の上側部分には隣接しないトレンチ分離層であって、前記部分的本体部分の上にあるトレンチ分離層と、
    上部表面上にあり、かつ前記複数の半導体本体の前記上側部分の側壁に横方向に隣接し、かつ前記トレンチ分離層の一部上にある1つまたは複数のゲート電極スタックと、
    前記1つまたは複数のゲート電極スタックの上方にあり、同じ方向に沿って交互に複数配置された第1および第2の導電ラインタイプを含み、前記第1の導電ラインタイプの全組成は前記第2の導電ラインタイプの全組成とは異なる、バックエンドオブラインメタライゼーション層(BEOLメタライゼーション層)と、
    を備える集積回路構造。
  2. 前記第1の導電ラインタイプのラインは、あるピッチで離間しており、前記第2の導電ラインタイプのラインは、前記ピッチで離間している、請求項1に記載の集積回路構造。
  3. 前記交互に複数配置された第1および第2の導電ラインタイプは、層間誘電体(ILD)層内にある、請求項1または2に記載の集積回路構造。
  4. 前記交互に複数配置された第1および第2の導電ラインタイプのラインは、エアギャップによって分離されている、請求項1から3のいずれか一項に記載の集積回路構造。
  5. 前記第1の導電ラインタイプの前記全組成銅を含み、前記第2の導電ラインタイプの前記全組成はAl、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料を含む、請求項1から4のいずれか一項に記載の集積回路構造。
  6. 前記交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、前記各ラインの底部および側壁に沿ってバリア層を備える、請求項1から5のいずれか一項に記載の集積回路構造。
  7. 前記交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、前記各ラインの側壁に沿ってではなく、前記各ラインの底部に沿ってバリア層を備える、請求項1から6のいずれか一項に記載の集積回路構造。
  8. 前記交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、下地のメタライゼーション層に接続された下地のビアに接続され、前記下地のメタライゼーション層は、前記1つまたは複数のゲート電極スタックと前記BEOLメタライゼーション層との間にあり、前記交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、誘電体プラグによって遮断されている、請求項1から7のいずれか一項に記載の集積回路構造。
  9. 前記グレーティングパターンは一定のピッチを有する、請求項1から8のいずれか一項に記載の集積回路構造。
  10. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分に隣接し、前記複数の半導体本体の半導体材料とは異なる前記半導体材料を含む、請求項1から9のいずれか一項に記載の集積回路構造。
  11. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分内にある、請求項1から10のいずれか一項に記載の集積回路構造。
  12. 前記1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を備える、請求項1から11のいずれか一項に記載の集積回路構造。
  13. 前記第1の導電ラインタイプは、前記第2の導電ラインタイプの上側表面の金属組成とは異なる金属組成の上側表面を有する、請求項1から12のいずれか一項に記載の集積回路構造。
  14. 集積回路構造であって、
    半導体基板の表面から突出し、部分的本体部分によって遮断されたグレーティングパターンを有する複数の半導体本体と、
    前記複数の半導体本体の間にあって前記複数の半導体本体の下側部分に隣接するが、前記複数の半導体本体の上側部分には隣接しないトレンチ分離層であって、前記部分的本体部分の上にあるトレンチ分離層と、
    上部表面上にあり、かつ前記複数の半導体本体の前記上側部分の側壁に横方向に隣接し、かつ前記トレンチ分離層の一部上にある1つまたは複数のゲート電極スタックと、
    前記1つまたは複数のゲート電極スタックの上方にあり、同じ方向に沿って交互に複数配置された第1および第2の導電ラインタイプを含むバックエンドオブラインメタライゼーション層(BEOLメタライゼーション層)であって、前記交互に複数配置された第1および第2の導電ラインタイプのラインの各ラインは、前記各ラインの側壁に沿ってではなく、前記各ラインの底部に沿ってバリア層を備える、バックエンドオブラインメタライゼーション層(BEOLメタライゼーション層)と、
    を備える集積回路構造。
  15. 前記第1の導電ラインタイプのラインは、あるピッチで離間しており、前記第2の導電ラインタイプのラインは、前記ピッチで離間している、請求項14に記載の集積回路構造。
  16. 前記交互に複数配置された第1および第2の導電ラインタイプは、層間誘電体(ILD)層内にある、請求項14または15に記載の集積回路構造。
  17. 前記交互に複数配置された第1および第2の導電ラインタイプのラインは、エアギャップによって分離されている、請求項14から16のいずれか一項に記載の集積回路構造。
  18. 前記第1の導電ラインタイプの全組成は、前記第2の導電ラインタイプの全組成と同じである、請求項14から17のいずれか一項に記載の集積回路構造。
  19. 前記第1の導電ラインタイプの全組成銅を含み、前記第2の導電ラインタイプの全組成、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Auおよびそれらの合金からなる群から選択される材料を含む、請求項14から18のいずれか一項に記載の集積回路構造。
  20. 前記交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、下地のメタライゼーション層に接続された下地のビアに接続され、前記下地のメタライゼーション層は、前記1つまたは複数のゲート電極スタックと前記BEOLメタライゼーション層との間にあり、前記交互に複数配置された第1および第2の導電ラインタイプのラインのうち1つまたは複数は、誘電体プラグによって遮断されている、請求項14から19のいずれか一項に記載の集積回路構造。
  21. 前記グレーティングパターンは一定のピッチを有する、請求項14から20のいずれか一項に記載の集積回路構造。
  22. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分に隣接し、前記複数の半導体本体の半導体材料とは異なる前記半導体材料を含む、請求項14から21のいずれか一項に記載の集積回路構造。
  23. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分内にある、請求項14から22のいずれか一項に記載の集積回路構造。
  24. 前記1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を備える、請求項14から23のいずれか一項に記載の集積回路構造。
  25. 集積回路構造であって、
    半導体基板の表面から突出し、部分的本体部分によって遮断された第1のグレーティングパターンを有する複数の半導体本体と、
    前記複数の半導体本体の間にあって前記複数の半導体本体の下側部分に隣接するが、前記複数の半導体本体の上側部分には隣接しないトレンチ分離層であって、前記部分的本体部分の上にあるトレンチ分離層と、
    上部表面上にあり、かつ前記複数の半導体本体の前記上側部分の側壁に横方向に隣接し、かつ前記トレンチ分離層の一部上にある1つまたは複数のゲート電極スタックと、
    1つまたは複数のゲート電極スタックの上方にあり、第1の方向に交互に配置された金属ラインおよび誘電体ラインの第2のグレーティングを備える第1のバックエンドオブラインメタライゼーション層(第1のBEOLメタライゼーション層)と、
    前記第1のBEOLメタライゼーション層の上方にあり、前記第1の方向と直交する第2の方向に交互に配置された金属ラインおよび誘電体ラインの第3のグレーティングを備える第2のBEOLメタライゼーション層と、を備え、前記第2のBEOLメタライゼーション層の前記第3のグレーティングの各金属ラインは、前記第1のBEOLメタライゼーション層の前記交互に配置された金属ラインおよび誘電体ラインに対応する第1の誘電体材料および第2の誘電体材料の交互に配置された別個の領域を備える誘電体層上にあり、前記第2のBEOLメタライゼーション層の前記第3のグレーティングの各誘電体ラインは、前記第1の誘電体材料および前記第2の誘電体材料の前記交互に配置された別個の領域とは別の第3の誘電体材料の連続領域を備える、集積回路構造。
  26. 前記第2のBEOLメタライゼーション層の金属ラインは、前記第1のBEOLメタライゼーション層の金属ラインの中心と直接整合され、かつ前記第2のBEOLメタライゼーション層の前記金属ラインの中心と直接整合された中心を有するビアによって、前記第1のBEOLメタライゼーション層の前記金属ラインに電気的に結合されている、請求項25に記載の集積回路構造。
  27. 前記第2のBEOLメタライゼーション層の金属ラインは、前記第1のBEOLメタライゼーション層の誘電体ラインの中心と直接整合された中心を有するプラグによって分断されている、請求項25または26に記載の集積回路構造。
  28. 前記第1の誘電体材料、前記第2の誘電体材料、および前記第3の誘電体材料のいずれも同じ材料ではない、請求項25から27のいずれか一項に記載の集積回路構造。
  29. 前記第1の誘電体材料、前記第2の誘電体材料、および前記第3の誘電体材料のうち2つのみが同じ材料である、請求項25から28のいずれか一項に記載の集積回路構造。
  30. 前記第1の誘電体材料および前記第2の誘電体材料の前記交互に配置された別個の領域はシームによって分離されており、前記第3の誘電体材料の前記連続領域は、シームによって前記第1の誘電体材料および前記第2の誘電体材料の前記交互に配置された別個の領域から分離されている、請求項25から29のいずれか一項に記載の集積回路構造。
  31. 前記第1の誘電体材料、前記第2の誘電体材料、および前記第3の誘電体材料のすべてが同じ材料である、請求項25から30のいずれか一項に記載の集積回路構造。
  32. 前記第1のグレーティングパターンは一定のピッチを有する、請求項25から31のいずれか一項に記載の集積回路構造。
  33. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分に隣接し、前記複数の半導体本体の半導体材料とは異なる前記半導体材料を含む、請求項25から32のいずれか一項に記載の集積回路構造。
  34. 前記1つまたは複数のゲート電極スタックの両側にあるソース領域またはドレイン領域をさらに備え、前記ソース領域または前記ドレイン領域は、前記複数の半導体本体の前記上側部分内にある、請求項25から33のいずれか一項に記載の集積回路構造。
  35. 前記1つまたは複数のゲート電極スタックの各々は、高kゲート誘電体層および金属ゲート電極を備える、請求項25から34のいずれか一項に記載の集積回路構造。
  36. エッチング停止層または追加の誘電体層が、前記第1のBEOLメタライゼーション層と前記第2のBEOLメタライゼーション層とを分離する、請求項25から35のいずれか一項に記載の集積回路構造。
JP2019527458A 2016-12-23 2016-12-23 高度なリソグラフィおよび自己組織化デバイス Active JP6923277B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021122723A JP7251040B2 (ja) 2016-12-23 2021-07-27 高度なリソグラフィおよび自己組織化デバイス

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/068586 WO2018118092A1 (en) 2016-12-23 2016-12-23 Advanced lithography and self-assembled devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021122723A Division JP7251040B2 (ja) 2016-12-23 2021-07-27 高度なリソグラフィおよび自己組織化デバイス

Publications (2)

Publication Number Publication Date
JP2020515029A JP2020515029A (ja) 2020-05-21
JP6923277B2 true JP6923277B2 (ja) 2021-08-25

Family

ID=62627078

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019527458A Active JP6923277B2 (ja) 2016-12-23 2016-12-23 高度なリソグラフィおよび自己組織化デバイス
JP2021122723A Active JP7251040B2 (ja) 2016-12-23 2021-07-27 高度なリソグラフィおよび自己組織化デバイス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021122723A Active JP7251040B2 (ja) 2016-12-23 2021-07-27 高度なリソグラフィおよび自己組織化デバイス

Country Status (7)

Country Link
US (4) US10892223B2 (ja)
JP (2) JP6923277B2 (ja)
KR (1) KR20190090372A (ja)
CN (2) CN110337715B (ja)
BR (1) BR112019010217A2 (ja)
DE (1) DE112016007542T5 (ja)
WO (1) WO2018118092A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180242465A1 (en) * 2017-02-23 2018-08-23 Lawrence Livermore National Security, Llc Fabrication of metal nanowire meshes over large areas by shear-alignment of block copolymers
FI128376B (en) * 2017-06-02 2020-04-15 Dispelix Oy Process for the preparation of a diffractive grating with varying efficiency and a diffraction grating
WO2019190463A1 (en) * 2018-03-26 2019-10-03 Intel Corporation Multifunctional molecules for selective polymer formation on conductive surfaces and structures resulting therefrom
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
KR20220086697A (ko) 2018-06-29 2022-06-23 일루미나, 인코포레이티드 플로우 셀
US11335598B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Grating replication using helmets and topographically-selective deposition
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
US11004791B2 (en) 2019-04-12 2021-05-11 Advanced Micro Devices, Inc. Semiconductor chip with stacked conductor lines and air gaps
US11270935B2 (en) * 2019-07-18 2022-03-08 International Business Machines Corporation Metallization layer formation process
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner
US20210090991A1 (en) * 2019-09-24 2021-03-25 Intel Corporation Integrated circuit structures having linerless self-forming barriers
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
CN113644048B (zh) * 2020-04-27 2023-12-22 联华电子股份有限公司 半导体元件及其制造方法
TWI828985B (zh) * 2020-06-10 2024-01-11 美商應用材料股份有限公司 完全自對準減法蝕刻
EP3922596A1 (en) * 2020-06-12 2021-12-15 Imec VZW A method for processing a semiconductor device with two closely spaced gates
US11569166B2 (en) * 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
EP4044219A3 (en) * 2020-12-21 2022-08-31 INTEL Corporation Via opening rectification using lamellar triblock copolymer, polymer nanocomposite, or mixed epitaxy
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6172387B1 (en) * 1998-05-04 2001-01-09 Micron Technology, Inc. Semiconductor interconnection structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7488650B2 (en) 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
JP5147330B2 (ja) * 2006-08-25 2013-02-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20090200683A1 (en) * 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8362572B2 (en) 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
CN103534600A (zh) 2011-03-29 2014-01-22 大陆-特韦斯贸易合伙股份公司及两合公司 用于测量电动车辆中供电电压的设备
US8614144B2 (en) * 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
KR20230057484A (ko) 2011-12-22 2023-04-28 인텔 코포레이션 반도체 구조
US9153440B2 (en) * 2012-03-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US20130256425A1 (en) 2012-03-27 2013-10-03 Alfonso M. Misuraca, SR. Self cleaning eductor
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9054215B2 (en) * 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
EP3796371A3 (en) 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
SG11201601323XA (en) 2013-09-27 2016-03-30 Intel Corp Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
WO2015047318A1 (en) 2013-09-27 2015-04-02 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9831306B2 (en) * 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9209077B2 (en) 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
KR102195230B1 (ko) * 2014-06-03 2020-12-24 삼성전자주식회사 정전기 보호 소자
WO2015191102A1 (en) 2014-06-13 2015-12-17 Intel Corporation Unidirectional metal on layer with ebeam
WO2015191106A1 (en) 2014-06-13 2015-12-17 Intel Corporation Ebeam non-universal cutter
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
CN105322013B (zh) * 2014-07-17 2020-04-07 联华电子股份有限公司 半导体元件及其形成方法
KR102326376B1 (ko) * 2014-11-28 2021-11-17 삼성전자주식회사 키 패턴들의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
EP3311404A4 (en) 2015-06-22 2019-02-20 INTEL Corporation INVERSION OF PICTURE SHADES WITH DIELECTRIC USING ASCENDING RETICULATION FOR INTERCONNECTIONS OF FINAL MANUFACTURING UNITS (BEOL)
WO2017044106A1 (en) 2015-09-10 2017-03-16 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (beol) interconnects
CN108352304B (zh) * 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
TWI675406B (zh) 2015-10-07 2019-10-21 聯華電子股份有限公司 半導體元件及其製作方法
US10522402B2 (en) 2015-12-16 2019-12-31 Intel Corporation Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
WO2017111923A1 (en) 2015-12-21 2017-06-29 Intel Corporation Approaches for measuring overlay, dose or focus on pre-patterned hardmask structures using scanning electron microscopy (sem)
WO2017111925A1 (en) 2015-12-21 2017-06-29 Intel Corporation Multi-pitch or variable pitch grating structures for overlay, dose or focus information extraction
WO2017111924A1 (en) 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US10950501B2 (en) 2015-12-21 2021-03-16 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US10319625B2 (en) 2015-12-22 2019-06-11 Intel Corporation Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
WO2017111770A1 (en) 2015-12-23 2017-06-29 Intel Corporation Transistor with dual-gate spacer
WO2017171717A1 (en) 2016-03-28 2017-10-05 Intel Corporation Aligned pitch-quartered patterning for lithography edge placement error advanced rectification
WO2017171715A1 (en) 2016-03-28 2017-10-05 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (beol) interconnect fabrication and structures resulting therefrom
WO2017171796A1 (en) 2016-03-31 2017-10-05 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
JP6714801B2 (ja) 2016-03-31 2020-07-01 インテル・コーポレーション 高分解能のフォトマスク又はレチクル及びその製造方法
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
US10804141B2 (en) 2016-05-27 2020-10-13 Intel Corporation Damascene plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10867853B2 (en) 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US11011463B2 (en) 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
CN109983564B (zh) * 2016-11-16 2023-05-02 东京毅力科创株式会社 亚分辨率衬底图案化的方法

Also Published As

Publication number Publication date
CN110337715A (zh) 2019-10-15
US10892223B2 (en) 2021-01-12
US20240071917A1 (en) 2024-02-29
CN110337715B (zh) 2023-08-25
DE112016007542T5 (de) 2019-09-12
US20200066629A1 (en) 2020-02-27
BR112019010217A2 (pt) 2019-08-27
US11373950B2 (en) 2022-06-28
JP7251040B2 (ja) 2023-04-04
JP2020515029A (ja) 2020-05-21
US20220262722A1 (en) 2022-08-18
US20210082800A1 (en) 2021-03-18
US11854787B2 (en) 2023-12-26
WO2018118092A1 (en) 2018-06-28
CN117219572A (zh) 2023-12-12
KR20190090372A (ko) 2019-08-01
JP2021170670A (ja) 2021-10-28

Similar Documents

Publication Publication Date Title
JP6923277B2 (ja) 高度なリソグラフィおよび自己組織化デバイス
TWI540621B (zh) 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化
CN110060972B (zh) 用于后段(beol)互连的自对准过孔及插塞图案化
US9625815B2 (en) Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
KR20160137972A (ko) 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
TW201708232A (zh) 用於沉積第二或第三列過渡金屬薄膜之固有選擇性前驅物
TWI705482B (zh) 用於後段製程(beol)互連的預形成通孔和插塞的自對準等向蝕刻
US10892184B2 (en) Photobucket floor colors with selective grafting
EP3238234A1 (en) Photodefinable alignment layer for chemical assisted patterning
JP5333978B2 (ja) パターンを形成する方法
TWI766949B (zh) 先進微影及自聚合裝置
TWI806638B (zh) 先進微影及自聚合裝置
US20220130719A1 (en) Differential hardmasks for modulation of electrobucket sensitivity
TW202411153A (zh) 先進微影及自聚合裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210629

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210727

R150 Certificate of patent or registration of utility model

Ref document number: 6923277

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150