TWI828985B - 完全自對準減法蝕刻 - Google Patents

完全自對準減法蝕刻 Download PDF

Info

Publication number
TWI828985B
TWI828985B TW110118606A TW110118606A TWI828985B TW I828985 B TWI828985 B TW I828985B TW 110118606 A TW110118606 A TW 110118606A TW 110118606 A TW110118606 A TW 110118606A TW I828985 B TWI828985 B TW I828985B
Authority
TW
Taiwan
Prior art keywords
layer
metal plating
hole
mask
plating layer
Prior art date
Application number
TW110118606A
Other languages
English (en)
Other versions
TW202147437A (zh
Inventor
立麗 馮
宇瓊 代
馬賀 沙奇安
里賈納 弗里德
和湧 黃
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202147437A publication Critical patent/TW202147437A/zh
Application granted granted Critical
Publication of TWI828985B publication Critical patent/TWI828985B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

描述提供完全自對準的第一金屬鍍覆線M1、通孔、和第二金屬鍍覆線M2的設備與方法。第一金屬鍍覆線包括在基板上的第一絕緣層上沿第一方向延伸的一組多條第一導線;第二金屬鍍覆線包括在該第一金屬鍍覆線上方的蝕刻停止層上的一組多條第二導線,該組多條第二導線沿第二方向延伸,該第二方向以一角度與該第一方向交叉;以及至少一個通孔位在該第一金屬鍍覆線和該第二金屬鍍覆線之間,該至少一個通孔包括通孔金屬鍍覆層,其中該至少一個通孔沿該第二方向自對準於該等第一金屬鍍覆線之其中一者,且該至少一個通孔沿該第一方向自對準於該等第二金屬鍍覆線之其中一者,該第二方向以一角度與該第一方向交叉。

Description

完全自對準減法蝕刻
本案揭示內容之實施例屬於電子元件製造領域,特別是屬於積體電路(IC)製造。更特定而言,本案揭示內容之實施例涉及生產自對準(self-aligned)金屬鍍覆(metallization)層和通孔(via)的方法。
大致上,積體電路(IC)是指一組電子元件,例如,形成在半導體材料(通常為矽)的小型晶片上的電晶體。通常,IC包括一或多層的金屬鍍覆物,該金屬鍍覆物具有金屬線,以將IC之電子元件彼此連接及連接到至外部的連接件。一般而言,層間介電材料層放置在IC的金屬鍍覆層之間以供絕緣。
釕已被用於取代銅作為次5nm之技術節點的後端(BEOL)互連材料。隨著當前的節點尺寸縮小,互連電阻/電容(RC)延遲比電晶體延遲大超過一千倍,因此互連延遲主導了總延遲時間。減少RC延遲成為最大的規模縮放(scaling)的挑戰之一。因此,需要用於減少互連延遲的方法和元件。
描述形成電子元件的設備和方法。在一或多個實施例中,一種形成電子元件的方法包括:形成第一金屬鍍覆線,該第一金屬鍍覆線具有第一寬度;在該第一金屬鍍覆線上的通孔金屬鍍覆層上形成通孔遮罩,該通孔遮罩具有遮罩寬度和遮罩長度;形成通孔,該通孔具有等於該遮罩寬度的通孔金屬長度,及具有等於該第一寬度的通孔金屬寬度;及形成第二金屬鍍覆線。
一或多個實施例涉及一種電子元件。在一或多個實施例中,一種電子元件包括:第一金屬鍍覆線,包括在基板上的第一絕緣層上沿第一方向延伸的一組多條第一導線(conductive line);第二金屬鍍覆線,包括在該第一金屬鍍覆線上方的蝕刻停止層上的一組多條第二導線,該組多條第二導線沿第二方向延伸,該第二方向以一角度與該第一方向交叉;以及至少一個通孔,位在該第一金屬鍍覆線和該第二金屬鍍覆線之間,該至少一個通孔包括通孔金屬鍍覆層,其中該至少一個通孔沿該第二方向自對準於該等第一金屬鍍覆線之其中一者,且該至少一個通孔沿該第一方向自對準於該等第二金屬鍍覆線之其中一者,該第二方向以一角度與該第一方向交叉。
一個或多個實施例中,描述一種用於形成完全自對準通孔的處理器實施方法(processor-implemented method)。在一或多個實施例中,一種處理器實施方法包括:接收用於第一組態(configuration)的數據,以控制形成第一金屬鍍覆線,該第一金屬鍍覆線具有第一寬度;接收用於第二組態的數據,以控制在該第一金屬鍍覆線上形成通孔遮罩,該通孔遮罩具有遮罩寬度和遮罩長度;接收用於第三組態的數據,以控制形成通孔,該通孔具有等於該遮罩寬度的通孔金屬長度,及具有等於該第一寬度的通孔金屬寬度;以及,接收用於第四組態的數據,以控制形成第二金屬鍍覆線。
在描述本案揭示內容的數個示範性實施例之前,應理解,本案揭示內容並不限於下文描述中所提出的構造或製程步驟的細節。本案揭示內容能夠有其他實施例並且能夠以各種方式實行或執行。
如本文所用,「基板」是指在製造製程期間,在上面執行膜處理的任何基板或形成在基板上的材料表面。例如,能夠在上面執行處理的基板表面包括諸如下述材料:矽、氧化矽、應變矽、絕緣體上覆矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,例如金屬、金屬氮化物、金屬合金,和其他導電材料,視應用而定。基板包括但不限於半導體晶圓。基板可暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化、退火和/或烘烤基板表面。除了直接在基板本身的表面上進行薄膜處理外,在本案揭示內容中,也可在如下文更詳細揭示的形成於基板上的下層(under-layer)上執行所揭示的薄膜處理步驟之任一者,且希望術語「基板表面」包括上下文所指示此類下層。因此,例如,當膜/層或部分膜/層已沉積到基板表面上時,新沉積的膜/層的暴露表面成為基板表面。
如本說明書和所附之申請專利範圍中所用,術語「前驅物」、「反應物」、「反應氣體」等可互換地使用,以指能夠與基板表面反應的任何氣態物種。
描述提供自對準金屬鍍覆層(即Ml和M2)和自對準通孔的設備及方法。在一個或多個實施例中,使用尺寸過大(oversized)的遮罩以獲得更大的重疊餘裕(overlay margin),而額外的蝕刻步驟允許通孔臨界尺寸(CD)修整以與其上方金屬線之臨界尺寸匹配,從而產生完全自對準的金屬鍍覆層M1、通孔、和金屬鍍覆層M2。此外,在一個或多個實施例中,最終的通孔金屬面積最大化,使得通孔電阻減少。
在下文的描述中,提出許多特定細節,諸如特定材料、化學條件(chemistry)、元件之尺寸等,以提供對本案揭示內容的一或多個實施例的透徹理解。然而,對於發明所屬技術領域中具有通常知識者而言,會明瞭可在無這些特定細節的情況下實行本案揭示內容之一或多個實施例。在其他例子中,並未詳盡描述半導體製造製程、技術、材料、設備等,以避免不必要地混淆了該描述。發明所屬技術領域中具有通常知識者根據所包含的描述將能夠實行適當的功能而無需過度實驗。
雖然在所附圖式中描述及顯示本案揭示內容之某些示範性實施例,但應理解,這些實施例僅是說明性的而非對本案揭示內容限制,並且本案揭示內容不限於所顯示及描述的特定構造及安排方式,因為發明所屬技術領域中具有通常知識者可想到修飾型態。
在整個說明書中對「一個實施例」、「另一實施例」或「一實施例」之指涉是意味結合該實施例描述的特定特徵、結構或特性是包括在本案揭示內容的至少一個實施例中。因此,在整個說明書中多處出現的詞彙「在一或或個實施例中」或「在一實施例中」不必然全部都是指本案揭示內容的相同實施例。此外,在一或多個實施例中,特定特徵、結構或特性可以任何合適的方式組合。
圖1繪示根據一或多個實施例的電子元件100之結構的透視圖。提供在基板102之頂表面上的絕緣層104。在一或多個實施例中,絕緣層可以包括熟悉技術人士已知的任何合適的材料。在特定實施例中,絕緣層104包括氧化矽(SiOx )。
在一個或多個實施例中,基板102包括至少一種半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷化銦(InP)、砷化銦鎵(InGaAs)、砷化鋁銦(InAlAs)、其他半導體材料或上述材料之任何組合。在一實施例中,基板102是絕緣體上覆半導體(SOI)基板,該基板包括主體下部基板、中間絕緣層、及頂部單晶層。頂部單晶層可以包括上面列出的任何材料,例如矽。在各種實施例中,基板102能夠是例如有機基板、陶瓷基板、玻璃基板或半導體基板。雖然在此描述可由其形成基板102的材料的一些範例,但是可作為基底(foundation)且其上面可建構有被動和主動電子元件(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電元件或任何其他電子元件)的任何材料都落入本案揭示內容的精神和範疇。
在一或多個實施例中,基板102包括用於積體電路的一或多個金屬鍍覆互連層。在至少一些實施例中,基板102包括設置為連接金屬鍍覆層的互連件,例如通孔。在至少一些實施例中,基板102包括電子元件,例如電晶體、記憶體、電容器、電阻器、光電元件、開關及任何其他主動及被動電子元件,該等元件被電絕緣層所分開,該電絕緣層例如為層間介電質、溝槽絕緣層、或任何其他對電子元件製造之技術領域中具有通常知識者而言已知的絕緣層。在一或多個實施例中,基板102包括位於基板102上方的一或多層,以限制晶格差排及缺陷。
在一或多個實施例中,絕緣層104可以是適合使相鄰元件絕緣且防止洩漏的任何材料。在一或多個實施例中,絕緣層104是可流動的介電材料。在一或多個實施例中,電絕緣層104是氧化物層,例如二氧化矽,或是由電子元件設計所決定的任何其他電絕緣層。在一或多個實施例中,絕緣層104包括層間介電質(ILD)。在一個或多個實施例中,絕緣層104是低k介電質,該低k介電質包括但不限於諸如下述材料:二氧化矽、氧化矽、碳氧化矽、碳摻雜氧化物(carbon-doped oxide,「CDO」,例如碳摻雜的二氧化矽)、多孔二氧化矽(SiO2 )、氮化矽(SiN)、或上述材料之任何組合。
在一或多個實施例中,絕緣層104包括k值小於5的介電材料。在一或多個實施例中,絕緣層104包括k值小於2的介電材料。在至少在一些實施例中,絕緣層104包括氧化物、碳摻雜氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、氮碳氧化物、聚合物、磷矽酸鹽玻璃、氟摻雜二氧化矽、有機矽酸鹽玻璃(OSG)、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、旋轉塗佈(spin-on)有機聚合物介電質、旋轉塗佈矽基(silicon based)聚合物介電材料、氣隙或上述各項之任何組合、由電子元件設計所決定的其他電絕緣層、或上述各項之任何組合。在至少一些實施例中,絕緣層104可以包括聚醯亞胺、環氧樹脂、光可界定材料,諸如苯併環丁烯(benzocyclobutene,BCB)和WPR系列材料,或旋轉塗佈玻璃。
在一或多個實施例中,絕緣層104是低k層間介電質,以於基板102上將一條金屬線與其他金屬線隔離。在一或多個實施例中,絕緣層104的厚度在從約1奈米(nm)至約2微米(µm)的大致範圍內。
在一實施例中,絕緣層104透過使用下述沉積技術中的一種沉積,該沉積技術例如但不限於:化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉塗佈、或其他微電子元件製造領域中具有通常知識者已知的絕緣沉積技術。
在一或多個實施例中,第一金屬鍍覆層106形成在絕緣層104的頂表面上。在一或多個實施例中,第一金屬鍍覆層106包括熟悉技術人士已知的任何合適的金屬,該金屬包括但不限於:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在一或多個特定實施例中,第一金屬鍍覆層106包括釕(Ru)。
在一或多個實施例中,通孔金屬鍍覆層107形成在蝕刻停止層108的頂表面上。在一或多個實施例中,通孔金屬鍍覆層包括熟悉技術人士已知的任何合適的金屬,該金屬包括但不限於:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在一或多個特定實施例中,通孔金屬鍍覆層107包括釕(Ru)。
在一或多個實施例中,第一金屬鍍覆層106和通孔金屬鍍覆層107可由蝕刻停止層108分開。蝕刻停止層108可包括熟悉技術人士已知的任何合適的材料。在一或多個實施例中,蝕刻停止層108包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2 O3 )、氧化鈦(TiO2 )、氮化矽(SiN)、碳氮化矽(SiCN)、碳化鎢(WC)、碳化硼鎢(WBC)、硼化矽(SiBx)、碳化硼(BC)、非晶碳、氮化硼(BN)、氮化硼碳(BCN)等。在一或多個特定實施例中,蝕刻停止層108包括氮化鈦(TiN)。
在一個或多個實施例中,硬遮罩110形成在通孔金屬鍍覆層107上。硬遮罩110可包括極紫外光(EUV)三層(trilayer)114和硬遮罩層112。EUV三層114可包括光阻劑、旋轉塗佈碳、和旋轉塗佈玻璃之一或多者。硬遮罩層112可包括熟悉技術人士已知的任何合適的材料。在一或多個實施例中,硬遮罩層112包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2 O3 )、氧化鈦(TiO2 )、氮化矽(SiN)、碳氮化矽(SiCN)、碳化鎢(WC)、碳化硼鎢(WBC)、硼化矽(SiBx)、碳化硼(BC)、非晶碳、氮化硼(BN)、氮化硼碳(BCN)等。在一或多個特定實施例中,硬遮罩層112包括氮化鈦(TiN)。
圖2繪示根據一或多個實施例的電子元件100結構的透視圖。在一或多個實施例中,至少一條金屬鍍覆線115(M1)經圖案化且為電子元件100的後端金屬鍍覆的一部分。第一金屬鍍覆線115沿第一方向x延伸。導電金屬線115a/115b可由溝槽116分開。在一或多個實施例中,使用硬遮罩110圖案化及蝕刻第一金屬鍍覆層106和通孔金屬鍍覆層107,而形成至少一個溝槽116和第一金屬鍍覆線115,這是透過使用微電子元件製造領域中具有通常知識者已知的一或多種圖案化和蝕刻技術。
在一或多個實施例中,溝槽116的深度在從約1nm到約500nm的範圍內。在一或多個實施例中,溝槽116的深度在導電金屬線115a/115b的厚度的約10%至約100%的範圍內。
圖3繪示根據一個或多個實施例的電子元件100結構的透視圖。在一個或多個實施例中,隙縫填充層118形成在金屬線115a/115b上和溝槽116中。
在一或多個實施例中,隙縫填充層118是透過使用下述沉積技術之一者沉積,該沉積技術例如但不限於:ALD、CVD、PVD、MBE、MOCVD、旋轉塗佈或微電子元件製造領域中具有通常知識者已知的襯墊沉積技術。在一或多個實施例中,隙縫填充層118包括可流動的低k材料。可流動的低k材料可以包括熟悉技術人士已知的任何合適的材料。
在一或多個實施例中,隙縫填充層118是可流動的氧化矽(FSiOx)層。在至少一些實施例中,隙縫填充層118是氧化物層,例如二氧化矽(SiO2 ),或由電子元件設計所決定的任何其他電絕緣層。在一或多個實施例中,隙縫填充層118是層間介電質(ILD)。在一或多個實施例中,隙縫填充層118是低k介電質,該低k介電質包括但不限於諸如下述材料:二氧化矽、氧化矽、碳基材料(例如多孔碳膜)、碳摻雜氧化物(「CDO」,例如碳摻雜二氧化矽)、多孔二氧化矽、多孔氫碳氧化矽(SiOCH)、氮化矽、或上述材料之任何組合。在一或多個實施例中,隙縫填充層118是k值小於3的介電材料。在更特定的實施例中,隙縫填充層118是k值約2.2至約2.7的大致範圍內的介電材料。在一或多個實施例中,隙縫填充層118包括k值小於2的介電材料。在一或多個實施例中,隙縫填充層118代表上文關於絕緣層104所描述的絕緣層之其中一者。
在一或多個實施例中,隙縫填充層118是低k層間介電質,將一條金屬線與其他金屬線隔離。在一或多個實施例中,隙縫填充層118是透過使用下述沉積技術之其中一者沉積,該沉積技術例如但不限於:CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD或其他微電子元件製造領域中具有通常知識者已知的低k絕緣層沉積技術。
在一些實施例中,將隙縫填充層118的形成描述為使用隙縫填充材料之體沉積(bulk deposition),以在基板的頂部上形成過載物(overburden),之後平坦化以移除該過載物。在一些實施例中,隙縫填充層118是藉由選擇性沉積製程形成,該選擇性沉積製程在導電金屬線115a/115b上實質上不形成(例如,<5%的面積)過載物。
圖4繪示根據一或多個實施例的電子元件100結構的透視圖。在一或多個實施例中,通孔硬遮罩124形成在隙縫填充層118的頂表面上和金屬線115b上面。在一些實施例中,通孔硬遮罩124包括在硬遮罩層120上的極紫外光(EUV)三層122。在一或多個實施例中,EUV三層122包括光阻劑、旋轉塗佈碳層、及旋轉塗佈玻璃層中的一或多者。在一或多個實施例中,硬遮罩層120可以包括熟悉技術人士已知的任何合適的材料。在一或多個實施例中,硬遮罩層120包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2 O3 )、氧化鈦(TiO2 )、氮化矽(SiN)、碳氮化矽(SiCN)、碳化鎢(WC)、碳化硼鎢(WBC)、硼化矽(SiBx)、碳化硼(BC)、非晶碳、氮化硼(BN)、氮化硼碳(BCN)等。在一或多個特定實施例中,硬遮罩層120包括氮化鈦(TiN)。
不希望受理論束縛,但認為使用尺寸過大的通孔硬遮罩124容許更大的重疊餘裕。在一或多個實施例中,通孔硬遮罩124具有一尺寸,該尺寸介於金屬線115b之臨界尺寸(CD)與間距尺寸之間。在一或多個實施例中,通孔硬遮罩124具有沿第二方向y延伸的寬度W和沿第一方向x延伸的長度L。在一或多個實施例中,寬度W和長度L相同。在其他實施例中,寬度W和長度L不同。在一個或多個實施例中,通孔硬遮罩124的寬度W是在約1nm至約100nm的範圍內,包括約5nm至約75nm的範圍、約5nm至約50 nm的範圍、從約5 nm至約25 nm的範圍,及約10 nm至約25 nm的範圍。在一或多個實施例中,通孔硬遮罩124的長度在約1nm到約100nm的範圍內,包括從約5nm到約75nm的範圍、從約5nm到約50nm的範圍、從約5nm至約25nm的範圍、和從約10nm至約25nm的範圍。在其中通孔硬遮罩124的寬度W和長度L相同的實施例中,通孔硬遮罩124具有方形形狀。
在一或多個實施例中,金屬間距尺寸為約22nm,金屬線之CD為約11nm,並且尺寸過大的通孔硬遮罩是在約11nm至約22nm的範圍內。在一或多個實施例中,通孔硬遮罩124具有約19nm的寬度W和約19nm的長度L,這使未對準餘裕(misalignment margin)和線短路風險(line short risk)最小化。
在一或多個實施例中,通孔硬遮罩124為尺寸過大。如本文所用,術語「尺寸過大(oversized)」參考的是通孔硬遮罩124相對於下方金屬線115b的寬度W和長度L。在一或多個實施例中,通孔硬遮罩124的寬度W大於金屬線115b的寬度。
圖5繪示根據一或多個實施例的電子元件100結構的透視圖。在一或多個實施例中,通孔金屬鍍覆層107受到蝕刻,而暴露蝕刻停止層108和硬遮罩層120的頂面。
圖6繪示根據一或多個實施例的電子元件100結構的透視圖。在一或多個實施例中,移除蝕刻停止層108和硬遮罩層120,以形成開口126。在一或多個實施例中,硬遮罩層120可藉由熟悉技術人士已知的任何技術移除,該技術包括但不限於選擇性乾蝕刻。
圖7繪示根據一或多個實施例的電子元件100結構的透視圖。在一個或多個實施例中,沉積隙縫填充層118以填充開口126。
在一些實施例中,將隙縫填充層118的形成描述為使用隙縫填充材料的體沉積,以在基板之頂部上形成過載物,之後平坦化而移除過載物。在一些實施例中,隙縫填充層118是藉由選擇性沉積製程形成,該製程在導電金屬線115a/115b上實質上不形成(例如,<5%的面積)過載物。
在一或多個實施例中,在隙縫填充層118沉積且視情況任選地平坦化之後,露出在隙縫填充層118中的通孔119。通孔119包括通孔金屬鍍覆層107。
在一或多個實施例中,通孔119具有沿第一方向延伸的金屬長度,其與尺寸過大遮罩124的長度相同。在一或多個實施例中,通孔金屬長度在從約1nm至約100nm的範圍內,包括:從約5奈米至約75奈米的範圍、從約5奈米至約50奈米的範圍、從約5奈米至約25奈米的範圍、以及從約10nm至約25nm的範圍。在特定實施例中,通孔金屬長度為約19nm。在一或多個實施例中,由於同時進行雙金屬蝕刻,所以通孔金屬寬度與金屬線115a(M1)寬度相同。在一或多個實施例中,通孔金屬之形狀(例如矩形)能夠容許為M2遮罩未對準/位移誤差提供一些餘裕。
在一或多個實施例中,在第二次微影術之後,藉由減法(substractive)蝕刻產生矩形形狀的通孔金屬。在一或多個實施例中,矩形形狀通孔(而非方形形狀通孔)為第三微影術M2對準提供更大的邊緣放置誤差(EPE)的誤差餘裕。在形成第二金屬鍍覆線之後,相較於形成方形形狀通孔金屬,矩形形狀通孔金屬的在第二金屬鍍覆線正下方的部分會維持住而免受到蝕刻。額外的通孔金屬(矩形形狀金屬減去方形形狀金屬的體積)將會被蝕刻掉,以形成兩個溝槽,且之後會以低k隙縫填充材料填充。
圖8繪示根據一或多個實施例的電子元件100結構的透視圖。在一或多個實施例中,第二金屬鍍覆層128形成於隙縫填充層118的頂表面上。在一或多個實施例中,使用多種沉積技術之其中一種沉積第二金屬鍍覆層128,該等沉積技術例如但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD或微電子元件製造領域中具有通常知識者已知的其他沉積技術。
在一或多個實施例中,第二金屬鍍覆層128能夠包括熟悉技術人士已知的任何合適的金屬。在一或多個實施例中,第二金屬鍍覆層128包括:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在特定實施例中,第二金屬鍍覆層128包括釕(Ru)。
在一或多個實施例中,硬遮罩130形成在第二金屬鍍覆層128上。在一或多個實施例中,硬遮罩130包括在硬遮罩層134上的極紫外光(EUV)三層132。硬遮罩層134可以包括熟悉技術人士已知的任何合適的材料。在一或多個實施例中,硬遮罩層134包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2O3)、氧化鈦(TiO2)、氮化矽(SiN)、碳氮化矽(SiCN)、碳化鎢(WC)、碳化硼鎢(WBC)、硼化矽(SiBx)、碳化硼(BC)、非晶碳、氮化硼(BN)、氮化硼碳(BCN)等。在一或多個特定實施例中,硬遮罩層134包括氮化鈦(TiN)。
圖9繪示根據一或多個實施例的電子元件100結構的透視圖。圖9A繪示根據一或多個實施例沿圖9的軸線A截取的電子元件100之剖面圖。在一或多個實施例中,至少一條第二金屬鍍覆線136、M2經圖案化,且是電子元件100的後端金屬鍍覆的一部分,該第二金屬鍍覆線136沿第二方向y延伸。導電金屬線136a/136b可由溝槽138分開。在一或多個實施例中,使用硬遮罩130圖案化及蝕刻第二金屬鍍覆層128,以形成溝槽138和第二金屬鍍覆線136,這是透過使用微電子元件製造領域中具有通常知識者已知的一或多種圖案化和蝕刻技術。在一或多個實施例中,該元件受到蝕刻,而暴露隙縫填充層118之頂表面、硬遮罩層134之頂表面、和形成溝槽138。換言之,在一或多個實施例中,第二金屬鍍覆層128和硬遮罩層134經蝕刻,而暴露隙縫填充層118的頂面和矩形通孔119。
圖10繪示根據一或多個實施例的電子元件100結構的透視圖。圖10A繪示根據一或多個實施例沿著圖10之軸線B截取的電子元件100的剖面圖。在一或多個實施例中,溝槽138中的過量的第二金屬鍍覆層128受到蝕刻,且將硬遮罩層134移除。在一或多個實施例中,此製程可稱為通孔修整。
在一或多個實施例中,通孔修整是藉由乾蝕刻溝槽中過量的通孔金屬而發生。
圖11繪示根據一或多個實施例的電子元件100結構的透視圖。圖11A繪示根據一或多個實施例的沿圖11A之軸線C截取的電子元件100的剖面圖。圖11B繪示根據一或多個實施例的沿圖11之軸線D截取的電子元件100的剖面圖。在一或多個實施例中,隙縫填充層118形成在第二金屬鍍覆層128之頂表面上。
在一些實施例中,將隙縫填充層118的形成描述為使用隙縫填充材料的體沉積而在基板的頂部上形成過載物,然後平坦化而移除過載物。在一些實施例中,隙縫填充層118是藉由選擇性沉積製程形成,該製程在導電金屬線136a/136b上實質上不形成(例如,<5%的面積)過載物。
圖12繪示根據一或多個實施例的電子元件100結構的透視圖。參考圖12,已移除隙縫填充層118以為了使元件之結構更易於觀看。該元件包括:在基板102上的絕緣層104,及與第二金屬鍍覆線136a/136b完全自對準的第一金屬鍍覆線115a/115b。第一金屬鍍覆線115a/115b沿第一方向x延伸,而第二金屬鍍覆線136a/136b沿第二方向y延伸。在一些實施例中,蝕刻停止層108將第一金屬鍍覆線115a/15b和第二金屬鍍覆線136a/136b分開。
在一或多個實施例中,絕緣層104能夠是適合使相鄰元件絕緣且防止洩漏的任何材料。在一或多個實施例中,絕緣層104是可流動介電材料。在一或多個實施例中,電絕緣層104是氧化物層,例如二氧化矽,或由電子元件設計所決定的任何其他電絕緣層。在一或多個實施例中,絕緣層104包括層間介電質(ILD)。在一個或多個實施例中,絕緣層104是低介電質,其包括但不限於諸如下述材料:二氧化矽、氧化矽、碳氧化矽、碳摻雜氧化物(「CDO」)(例如,碳摻雜二氧化矽)、多孔二氧化矽(SiO2 )、氮化矽(SiN)或上述材料之任何組合。
在一或多個實施例中,絕緣層104包括k值小於5的介電材料。在一或多個實施例中,絕緣層104包括k值小於2的介電材料。在至少在一些實施例中,絕緣層104包括氧化物、碳摻雜氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟摻雜二氧化矽、有機矽酸鹽玻璃(OSG)、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、旋轉塗佈有機聚合物介電質、旋轉塗佈矽基聚合物介電材料、氣隙或上述各項之任何組合、由電子元件設計所決定的其他電絕緣層、或上述各項之任何組合。在至少一些實施例中,絕緣層104可包括聚醯亞胺、環氧樹脂、光可界定材料,諸如苯併環丁烯(BCB)和WPR系列材料,或旋轉塗佈玻璃。
在一或多個實施例中,絕緣層104是低k層間介電質,將基板102上的一條金屬線與其他金屬線隔離。在一或多個實施例中,絕緣層104的厚度在從約1 奈米(nm)到約2 微米(µm)的大致範圍內。
在一實施例中,絕緣層104是使用多種沉積技術中的一種沉積,該等沉積技術例如但不限於:化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉塗佈、或其他微電子元件製造領域中具有通常知識者已知的絕緣沉積技術。
在一個或多個實施例中,第一金屬鍍覆線115a和115b包括第一金屬鍍覆層106。在一或多個實施例中,第一金屬層106a包括熟悉技術人士已知的任何合適的金屬,包括但不限於:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在一或多個特定實施例中,第一金屬鍍覆層106包括釕(Ru)。
在一或多個實施例中,第一金屬鍍覆線115a/115b包括一金屬,該金屬選自下述一或多者:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在特定實施例中,第一金屬鍍覆線115a/115b包括釕(Ru)。
在一個或多個實施例中,第二金屬鍍覆線136a/136b包括一金屬,該金屬選自下述一或多者:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在特定實施例中,第二金屬鍍覆線136a/136b包括釕(Ru)。
參照圖12,一或多個實施例提供一種電子元件100,包括:第一金屬鍍覆線115,該第一金屬鍍覆線115包括在基板102上的第一絕緣層104上沿第一方向x延伸的一組多條第一導線;第二金屬鍍覆線136,包括位於第一金屬鍍覆線115上方的蝕刻停止層108上的一組多條第二導線,該組多條第二導線沿與第一方向成一定角度交叉的第二方向y延伸;以及至少一個通孔119,在第一金屬鍍覆線115和第二金屬鍍覆線136之間,該至少一個通孔包括通孔金屬鍍覆層107,其中至少一個通孔119沿著第二方向自對準於該等第一金屬鍍覆線115之其中一者,且該至少一個通孔沿著第一方向自對準於該等第二金屬鍍覆線136之其中一者,該第二方向與該第一方向成一角度交叉。
空間上相對的術語,例如「下方」、「之下」、「下」、「上方」、「上」等,可在本文中使用以便於敘述,描述如圖中所繪示的一個元件或特徵與另一(或多個)元件或特徵的關係。應理解,除了圖中描繪的走向之外,空間上相對的術語旨在涵蓋使用或操作中的裝置的不同走向。例如,如果圖中的裝置被翻轉,則描述為在其他元件或特徵「下方」或「之下」的元件會定向為在其他元件或特徵「上方」。因此,示範性術語「下方」可涵蓋上方和下方的走向。裝置可以以其他方式定向(旋轉90度或處於其他走向),並且在此使用的空間上相對的敘述相應地解釋。
在描述本文所討論的材料和方法的上下文中(尤其是在下文的申請專利範圍的上下文中),術語「一」和「該」及類似指代詞的使用解釋為涵蓋單數和復數,除非本文另有指示或與上下文明顯牴觸。除非在本文中另有指示,否則本文對數值範圍的記載僅旨在用作單獨提及落入該範圍內的每一單獨值的速記方法,並且每一單獨值併入說明書中,宛如其於本文中單獨記載。除非本文另有指示或與上下文明顯牴觸,否則本文所述的所有方法都能夠以任何合適的順序執行。除非另有聲明,否則本文提供的任何和所有範例或示範性文字(例如,「諸如」)的使用僅旨在更佳地說明材料和方法,並且不對範圍加諸限制。說明書中的任何文字都不應被理解成指示任何未主張的要素(non-claimed element)對於所揭示之材料和方法的實行是不可或缺的。
此說明書全文中,對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的指涉意味著結合該實施例描述的特定特徵、結構、材料或特性包括在本案揭示內容的至少一個實施例。因此,在整個說明書中多處出現的詞彙「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不必然全部都是指本案揭示內容的相同實施例。在一或多個實施例中,特定的特徵、結構、材料或特性可以任何合適的方式組合。
雖然已經參考特定實施例描述了本案揭示內容,但應理解,這些實施例僅是對本案揭示內容的原理和應用的說明。對發明所屬技術領域中具有通常知識者而言,明瞭在不脫離本案揭示內容的精神和範疇的情況下,可以對本案揭示內容的方法和設備進行各種修改和變化。因此,希望本案揭示內容包括在所附之申請專利範圍內的修改例與變化例及其等效物。
100:電子元件 102:基板 104:絕緣層 106:第一金屬鍍覆層 107:通孔金屬鍍覆層 108:蝕刻停止層 110:硬遮罩 112:硬遮罩層 114:EUV三層 115,115a,115b:第一金屬鍍覆線 116:溝槽 118:隙縫填充層 119:通孔 120:硬遮罩層 122:EUV三層 124:通孔硬遮罩 126:開口 128:第二金屬鍍覆層 130:硬遮罩 132:EUV三層 134:硬遮罩層 136:第二金屬鍍覆線 136a,136b:第二金屬鍍覆線 138:溝槽 143:硬遮罩層
為了能夠詳細理解本案揭示內容的上述特徵的方式,可藉由參考實施例(其中一些繪示於所附的圖式中)獲得對上文簡要總結的本案揭示內容的更特定的描述。然而,應注意,所附之圖式僅說明本案揭示內容的典型實施例,因此不應被認為是對其範圍的限制,因為本案揭示內容可容許其他等效實施例。在所附圖式的該等圖中,以範例之方式(而非限制)說明本文所描述的實施例,圖中相同的元件符號是指相似的元件。
圖1繪示根據一或多個實施例的電子元件結構的透視圖;
圖2繪示根據一或多個實施例的電子元件結構的透視圖;
圖3繪示根據一或多個實施例的電子元件結構的透視圖;
圖4繪示根據一或多個實施例的電子元件結構的透視圖;
圖5繪示根據一或多個實施例的電子元件結構的透視圖;
圖6繪示根據一或多個實施例的電子元件結構的透視圖;
圖7繪示根據一或多個實施例的電子元件結構的透視圖;
圖8繪示根據一或多個實施例的電子元件結構的透視圖;
圖9繪示根據一或多個實施例的電子元件結構的透視圖;
圖9A繪示根據一或多個實施例的電子元件結構的透視圖;
圖10繪示根據一或多個實施例的電子元件結構的透視圖;
圖10A繪示根據一或多個實施例的電子元件結構的透視圖;
圖11繪示根據一或多個實施例的電子元件結構的透視圖;
圖11A繪示根據一或多個實施例的電子元件結構的透視圖;
圖11B繪示根據一或多個實施例的電子元件結構的透視圖;及
圖12繪示根據一或多個實施例的電子元件結構的透視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:電子元件
102:基板
104:絕緣層
106:第一金屬鍍覆層
108:蝕刻停止層
115a,115b:第一金屬鍍覆線
119:通孔
128:第二金屬鍍覆層
136a,136b:第一金屬鍍覆線

Claims (16)

  1. 一種形成電子元件的方法,該方法包括:形成一第一金屬鍍覆線(metallization line),該第一金屬鍍覆線具有一第一寬度;在該第一金屬鍍覆線上的一通孔(via)金屬鍍覆層上形成一通孔遮罩,該通孔遮罩具有一遮罩寬度和一遮罩長度;形成一通孔,該通孔具有等於該遮罩寬度的一通孔金屬長度,及具有等於該第一寬度的一通孔金屬寬度;及形成一第二金屬鍍覆線。
  2. 如請求項1所述之方法,其中該通孔遮罩包括位在一硬遮罩層上的一極紫外光(EUV)三層(trilayer)。
  3. 如請求項2所述之方法,其中形成該第一金屬鍍覆線包括:圖案化在一第一金屬鍍覆層上的該通孔金屬鍍覆層,該第一金屬鍍覆層位於一絕緣層上,該絕緣層位於一基板上,該通孔金屬鍍覆層具有一頂表面上的一硬遮罩。
  4. 如請求項3所述之方法,進一步包括:在該第一金屬鍍覆線上沉積一隙縫填充(gapfill)層。
  5. 如請求項4所述之方法,其中該通孔遮罩形成於該通孔金屬鍍覆層的一頂表面上及該隙縫填充層的一頂表面上。
  6. 如請求項5所述之方法,其中該通孔金屬鍍 覆層與該第一金屬鍍覆層由一蝕刻停止層分開,且其中該通孔金屬鍍覆層與該第一金屬鍍覆層獨立地包括下述一或多者:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。
  7. 如請求項3所述之方法,其中形成該通孔包括:蝕刻該EUV三層以暴露該硬遮罩層的一頂表面;蝕刻該硬遮罩層以暴露該通孔金屬鍍覆層:蝕刻該通孔金屬鍍覆層以暴露該蝕刻停止層;及移除該蝕刻停止層以暴露該第一金屬鍍覆層的一頂表面。
  8. 如請求項7所述之方法,其中該蝕刻停止層與該硬遮罩層獨立地包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2O3)、氧化鈦(TiO2)、氮化矽(SiN)、碳氮化矽(SiCN)、碳化鎢(WC)、碳化硼鎢(WBC)、硼化矽(SiBx)、碳化硼(BC)、非晶碳、氮化硼(BN)、及氮化硼碳(BCN)。
  9. 如請求項3所述之方法,其中該絕緣層包括下述各項的一或多種:氧化物、碳摻雜氧化物、多孔二 氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、或上述各項之任何組合。
  10. 一種用於形成完全自對準通孔的處理器實施方法(processor-implemented method),包括:接收用於一第一組態(configuration)的數據,以控制形成一第一金屬鍍覆線,該第一金屬鍍覆線具有一第一寬度;接收用於一第二組態的數據,以控制在該第一金屬鍍覆線上的一通孔金屬鍍覆層上形成一通孔遮罩,該通孔遮罩具有一遮罩寬度和一遮罩長度;接收用於一第三組態的數據,以控制形成一通孔,該通孔具有等於該遮罩寬度的一通孔金屬長度,及具有等於該第一寬度的一通孔金屬寬度;以及,接收用於一第四組態的數據,以控制形成一第二金屬鍍覆線。
  11. 如請求項10所述之處理器實施方法,其中該通孔遮罩包括位在一硬遮罩層上的一極紫外光(EUV)三層。
  12. 如請求項11所述之處理器實施方法,其中形成該第一金屬鍍覆線包括:在一第一金屬鍍覆層上圖案化該通孔金屬鍍覆層,該第一金屬鍍覆層位於一絕緣層上,該絕緣層位於一基板上,該通孔金屬鍍覆層具有 一頂表面上的一硬遮罩,且該絕緣層包括下述一或多者:氧化物、碳摻雜氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、或上述各項之任何組合。
  13. 如請求項12所述之處理器實施方法,進一步包括:接收用於一第五組態的數據,以控制在該第一金屬鍍覆線上沉積一隙縫填充層。
  14. 如請求項13所述之處理器實施方法,其中該通孔遮罩形成於該通孔金屬鍍覆層上及該隙縫填充層上。
  15. 如請求項14所述之處理器實施方法,其中該通孔金屬鍍覆層與該第一金屬鍍覆層由一蝕刻停止層分開,該蝕刻停止層與該硬遮罩層獨立地包括下述一或多者:氮化鈦(TiN)、鈦(Ti)、鉭(Ta)或氮化鉭(TaN)、氧化鋁(Al2O3)、氧化鈦(TiO2)、氮化矽(SiN)、或碳氮化矽(SiCN);且其中該通孔金屬鍍覆層與該第一金屬鍍覆層獨立地包括下述一或多者:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。
  16. 如請求項15所述之處理器實施方法,其中 形成該通孔包括:蝕刻該EUV三層以暴露該硬遮罩層的一頂表面;蝕刻該硬遮罩層以暴露該通孔金屬鍍覆層:蝕刻該通孔金屬鍍覆層以暴露該蝕刻停止層;及移除該蝕刻停止層以暴露該第一金屬鍍覆層的一頂表面。
TW110118606A 2020-06-10 2021-05-24 完全自對準減法蝕刻 TWI828985B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063037210P 2020-06-10 2020-06-10
US63/037,210 2020-06-10

Publications (2)

Publication Number Publication Date
TW202147437A TW202147437A (zh) 2021-12-16
TWI828985B true TWI828985B (zh) 2024-01-11

Family

ID=78825961

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110118606A TWI828985B (zh) 2020-06-10 2021-05-24 完全自對準減法蝕刻

Country Status (6)

Country Link
US (1) US11869807B2 (zh)
JP (1) JP2023529668A (zh)
KR (1) KR20210153549A (zh)
CN (1) CN115702481A (zh)
TW (1) TWI828985B (zh)
WO (1) WO2021252229A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115385336A (zh) * 2022-06-10 2022-11-25 中国石油大学(华东) 一种基于SiOx与超声波联合强化的二维纳米MXene材料快速制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201532219A (zh) * 2013-12-20 2015-08-16 Intel Corp 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
TW201606934A (zh) * 2014-04-02 2016-02-16 應用材料股份有限公司 形成互連之方法
US20190043754A1 (en) * 2015-06-12 2019-02-07 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8198188B1 (en) 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
CN109075121B (zh) * 2016-05-27 2023-10-13 太浩研究有限公司 用于半导体结构的后端线金属化层及其制造方法
DE112016007542T5 (de) * 2016-12-23 2019-09-12 Intel Corporation Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen
US11244897B2 (en) * 2020-04-06 2022-02-08 International Business Machines Corporation Back end of line metallization
US20220262791A1 (en) * 2021-02-16 2022-08-18 Intel Corporation Integrated circuit structure with front side signal lines and backside power delivery

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201532219A (zh) * 2013-12-20 2015-08-16 Intel Corp 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
TW201606934A (zh) * 2014-04-02 2016-02-16 應用材料股份有限公司 形成互連之方法
US20190043754A1 (en) * 2015-06-12 2019-02-07 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via

Also Published As

Publication number Publication date
JP2023529668A (ja) 2023-07-11
KR20210153549A (ko) 2021-12-17
TW202147437A (zh) 2021-12-16
CN115702481A (zh) 2023-02-14
US20210391215A1 (en) 2021-12-16
WO2021252229A1 (en) 2021-12-16
US11869807B2 (en) 2024-01-09

Similar Documents

Publication Publication Date Title
US10388525B2 (en) Multi-angled deposition and masking for custom spacer trim and selected spacer removal
TWI821444B (zh) 形成自動對準通孔之方法
US10892187B2 (en) Method for creating a fully self-aligned via
TW202011523A (zh) 用於增加支柱的垂直度的方法
US10714389B2 (en) Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
TW201842623A (zh) 完全自對準介層窗
US8957519B2 (en) Structure and metallization process for advanced technology nodes
US11094580B2 (en) Structure and method to fabricate fully aligned via with reduced contact resistance
TWI828985B (zh) 完全自對準減法蝕刻
CN113056808A (zh) 碳膜的选择性沉积及其使用
US10763160B1 (en) Semiconductor device with selective insulator for improved capacitance
TW202310173A (zh) 具有氣隙的半導體結構及用於製造該結構的方法
US20240153864A1 (en) Metallization levels with skip via and dielectric layer
US20240153866A1 (en) Interconnect with metal via structures
US11205591B2 (en) Top via interconnect with self-aligned barrier layer
US11764157B2 (en) Ruthenium liner and cap for back-end-of-line applications
CN115472491A (zh) 制造半导体结构的方法