DE112016007542T5 - Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen - Google Patents

Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen Download PDF

Info

Publication number
DE112016007542T5
DE112016007542T5 DE112016007542.1T DE112016007542T DE112016007542T5 DE 112016007542 T5 DE112016007542 T5 DE 112016007542T5 DE 112016007542 T DE112016007542 T DE 112016007542T DE 112016007542 T5 DE112016007542 T5 DE 112016007542T5
Authority
DE
Germany
Prior art keywords
layer
spacers
integrated circuit
ild
circuit structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112016007542.1T
Other languages
English (en)
Inventor
Richard E. Schenker
Robert L. Bristol
Florian Gstrein
Kevin L. Lin
James M. Blackwell
Marie Krysak
Manish Chandhok
Paul A. Nyhus
Charles H. Wallace
Curtis W. Ward
Swaminathan Sivakumar
Elliot N. Tan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112016007542T5 publication Critical patent/DE112016007542T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

Fortschrittliche Lithographietechniken einschließlich Abstands-Strukturierung und Strukturen unter 10nm, die daraus resultieren, werden beschrieben. Es werden selbstorganisierende Vorrichtungen und deren Herstellungsverfahren beschrieben.

Description

  • GEBIET DER TECHNIK
  • Ausführungsformen der Offenbarung betreffen das Gebiet der Halbleitervorrichtungen und der Halbleiterverarbeitung und insbesondere eine Strukturierung mit Abständen unter 10 nm und selbstorganisierte Vorrichtungen.
  • HINTERGRUND
  • Seit einigen Jahrzehnten ist die Skalierung von Merkmalen in integrierten Schaltungen eine treibende Kraft hinter einer ständig wachsenden Halbleiterindustrie. Eine Skalierung auf immer kleinere Merkmale ermöglicht erhöhte Dichten funktionaler Einheiten auf der begrenzten Grundfläche von Halbleiterchips. Zum Beispiel ermöglicht eine Schrumpfung einer Transistorgröße die Eingliederung einer größeren Zahl von Speicher- oder Logikvorrichtungen auf einem Chip, was zur Herstellung von Produkten mit erhöhter Leistungsfähigkeit führt. Das Streben nach immer höherer Leistungsfähigkeit ist jedoch nicht unproblematisch. Die Notwendigkeit, die Leistung der einzelnen Vorrichtungen zu optimieren, bekommt immer mehr Bedeutung.
  • Eine Variabilität herkömmlicher und derzeit bekannter Herstellungsprozesse kann die Möglichkeit ihrer künftigen Weiterführung in den Sub-10-nm-Bereich beschränken. Infolgedessen kann die Herstellung der funktionellen Bestandteile, die für künftige Technologieknoten benötigt werden, die Einführung neuer Methodiken oder die Integration neuer Technologien in heutige Herstellungsprozesse oder anstelle von heutigen Herstellungsprozessen erfordern.
  • Figurenliste
    • 1A zeigt eine Querschnittsansicht einer Ausgangsstruktur im Anschluss an eine Abscheidung, aber vor einer Strukturierung einer Hartmaskenmaterialschicht, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht ausgebildet wird.
    • 1B zeigt eine Querschnittsansicht der Struktur von 1A im Anschluss an die Strukturierung der Hartmaskenschicht durch Abstandshalbierung.
    • 2 zeigt Querschnittsansichten in einem Verarbeitungsablauf, der eine auf Abstandshaltern basierende Sechsfachstrukturierung (spacer-based-sextuple-patterning, SBSP) beinhaltet und der eine Abstandsteilung durch einen Faktor sechs beinhaltet.
    • 3 zeigt Querschnittsansichten in einem Verarbeitungsablauf, der eine auf Abstandshaltern basierende Neunfachstrukturierung (spacer-based-nonuple-patterning, SBNP) beinhaltet und der eine Abstandsteilung durch einen Faktor neun beinhaltet.
    • Die 4A-4N zeigen Querschnittsansichten verschiedener Operationen in einem Herstellungsverfahren für nicht planare Halbleitervorrichtungen gemäß einer Ausführungsform der vorliegenden Offenbarung:
    • 5 zeigt die Struktur von 4N im Anschluss an eine Freilegung oberer Abschnitte einer Vielzahl von Finnen gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 6A zeigt eine Querschnittsansicht einer nicht planaren Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 6B zeigt eine Planansicht entlang der a-a'-Achse der Halbleitervorrichtung von 6A gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 7A und 7B zeigen Querschnittsansichten von Ziel-Fundamentstrukturen, die sehr enge finale Abstandsstrukturen für Halbleiterschichten ermöglichen, gemäß Ausführungsformen der vorliegenden Offenbarung.
    • Die 8A-8H zeigen Querschnittsansichten, die verschiedene Operationen in einem Herstellungsverfahren für Ziel-Grundstrukturen darstellen, die sehr enge finale Abstandsstrukturen für Halbleiterschichten ermöglichen, gemäß Ausführungsformen der vorliegenden Offenbarung.
    • Die 8H' und 8H" zeigen Querschnittsansichten von beispielhaften Strukturen im Anschluss an eine Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 9A-9L zeigen Schrägschnittansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine Strukturierung durch Abstandsteilung mit vergrößertem Überdeckungsspielraum für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
    • Die 10A-10M zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Metallstrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 11A-11M zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Metallstrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 12A-12C zeigen Schrägschnittansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
    • Die 12D zeigt Schrägschnittansichten, die eine Operation in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
    • 12E zeigt eine Schrägschnittansicht, die eine Operation in einem anderen Verfahren darstellt, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer anderen Ausführungsform der vorliegenden Offenbarung verwendet werden.
    • 12F zeigt ein Dreiblock-Copolymer zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 12G und 12H zeigen Planansichten und entsprechende Querschnittsansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
    • Die 12I-12L zeigen Planansichten und entsprechende Querschnittsansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
    • 13 zeigt eine Planansicht und entsprechende Querschnittsansichten einer selbstjustierenden Viastruktur im Anschluss an die Ausbildung von Metallleitungen bzw. - strecken, Vias und Plugs gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 14A -14N zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 15A-15D zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Plug-Strukturierung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 16A-16D zeigen Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
    • Die 16E-16P zeigen Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem anderen Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
    • Die 17A-17J zeigen Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem anderen Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
    • Die 18A-18W zeigen Planansichten und entsprechende Schräg- und Querschnittsansichten, die verschiedene Operationen in einem Metallviaverarbeitungsablauf für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 19A-19L zeigen Planansichten und entsprechende Schrägansichten, die verschiedene Operationen in Gitterselbstjustierungs-Metallviaverarbeitungsabläufen für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 20A-20G zeigen Planansichten und entsprechende Querschnittsansichten, die verschiedene Operationen in einem Herstellungsverfahren für gitterbasierte Plugs und Einschnitte für die Ausbildung von Merkmalsenden für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • 21A zeigt eine Planansicht und eine entsprechende Querschnittsansicht entlang der a-a'-Achse der Planansicht einer Metallisierungsschicht einer Halbleitervorrichtung des Standes der Technik.
    • 21B zeigt eine Querschnittsansicht eines Leitungsendes oder -Plugs, das bzw. der anhand eines Verarbeitungsablaufs des Standes der Technik hergestellt worden ist.
    • 21C zeigt eine andere Querschnittsansicht eines Leitungsendes oder -Plugs, das bzw. der anhand eines Verarbeitungsablaufs des Standes der Technik hergestellt worden ist.
    • Die 21D-21J zeigen Querschnittsansichten, die verschiedene Operationen in einem Prozess der Strukturierung von Metallleitungsenden für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • 21K zeigt eine Querschnittsansicht einer Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die, der Enden oder Plugs dielektrischer Strecken mit einer Naht darin enthält, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 21L zeigt eine Querschnittsansicht einer Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die, der ein Ende oder einen Plug einer dielektrischen Strecke aufweist, die nicht unmittelbar an ein leitfähiges Via angrenzt, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 22A-22G zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine selbstjustierende isotrope Ätzung an vorab ausgebildeten Via- oder Plug-Orten beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 22H-22J zeigen Schrägschnittansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine selbstjustierende isotrope Ätzung an vorab ausgebildeten Via-Orten beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 23A-23L zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 23M-23S zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden ViaStrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 24A-24I zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 25A-25H zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden ViaStrukturierung unter Verwendung mehrfarbiger Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • 251 zeigt einen beispielhaften Zweitonfotolack für eine Art von Photobucket und einen beispielhaften Eintonfotolack für eine andere Art von Photobucket gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 26A zeigt eine Planansicht einer herkömmlichen Back-End-of-Line(BEOL)-Metallisierungsschicht.
    • 26B zeigt eine Planansicht einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 27A-27K zeigen Schrägschnittansichten, die verschiedene Operationen in einem Verfahren zur Herstellung einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 28A-28T zeigen Schrägschnittansichten, die verschiedene Operationen in einem Verfahren zur Herstellung einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 29A-29C zeigen Querschnittsansichten und entsprechende Planansichten von verschiedenen Operationen in einem Strukturierungsverfahren, in dem Photobuckets verwendet werden, die einen in zwei Stufen ausgeheizten Fotolack einschließen, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 29D zeigt eine Querschnittsansicht einer herkömmlichen Fotolack-Photobucketstruktur im Anschluss an die Photobucketentwicklung nach einer fehlausgerichteten Belichtung.
    • Die 30A-30E zeigen schematische Ansichten von verschiedenen Operationen in einem Strukturierungsverfahren, in dem Photobuckets verwendet werden, die einen in zwei Stufen ausgeheizten Fotolack einschließen, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 30A' zeigt eine schematische Ansicht einer Operation in einem anderen Strukturierungsverfahren, in dem Photobuckets verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 30A" zeigt eine schematische Ansicht einer Operation in einem anderen Strukturierungsverfahren, in dem Photobuckets verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 31 zeigt eine Schrägansicht einer alternierenden Struktur aus Zwischenschicht-Dielektrikums(ILD)-Strecken und Fotolackstrecken, wo ein Loch in einer der Fotolackstrecken ausgebildet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 32A-32H zeigen Querschnittsansichten eines Herstellungsprozesses, der eine Bildtonumkehrung mit einem Dielektrikum unter Verwendung einer Vernetzung von unten nach oben beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 33A zeigt ein Trisilacycyclohexan-Molekül gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 33B zeigt zwei vernetzte (XL) Trisilacycyclohexan-Moleküle, um ein vernetztes Material zu bilden, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 33C zeigt eine idealisierte Darstellung einer vernetzten Trisilacycyclohexan-Struktur gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 34A-34X zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Plug-Strukturierung unter Verwendung diagonaler Hartmasken gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • Die 35A-35D zeigen Querschnittsansichten und entsprechende Ansichten von oben, die verschiedene Operationen in einem Strukturierungsverarbeitungsablauf, in dem vorstrukturierte Hartmasken verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
    • 36A zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt gefertigte Schicht auf ein darunterliegendes vorstrukturiertes Hartmaskengitter gelegt wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36B zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36C zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Halbabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36D zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Überdeckung mit einem beliebigen Wert Δ in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36E zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Überdeckung mit einem beliebigen Wert Δ in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, wobei eine messbare Größe Δ durch Ändern der Fotolackempfindlichkeit und/oder der Größe von gezeichneten Merkmalen so klein wie nötig gemacht wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36F zeigt eine beispielhafte metrologische Struktur, die für die oben in Verbindung mit den 36A-36E beschriebenen Methoden geeignet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 37A zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht auf eine darunterliegende vorstrukturierte Hartmaske gelegt wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 37B zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf das darunterliegende vorstrukturierte Hartmaskengitter in der X-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 36C zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine negative Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter in der X-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 37D zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter in der Y-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 37C zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf das darunterliegende vorstrukturierte Hartmaskengitter in der X-Richtung aufweist und eine positive Viertelabstandsüberdeckung in Bezug auf das darunter liegende vorstrukturierte Hartmaskengitter in der Y-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 38 zeigt eine Querschnittsansicht einer Lithographiemaskenstruktur gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 39 ist eine schematische Querschnittsdarstellung einer Elektronenstrahlsäule eines Elektronenstrahl-Lithographieapparats.
    • 40 zeigt eine Apertur (links) eines Austastungsaperturfelds (BAA) in Bezug auf eine Strecke (rechts), die geschnitten werden soll oder an der an dafür vorgesehenen Orten Vias platziert werden sollen, während die Strecke unter der Apertur abgetastet wird.
    • 41 zeigt zwei nicht-gestaffelte Aperturen (links) eines BAA in Bezug auf zwei Strecken (rechts), die geschnitten werden sollen oder an denen an dafür vorgesehenen Orten Vias platziert werden sollen, während die Strecken unter der Apertur abgetastet werden.
    • 42 zeigt zwei Säulen mit gestaffelten Aperturen (links) eines BAA in Bezug auf eine Vielzahl von Strecken (rechts), die geschnitten werden sollen oder an denen an dafür vorgesehenen Orten Vias platziert werden sollen, während die Strecken unter den Aperturen abgetastet werden, wobei die Abtastrichtung von dem Pfeil angegeben wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 43A zeigt zwei Säulen mit gestaffelten Aperturen (links) eines BAA in Bezug auf eine Vielzahl von Strecken (rechts), die Einschnitte (Lücken in den horizontalen Strecken) oder Vias (schwarze Kästchen) aufweisen, die unter Verwendung des gestaffelten BAA strukturiert worden sind, wobei die Abtastrichtung von dem Pfeil angegeben wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 43B zeigt eine Querschnittsansicht eines Stapels aus Metallisierungsschichten in einer integrierten Schaltung auf Basis von Metallleitungs-Layouts der Art, die in 21A gezeigt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 44 zeigt eine Rechenvorrichtung gemäß einer Implementierung der Offenbarung.
    • 45 zeigt einen Interposer, der eine oder mehrere Ausführungsformen der Offenbarung beinhaltet.
  • BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
  • Es werden eine fortschrittliche Abstandsstrukturierung und selbstorganisierende Vorrichtungen beschrieben, insbesondere fortschrittliche Abstandsstrukturierungstechniken und Verfahren zur Herstellung selbstorganisierender Vorrichtungen zur Erzeugung von Vorrichtungen und Strukturen mit Merkmalen, die kleiner sind als 10-Nanometer (nm). In der folgenden Beschreibung werden zahlreiche spezifische Details angegeben, wie etwa spezifische Integrationsweisen und Materialauswahl, um ein gründliches Verstehen von Ausführungsformen der vorliegenden Offenbarung zu ermöglichen. Für einen Fachmann liegt es nahe, dass Ausführungsformen der vorliegenden Offenbarung auch ohne diese spezifischen Details in die Praxis umgesetzt werden können. In anderen Fällen werden bekannte Merkmale, wie etwa die Design-Layouts von integrierten Schaltungen, nicht ausführlich beschrieben, um nicht unnötigerweise von Ausführungsformen der vorliegenden Offenbarung abzulenken. Ferner sei klargestellt, dass die verschiedenen in den Figuren gezeigten Ausführungsformen Darstellungen sind, die der Veranschaulichung dienen sollen, und nicht unbedingt maßstabsgetreu sind.
  • Die folgende ausführliche Beschreibung soll nur der Veranschaulichung dienen und die Ausführungsformen des Gegenstands der Anmeldung und die Verwendung dieser Ausführungsformen nicht beschränken. Wie hierin verwendet, bedeutet das Wort „beispielhaft“ „als Beispiel, Fallbeispiel oder zur Veranschaulichung dienend“. Implementierungen, die hierin als beispielhaft beschrieben sind, sind nicht unbedingt als bevorzugt oder vorteilhaft in Bezug auf andere Implementierungen aufzufassen. Außerdem soll eine ausdrücklich formulierte oder implizierte Theorie, die im vorausgehenden Gebiet der Technik, im Hintergrund, in der Kurzfassung oder der folgenden ausführlichen Beschreibung vorgelegt wird, nicht als bindend angesehen werden.
  • In dieser Patentschrift wird hin und wieder auf „eine Ausführungsform“ Bezug genommen. Wenn die Formulierung „in einer Ausführungsform“ an verschiedenen Stellen in der Beschreibung vorkommt, bezieht sie sich nicht notwendigerweise immer auf dieselbe Ausführungsform. Bestimmte Merkmale, Strukturen oder Eigenschaften können auf jede geeignete Weise kombiniert werden, die mit dieser Offenbarung im Einklang steht.
  • Terminologie. In den folgenden Absätzen werden Definitionen und/oder Kontext für Begriffe geliefert, die in dieser Offenbarung (einschließlich der beigefügten Ansprüche) vorkommen:
  • „Umfassend“. Dies ist ein nicht-abschließender Begriff. Dieser Begriff, wie er in den beigefügten Ansprüchen verwendet wird, schließt zusätzliche Strukturen oder Schritte nicht aus.
  • „Dafür ausgelegt“. Verschiedene Einheiten oder Bestandteile können als solche beschrieben oder beansprucht werden, die „dafür ausgelegt“ sind, eine oder mehrere Aufgaben zu erfüllen. In einem solchen Kontext wird „dafür ausgelegt“ so verwendet, dass eine Struktur mitgemeint ist, da darauf hingewiesen wird, dass die Einheiten/Bestandteile eine Struktur aufweisen, die diese Aufgabe(n) im Betrieb durchführt. Somit kann man sagen, dass die Einheit/der Bestandteil dafür ausgelegt ist, die Aufgabe durchzuführen, auch wenn die jeweilige Einheit/der jeweilige Bestandteil gerade nicht in Betrieb ist (z.B. nicht eingeschaltet/aktiv ist). Wenn angegeben wird, dass eine Einheit/ein Bestandteil „dafür ausgelegt“ ist, eine oder mehrere Aufgaben durchzuführen, soll ausdrücklich nicht 35 U.S.C. $112, sechster Absatz, für diese Einheit/diesen Bestandteil geltend gemacht werden.
  • „Erste“, „zweite“ usw. Wie hierin verwendet, werden diese Begriffe als Attribute für Substantive verwendet, denen sie vorangestellt sind, und sollen keine irgendwie geartete Ordnung (z.B. räumlich, zeitlich, logisch usw.) implizieren. Zum Beispiel impliziert der Verweis auf eine „erste“ Solarzelle nicht unbedingt, dass diese Solarzelle die erste Solarzelle in einer Folge ist; vielmehr wird der Begriff „erste“ verwendet, um diese Solarzelle von einer anderen Solarzelle (z.B. einer „zweiten“ Solarzelle) zu unterscheiden.
  • „Gekoppelt“ - In der folgenden Beschreibung wird auf Elemente oder Knoten oder Merkmale Bezug genommen, die miteinander „gekoppelt“ sind. Wie hierin verwendet, bedeutet „gekoppelt“, solange nichts anderes angegeben ist, dass ein Element/Knoten/Merkmal direkt oder indirekt, aber nicht unbedingt mechanisch, mit einem anderen Element/einem anderen Knoten/einem anderen Merkmal verbunden ist (oder direkt oder indirekt mit diesem in Verbindung steht).
  • Außerdem kann eine bestimmte Terminologie in der folgenden Beschreibung auch nur für die Zwecke einer Bezugnahme verwendet werden und soll somit nicht beschränkend sein. Beispielsweise bezeichnen Begriffe wie „obere“, „untere“, „oberhalb“ und „unterhalb“ Richtungen in den Zeichnungen, auf die Bezug genommen wird. Begriffe wie „vorne“, „hinten“, „seitlich“, „auswärts“ und „einwärts“ beschreiben die Ausrichtung und/oder Lage von Abschnitten der Bestandteile innerhalb eines konsistenten aber beliebigen Bezugsrahmens, der durch Bezugnahme auf den Text und die zugehörigen Zeichnungen, die den erörterten Bestandteil beschreiben, verdeutlicht wird. Diese Terminologie kann die Wörter, die oben konkret genannt sind, Ableitungen davon und Wörter von ähnlicher Bedeutung einschließen.
  • „Hemmen“ - Wie hierin verwendet, wird hemmen verwendet, um eine verkleinernde oder minimierende Wirkung zu beschreiben. Wenn Bestandteile oder Merkmale als hemmend für eine Aktion, Bewegung oder Bedingung beschrieben werden, können sie ein Resultat oder Ergebnis oder einen künftigen Zustand vollkommen verhindern. Außerdem kann „hemmen“ auch eine Verringerung oder Abschwächung des Ergebnisses, der Leistung und/oder Wirkung, die ansonsten eintreten würde(n), bezeichnen. Wenn Bestandteile, Elemente oder Merkmale als hemmend für ein Resultat oder einen Zustand bezeichnet werden, müssen sie das Resultat oder den Zustand nicht vollständig verhindern oder eliminieren.
  • Hierin beschriebene Ausführungsformen können auf Front-End-of-Line(FEOL)-Halbleiterverarbeitungen und -Strukturen gerichtet sein. FEOL ist der erste Abschnitt der Herstellung einer integrierten Schaltung (IC), wo die einzelnen Vorrichtungen (z.B. Transistoren, Kondensatoren, Widerstände usw.) im Halbleitersubstrat oder in der Halbleiterschicht strukturiert werden. FEOL umfasst im Allgemeinen alles bis zur (aber nicht einschließlich der) Abscheidung von Metallverbindungsschichten. Im Anschluss an die letzte FEOL-Operation ist das Resultat typischerweise ein Wafer mit isolierten Transistoren (z.B. ganz ohne Drähte).
  • Hierin beschriebene Ausführungsformen können auf Back-End-of-Line(BEOL)-Halbleiterverarbeitungen und -Strukturen gerichtet sein. BEOL ist der zweite Abschnitt der IC-Herstellung, wo die einzelnen Vorrichtungen (z.B. Transistoren, Kondensatoren, Widerstände usw.) mit einer Verdrahtung auf dem Wafer, z.B. der oder den Metallisierungsschicht(en) verbunden werden. BEOL schließt Kontakte, Isolierschichten (Dielektrika), Metallebenen und Bond-Stellen für Verbindungen zwischen Chip und Gehäuse ein. Im BEOL werden Teile der Herstellungsstufenkontakte (Pads), Verbindungsdrähte, Vias und dielektrischen Strukturen ausgebildet. Bei modernen IC-Prozessen können im BEOL mehr als 10 Metallschichten hinzugefügt werden. Nachstehend beschriebene Ausführungsformen können auf FEOL-Verarbeitungen und -Strukturen, BEOL-Verarbeitungen und -Strukturen oder auf sowohl FEOLals auch BEOL-Verarbeitungen und -Strukturen anwendbar sein. Genauer können dann, wenn ein beispielhafter Verarbeitungsablauf anhand eines FEOL-Verarbeitungsszenarios gezeigt ist, solche Methoden auch auf eine BEOL-Verarbeitung anwendbar sein. Ebenso können dann, wenn ein beispielhafter Verarbeitungsablauf anhand eines BEOL-Verarbeitungsszenarios gezeigt ist, solche Methoden auch auf eine FEOL-Verarbeitung anwendbar sein.
  • Abstandsteilungsverarbeitungs- und Abstandsstrukturierungsabläufe können implementiert werden, um hierin beschriebene Ausführungsformen zu ermöglichen, oder können als Teil von hierin beschriebenen Ausführungsformen enthalten sein. Abstandsteilungsstrukturierung bezeichnet in der Regel eine Abstandshalbierung, eine Abstandsviertelung usw. Abstandsteilungsabläufe können auf eine FEOL-Verarbeitung, eine BEOL-Verarbeitung oder auf sowohl eine FEOL(Vorrichtungs)- als auch eine BEOL(Metallisierungs)-Verarbeitung anwendbar sein. Gemäß einer oder mehreren hierin beschriebenen Ausführungsformen wird zuerst eine optische Lithographie implementiert, um unidirektionale Strecken (z.B. entweder strikt unidirektional oder vorwiegend unidirektional) in einem vordefinierten Abstand zu drucken. Dann wird eine Abstandsteilungsverarbeitung als Technik zur Erhöhung der Streckendichte implementiert.
  • In einer Ausführungsform wird hierin der Begriff „Gitterstruktur“ für Metallleitungen bzw. -strecken, ILD-Strecken oder Hartmaskenstrecken verwendet, um eine Gitterstruktur mit engen Abständen zu bezeichnen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden, wie sie in der Technik bekannt ist. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß können die hierin beschriebenen gitterartigen Strukturen Metallleitungen, ILD-Strecken oder Hartmaskenstrecken aufweisen, die über einen im Wesentlichen konsistenten Abstand voneinander getrennt sind und eine im Wesentlichen konsistente Breite aufweisen. In manchen Ausführungsformen liegt die Abstandsabweichung beispielsweise innerhalb von zehn Prozent, und die Breitenabweichung liegt innerhalb von zehn Prozent, und in manchen Ausführungsformen liegt die Abstandsabweichung innerhalb von fünf Prozent und die Breitenabweichung liegt innerhalb von fünf Prozent. Die Struktur kann anhand einer Abstandshalbierungs- oder Abstandsviertelungs- oder einer anderen Abstandsteilungsmethode hergestellt werden. In einer Ausführungsform hat das Gitter nicht unbedingt gleiche Abstände.
  • In einem ersten Beispiel kann eine Abstandshalbierung implementiert werden, um die Liniendichte einer hergestellten Gitterstruktur zu verdoppeln. 1A zeigt eine Querschnittsansicht einer Ausgangsstruktur im Anschluss an eine Abscheidung, aber vor einer Strukturierung eines Hartmaskenmaterials, das auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht ausgebildet wird. 1B zeigt eine Querschnittsansicht der Struktur von 1A im Anschluss an die Strukturierung der Hartmaskenschicht durch Abstandshalbierung.
  • Wie in 1A gezeigt ist, weist eine Anfangsstruktur 100 eine Hartmaskenmaterialschicht 104 auf, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht 102 ausgebildet ist. Eine strukturierte Maske 106 ist oberhalb der Hartmaskenmaterialschicht 104 angeordnet. Die strukturierte Maske 106 weist Abstandshalter 108 auf, die entlang Seitenwänden ihrer Merkmale (Strecken) auf der Hartmaskenmaterialschicht 104 ausgebildet sind.
  • Wie in 1B gezeigt ist, wird die Hartmaskenmaterialschicht 104 anhand einer Abstandshalbierungsmethode strukturiert. Genauer wird zuerst die strukturierte Maske 106 entfernt. Die resultierende Struktur der Abstandshalter 108 hat die doppelte Dichte oder den halben Abstand der Merkmale der Maske 106. Die Struktur der Abstandshalter 108 wird, z.B. durch einen Ätzprozess, auf die Hartmaskenmaterialschicht 104 übertragen, um eine strukturierte Hartmaske 110 zu bilden, wie in 1B bildlich dargestellt ist. In einer solchen Ausführungsform wird die strukturierte Hartmaske 110 mit einer Gitterstruktur mit unidirektionalen Strecken gebildet. Die Gitterstruktur der strukturierten Hartmaske 110 kann eine Gitterstruktur mit engem Abstand sein. Zum Beispiel kann der enge Abstand durch herkömmliche Lithographietechniken nicht direkt erreichbar sein. Darüber hinaus kann der ursprüngliche Abstand durch eine zweite Runde einer Abstandshaltermaskenstrukturierung, die jedoch nicht dargestellt ist, geviertelt werden. Demgemäß kann die gitterartige Struktur der strukturierten Hartmaske 110 von 1B Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die in Bezug aufeinander eine konstante Breite aufweisen. Die erreichten Abmessungen können sehr viel kleiner sein als die kritische Abmessung der verwendeten Lithographietechnik.
  • Somit kann entweder für Front-End-of-Line(FEOL)- oder Back-End-of-Line(BEOL)-Integrationsabläufe oder für beide eine Blanket-Dünnschicht unter Verwendung von Lithographie und Ätzverarbeitung strukturiert werden, die z.B. eine auf Abstandshaltern basierende Doppelstrukturierung (SBDP) oder Abstandshalbierung oder eine auf Abstandshaltern basierende Vierfachstrukturierung (SBQP) oder Abstandsviertelung beinhalten kann. Man beachte, dass auch andere Abstandsteilungsmethoden implementiert werden können.
  • Zum Beispiel zeigt 2 Querschnittsansichten in einem Verarbeitungsablauf, der eine auf Abstandshaltern basierende Sechsfachstrukturierung (SBSP) beinhaltet, die eine Abstandsteilung durch einen Faktor sechs beinhaltet. In 2 ist bei Operation (a) eine Opferstruktur X im Anschluss an eine Litho-, Slim- und Ätzverarbeitung gezeigt. Bei der Operation (b) sind Abstandshalter A und B im Anschluss an eine Abscheidung und Ätzung gezeigt. Bei der Operation (c) ist die Struktur der Operation (b) im Anschluss an die Entfernung des Abstandshalters A gezeigt. Bei der Operation (d) ist die Struktur der Operation (c) im Anschluss an die Abscheidung des Abstandshalters C gezeigt. Bei der Operation (e) ist die Struktur der Operation (d) im Anschluss an die Ätzung des Abstandshalters C gezeigt. Bei der Operation (f) wird eine Abstand/6-Struktur im Anschluss an die Entfernung der Opferstruktur X und die Entfernung des Abstandshalters erreicht.
  • In einem anderen Beispiel zeigt 3 Querschnittsansichten in einem Verarbeitungsablauf, der eine auf Abstandshaltern basierende Neunfachstrukturierung (spacer-based-nonuple-patterning, SBNP) beinhaltet, die eine Abstandsteilung durch einen Faktor neun beinhaltet. In 3 ist bei der Operation (a) eine Opferstruktur X im Anschluss an eine Litho-, Slim- und Ätzverarbeitung gezeigt. Bei der Operation (b) sind Abstandshalter A und B im Anschluss an eine Abscheidung und Ätzung gezeigt. Bei der Operation (c) ist die Struktur der Operation (b) im Anschluss an die Entfernung des Abstandshalters A gezeigt. Bei der Operation (d) ist die Struktur der Operation (c) im Anschluss an die Abscheidung und Ätzung der Abstandshalter C und D gezeigt. Bei der Operation (e) wird eine Abstand/9-Struktur im Anschluss an die Entfernung des Abstandshalters C erreicht.
  • In jedem Fall kann in einer Ausführungsform ein gitterartiges Layout durch herkömmliche oder dem Stand der Technik entsprechende Lithographie, wie etwa 193-nm-Immersionslithographie (193i), hergestellt werden. Die Abstandsteilung kann implementiert werden, um die Dichte von Strecken im gitterartigen Layout um einen Faktor n zu erhöhen. Die Bildung eines gitterartigen Layouts mit 193i-Lithographie plus Abstandsteilung durch einen Faktor n kann als 193i+P/n-Abstandsteilung bezeichnet werden. In einer solchen Ausführungsform kann eine 193-nm-Immersionsskalierung über viele Generationen mit einer kostengünstigen Abstandsteilung ausgeweitet werden.
  • Bei der Herstellung integrierter Schaltungsvorrichtungen werden Multi-Gate-Transistoren, wie etwa Tri-Gate-Transistoren immer häufiger, je mehr die Abmessungen von Vorrichtungen weiter verkleinert werden. Bei herkömmlichen Prozessen werden Tri-Gate-Transistoren im Allgemeinen entweder auf Bulk-Siliziumsubstraten oder Silicon-on-Insulator-Substraten hergestellt. In manchen Fällen sind Bulk-Siliziumsubstrate aufgrund ihrer geringeren Kosten und ihrer Kompatibilität mit der bereits vorhandenen, hohe Ausbeuten liefernden Bulk-Siliziumsubstrat-Infrastruktur bevorzugt.
  • Allerdings ist die Skalierung von Multi-Gate-Transistoren nicht ohne Folgen geblieben. Dadurch, dass die Abmessungen dieser Grundbausteine mikroelektronischer Schaltungsanordnungen verkleinert werden und die schiere Zahl von Grundbausteinen, die in einer bestimmten Region hergestellt werden, erhöht wird, sind die Zwänge, denen die Halbleiterprozesse unterworfen sind, anhand von denen diese Bausteine hergestellt werden, erdrückend geworden.
  • In einer Ausführungsform wird eine gerichtete Selbstorganisation (directed selfassembly, DSA) für eine Hartmaskendifferenzierung (z.B. für die Bildung von Hartmasken mit unterschiedlichen Ätzeigenschaften) implementiert. In manchen Ausführungsformen können differenzierte Hartmasken auch als „farbige“ Hartmasken bezeichnet werden, wobei Hartmasken mit der gleichen Farbe die gleichen oder ähnliche Ätzselektivitäten aufweisen und wobei Hartmasken mit unterschiedlichen Farben unterschiedliche Ätzselektivitäten aufweisen. Man beachte, dass in der tatsächlichen Praxis der Begriff „Farbe“ nicht die tatsächliche Farbe des Hartmaskenmaterials bezeichnet. Die Hartmaskendifferenzierung (oder Färbung) kann zum Strukturieren oder selektiven Entfernen von Halbleiterfinnen unter einer Vielzahl gitterartiger Halbleiterfinnen verwendet werden. Mindestens eine hierin beschriebene Ausführungsform ist auf Prozesse und Strukturen gerichtet, die Methoden als Basis und zum Ursprung haben, die eine ausgerichtete abstandsgeviertelte (oder andere) Strukturierung für eine Randplatzierungsfehler(EPE)-Behebung beinhalten. Mindestens eine Ausführungsform kann als Methode beschrieben werden, die eine alternierende differenzierte oder „farbige“ Hartmaske für die Strukturierung von Halbleiterfinnen beinhaltet. Ausführungsformen können mindestens eine der folgenden beinhalten: DSA, Halbleitermaterialstrukturierung, Abstandsteilung, wie etwa Abstandsviertelung, differenzierte Hartmaskenselektivität, Selbstjustierung für die Finnenstrukturierung. Mindestens eine Ausführungsform eignet sich besonders für die Herstellung nicht planarer Halbleitervorrichtungen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden eine Verdoppelung eines zulässigen Randplatzierungsfehlers und eine Verdoppelung der Schnittgröße für das Schneiden kleiner Merkmale mit engem Abstand für eine Strukturierung sehr feiner Finnen implementiert. In einer Ausführungsform werden alle Merkmale (z.B. Finnenstrecken) in ein Halbleitersubstrat mit einer einzigen Population gleichartiger Abweichungen der kritischen Abmessung (critical dimension, CD) übertragen. Diese Methode steht im Gegensatz zu Methoden des Standes der Technik, die auf einer auf Abstandshaltern basierenden Abstandsviertelung beruhen, die typischerweise drei diskrete Populationen von Streckenbreiten (z.B. Backbone-, Komplement- und Abstandshalterabmessungen) aufweist.
  • Um dies in einen Kontext zu stellen, so kann es erstrebenswert sein, Bulk-Silizium für Finnen oder auf drei Gattern basierende Halbleitervorrichtungen zu verwenden. In einer Ausführungsform wird eine gerichtete Selbstorganisation implementiert, um eine Abstandsteilung und „Färbung“ jedes zweiten Merkmals in einer gewünschten Struktur zu erreichen. In einer solchen Ausführungsform ist die Strukturierungsmethode besonders geeignet für die Strukturierung von Siliziumfinnen in einem Tri-Gate-Übergangsstrukturierungsfluss. In einer Ausführungsform können Vorteile von Implementierungsmethoden, die hierin beschrieben werden, einen oder mehrere der folgenden beinhalten: (1) es wird ermöglicht, dass es nur eine einzige Population von Merkmalsbreiten gibt, (2) die Randplatzierungsfehleranforderungen für das Schneiden von Merkmalen werden verdoppelt, (3) die Abmessungen von Löchern oder Öffnungen, die jeweils nötig sind, um ein einzelnes Merkmal zu schneiden, werden verdoppelt (z.B. werden die Beschränkungen hinsichtlich der Größe der Öffnungen gelockert) oder (4) die Kosten des Strukturierungsprozesses werden gesenkt. Strukturelle Artefakte des Prozesses schließen in einer Ausführungsform eine einzige Population kritischer Abmessungen und bei den Übergängen von einem Abstand auf einen anderen und/oder von einem Gitter auf ein anderes an den Schutzringen, die den Die oder die Chips umgeben, ein. Ausführungsformen können ein Schneiden von Strecken mit engen Abständen ohne Notwendigkeit der Skalierung der Anforderungen hinsichtlich des Randplatzierungsfehlers ermöglichen.
  • Für einen beispielhaften Verarbeitungsablauf zeigen die 4A-4N eine Querschnittsansicht verschiedener Operationen in einem Verfahren zur Herstellung nicht planarer Halbleitervorrichtungen gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 4A zeigt ein Bulk-Halbleitersubstrat 402 mit einer darauf ausgebildeten ersten strukturierten Hartmaske 404. In einer Ausführungsform ist das Bulk-Halbleitersubstrat 402 ein einkristallines Bulk-Siliziumsubstrat mit darin geätzten Finnen 402. In einer Ausführungsform ist das Bulk-Halbleitersubstrat 402 in diesem Stadium undotiert oder nur leicht dotiert. Zum Beispiel weist das Bulk-Halbleitersubstrat 402 in einer bestimmten Ausführungsform eine Konzentration von Bordotierungs-Fremdatomen von weniger als ungefähr 1E17 Atomen/cm3 auf.
  • In einer Ausführungsform weist die erste strukturierte Hartmaske 404 Merkmale mit einem Abstand 406 auf. In einer solchen Ausführungsform stellt die erste strukturierte Hartmaske 404 die Hälfte der möglichen Anzahl von Finnen dar, die am Ende in dem Substrat 402 ausgebildet sein werden. Das heißt, der Abstand 406 wird effektiv gelockert, um den Abstand der endgültig gebildeten Finnenstruktur zu verdoppeln. In einer Ausführungsform wird die erste Hartmaske 404 direkt unter Verwendung eines lithographischen Prozesses strukturiert. In anderen Ausführungsformen wird jedoch eine Abstandsteilung, z.B. eine Abstandshalbierung, angewendet und verwendet, um eine strukturierte Hartmaske 404 mit einem Abstand 406 bereitzustellen. Man beachte, dass in einer Ausführungsform die erste Führungsstruktur anhand von herkömmlicher Strukturierung (Litho/Ätzen), nur Litho, auf Abstandshaltern basierender Doppelstrukturierung oder anderen Abstandsteilungsverfahren ausgebildet werden kann. In einer Ausführungsform wird die Führungsstruktur von der DSA-Struktur durch die Verwendung von zwei oder mehr Hartmasken getrennt, so dass die CDs aus einer einzigen Population (z.B. aus einer Ätzung)) gebildet werden.
  • 4B zeigt die Struktur von 4A im Anschluss an die Bildung einer zweiten Hartmaskenschicht 408 zwischen der ersten strukturierte Hartmaske 404. In einer Ausführungsform wird die zweite Hartmaskenschicht 408 durch Ausbilden einer Hartmasken-Blanketschicht über dem Substrat 402 und der ersten strukturierten Hartmaske 404 und anschließend Planarisieren der unstrukturierten Hartmaskenschicht, um eine zweite Hartmaskenschicht 408 zu bilden, z.B. durch chemisch-mechanisches Planarisieren bzw. Polieren (CMP), ausgebildet. In einer anderen Ausführungsform folgen ALD- oder CVD-Techniken der Kontur der Oberfläche des Wafers, und da zum Beispiel Finnenschnitte verwendet werden, ist der Wafer an diesem Punkt des Prozesses im Wesentlichen flach.
  • In einer Ausführungsform weist die zweite Hartmaskenschicht 408 eine Ätzeigenschaft auf, die von einer Ätzeigenschaft der ersten strukturierten Hartmaske 404 verschieden ist.
    In einer Ausführungsform handelt es sich bei einer oder bei beiden von der zweiten Hartmaskenschicht 408 und der ersten strukturierten Hartmaske 404 um eine Schicht aus einem Nitrid von Silizium (z.B. Siliziumnitrid) oder eine Schicht aus einem Oxid von Silizium oder beides oder um eine Kombination davon. Andere geeignete Materialien können Materialien auf Kohlenstoffbasis, wie etwa Siliziumcarbid, einschließen. In einer anderen Ausführungsform schließt ein Hartmaskenmaterial eine Metallspezies ein. Zum Beispiel kann eine Hartmaske oder ein anderes aufliegendes Material eine Schicht aus einem Nitrid von Titan (z.B. Titannitrid) oder einem anderen Metall einschließen. In einer oder mehreren dieser Schichten können möglicherweise geringere Mengen anderer Materialien, wie etwa Sauerstoff, enthalten sein. Die Hartmaskenschichten können anhand von CVD, PVD oder anderen Abscheidungsverfahren ausgebildet werden.
  • 4C zeigt die Struktur von 4B im Anschluss an die Abscheidung einer Schicht aus selektivem Bürstenmaterial 410. Das selektive Bürstenmaterial 410 ist ein selektives Material, das in manchen Ausführungsformen mit einer Bürste aufgetragen werden kann. Man beachte, dass „Bürstenmaterial“ häufig als Fachbegriff für DSA-Prozesse verwendet wird und dass dadurch nicht impliziert wird, dass das selektive Material 410 als Bürste verwendet wird. In einer Ausführungsform haftet die Schicht aus selektivem Bürstenmaterial 410 nur an der ersten strukturierten Hartmaske 404, wie in 4C gezeigt ist. In einer anderen Ausführungsform wird das selektive Bürstenmaterial jedoch stattdessen auf die zweite Hartmaskenschicht 408 aufgetragen. In einer noch anderen Ausführungsform haftet die Schicht aus selektivem Bürstenmaterial 410 nur an der ersten strukturierten Hartmaske 404, und ein zweites, anderes selektives Bürstenmaterial wird auf der zweiten Hartmaskenschicht 408 ausgebildet.
  • In einer Ausführungsform schließt die Schicht aus selektivem Bürstenmaterial 410 eine Molekülspezies ein, die Polystyrol mit einer Kopfgruppe, die ausgewählt ist aus der Gruppe bestehend aus -SH, -PO3H2, -CO2H, -NRH, -NRR' und -Si(OR)3, einschließt. In einer anderen Ausführungsform schließt die Schicht aus selektivem Bürstenmaterial 410 eine Molekülspezies ein, die Polymethacrylat mit einer Kopfgruppe, die ausgewählt ist aus der Gruppe bestehend aus -SH, -PO3H2, -CO2H, -NRH, -NRR' und -Si(OR)3, einschließt. In einer Ausführungsform wird die Schicht aus selektivem Bürstenmaterial 410 von einem Bestandteil eines DSA-Block-Copolymers (z.B. Polystyrol oder Polymethylmethacrylat) angezogen. Die Schicht aus selektivem Material 410 kann in anderen Ausführungsformen andere geeignete Materialien einschließen.
  • 4D zeigt die Struktur von 4C im Anschluss an die Anwendung eines Prozesses der Organisation eines direkt selbstorganisierenden (DSA) Block-Copolymers 414/416(A/B) und Polymers. In einer Ausführungsform wird ein DSA-Block-Copolymer auf die Oberfläche geschichtet und getempert, um das Polymer in erste Polymerblöcke 414 und zweite Polymerblöcke 416 (in 4D als 416A und 416B gekennzeichnet) aufzuteilen. In einer Ausführungsform binden sich die Polymerblöcke 416 während des Temperprozesses bevorzugt an die Schicht aus dem selektiven Bürstenmaterial 410. Die Polymerblöcke 414 haften an der zweiten Hartmaskenschicht 408. In einer bestimmten Ausführungsform ist der Organisationsabstand jedoch der halbe Abstand der ersten strukturierten Hartmaske 404. In diesem Fall haften Abschnitte 416A der Polymerblöcke 416 an der Schicht aus selektivem Bürstenmaterial 410 auf der ersten Hartmaske 404, während Abschnitte 416B der Polymerblöcke 416 auf der zweiten Hartmaskenschicht 408 zwischen den Polymerblöcken 414 ausgebildet werden.
  • In einer Ausführungsform ist das Block-Copolymermolekül 414/416(A/B) ein polymeres Molekül, das aus einer Kette kovalent gebundener Monomere gebildet ist. In einem Di-Block-Copolymer gibt es zwei verschiedene Arten von Monomeren, und diese zwei verschiedenen Arten von Monomeren sind hauptsächlich innerhalb von zwei verschiedenen Blöcken oder zusammenhängenden Folgen von Monomeren enthalten. Das gezeigte Block-Copolymermolekül schließt einen Block eines Polymers 414 und einen Block eines Polymers 416(A/B) ein. In einer Ausführungsform schließt der Block eines Polymers 414 hauptsächlich eine Kette aus kovalent verknüpftem Monomer A (z.B. A-A-A-A-A...) ein, während der Block eines Polymers 416(A/B) hauptsächlich eine Kette aus kovalent verknüpftem Monomer B (z.B. B-B-B-B-B...) einschließt. Die Monomere A und B können beliebige von den verschiedenen Arten von Monomeren darstellen, die in Block-Copolymeren verwendet werden, die in der Technik bekannt sind. Zum Beispiel kann das Monomer A Monomere darstellen, aus denen Polystyrol gebildet werden kann, und das Monomer B kann Monomere darstellen, aus denen Poly(methylmethacrylat) (PMMA) gebildet werden kann, oder umgekehrt, aber der Bereich der Offenbarung ist nicht derartig beschränkt. In anderen Ausführungsformen kann es mehr als zwei Blöcke geben. Darüber hinaus kann in anderen Ausführungsformen jeder von den Blöcken andere Arten von Monomeren enthalten (z.B. kann jeder Block für sich ein Copolymer sein). In einer Ausführungsform sind der Block des Polymers 414 und der Block des Polymers 416(A/B) kovalent aneinander gebunden. Der Block des Polymers 414 und der Block des Polymers 416(A/B) können ungefähr gleich lang sein, oder ein Block kann deutlich länger sein als der andere.
  • Typischerweise können die Blöcke von Block-Copolymeren (z.B. der Block des Polymers 414 und der Block des Polymers 416(A/B)) jeweils unterschiedliche chemische Eigenschaften aufweisen. Zum Beispiel kann einer von den Blöcken relativ stärker hydrophob (z.B. wasserabstoßend) sein, und der andere kann relativ stärker hydrophil (wasseranziehend) sein. Zumindest in der Theorie kann einer von den Blöcken relativ ölähnlicher sein, und der andere Block kann relativ wasserähnlicher sein. Solche Unterschiede der chemischen Eigenschaften zwischen den verschiedenen Blöcken von Polymeren, seien es nun Unterschiede zwischen hydrophil-hydrophob oder andere, können bewirken, dass sich die Block-Copolymermoleküle selbst organisieren. Zum Beispiel kann die Selbstorganisation auf einer Mikrophasentrennung der Polymerblöcke basieren. In der Theorie kann dies der Phasentrennung von Öl und Wasser ähneln, die allgemein unvermischbar sind. Ebenso können Unterschiede in der Hydrophilie zwischen den Polymerblöcken (z.B. ist ein Block relativ hydrophob und der andere Block ist relativ hydrophil) eine im Großen und Ganzen analoge Mikrophasentrennung bewirken, wo die verschiedenen Polymerblöcke versuchen, sich voneinander zu „trennen“, weil sie chemisch voneinander abgestoßen werden.
  • Weil die Polymerblöcke kovalent aneinander gebunden sind, können sie sich in einer Ausführungsform makroskopisch betrachtet jedoch nicht vollständig voneinander trennen. Stattdessen können Polymerblöcke einer bestimmten Art dazu neigen, sich in extrem kleinen Regionen oder Phasen (z.B. im Nanometergrößenbereich) abzusondern bzw. aufzuteilen oder mit Polymerblöcken der gleichen Art von anderen Molekülen zu konglomerieren. Die jeweilige Größe und Form der Regionen oder Mikrophasen hängt im Allgemeinen zumindest zum Teil von den relativen Längen der Polymerblöcke ab. In einer Ausführungsform wird zum Beispiel in zwei Block-Copolymeren, falls die Blöcke ungefähr gleich lang sind, eine gitterartige Struktur aus sich abwechselnden Strecken aus Polymer 414 und Strecken aus Polymer 416(A/B) erzeugt.
  • In einer Ausführungsform wird zuerst das Gitter aus Polymer 414/Polymer 416(A/B) als Schichtabschnitt aus nicht organisiertem Block-Copolymer aufgetragen, der ein Block-Copolymermaterial einschließt, das z.B. durch einen Bürsten- oder anderen Beschichtungsprozess aufgetragen wird. Der Aspekt der fehlenden Organisation betrifft Szenarios, wo das Block-Copolymer bei seiner Abscheidung keine vollständige Phasentrennung und/oder Selbstorganisation durchgemacht hat, um Nanostrukturen zu bilden. In dieser nicht organisierten Form sind die Block-Copolymermoleküle relativ hochgradig regellos, wobei die unterschiedlichen Polymerblöcke relativ hochgradig regellos ausgerichtet und angeordnet sind. Der Schichtabschnitt aus nicht organisiertem Block-Copolymer kann auf verschiedene unterschiedliche Arten aufgetragen werden. Zum Beispiel kann das Block-Copolymer in einem Lösungsmittel gelöst und dann durch Rotationsbeschichtung über der Oberfläche aufgebracht werden. Alternativ dazu kann das nicht organisierte Block-Copolymer durch Sprühbeschichtung, Tauchbeschichtung oder anderweitig über die Oberfläche aufgebracht oder aufgetragen werden. Andere Möglichkeiten zum Auftragen von Block-Copolymeren, ebenso wie andere Möglichkeiten, die im Stand der Technik für die Auftragung ähnlicher organischer Beschichtungen bekannt sind, können möglicherweise verwendet werden. Dann kann die nicht organisierte Schicht einen Schichtabschnitt aus organisiertem Block-Copolymer bilden, z.B. durch Mikrophasentrennung und/oder Selbstorganisation des Schichtabschnitts aus nicht organisiertem Block-Copolymer. Die Mikrophasentrennung und/oder die Selbstorganisation findet bzw. finden durch Umgruppierung und/oder Neupositionierung der Block-Copolymermoleküle und insbesondere durch die Umgruppierung und/oder Neupositionierung der unterschiedlichen Polymerblöcke der Block-Copolymermoleküle statt.
  • In einer solchen Ausführungsform kann eine Temperbehandlung auf das nicht organisierte Block-Copolymer angewendet werden, um die Mikrophasentrennung und/oder die Selbstorganisation zu initiieren, zu beschleunigen, ihre Qualität zu verbessern oder sie auf andere Weise zu fördern. In manchen Ausführungsformen kann die Temperbehandlung eine Behandlung einschließen, die dazu dient, eine Temperatur des Block-Copolymers zu erhöhen. Ein Beispiel für eine solche Behandlung ist das Ausheizen der Schicht, das Erwärmen der Schicht in einem Ofen oder unter einer Wärmelampe, das Anwenden von Infrarotstrahlung auf die Schicht oder eine anderweitige Anwendung von Wärme auf die Schicht oder Erhöhung von deren Temperatur. Die gewünschte Temperaturerhöhung reicht im Allgemeinen aus, um die Rate der Mikrophasentrennung und/oder Selbstorganisation des Block-Copolymers deutlich zu erhöhen, ohne das Block-Copolymer oder irgendwelche anderen wichtigen Materialien oder Strukturen des Substrats der integrierten Schaltung zu schädigen. Üblicherweise kann die Erwärmung im Bereich zwischen 50 °C bis etwa 300 °C oder zwischen etwa 75 °C bis etwa 250 °C liegen, aber nicht die Grenzen für den thermischen Abbau des Block-Copolymers oder des Substrats der integrierten Schaltung überschreiten. Das Erwärmen oder Tempern kann dabei helfen, Energie an die Block-Copolymermoleküle abzugeben, um diese mobiler/flexibler zu machen, um die Rate der Mikrophasentrennung zu erhöhen und/oder die Qualität der Mikrophasentrennung zu verbessern. Diese Mikrophasentrennung oder Umgruppierung/Neupositionierung von Block-Copolymermolekülen kann zu einer Selbstorganisation führen, durch die extrem kleine Strukturen (z.B. im Größenbereich von Nanometern) gebildet werden. Die Selbstorganisation kann unter dem Einfluss von Oberflächenenergie, Molekularaffinitäten und anderen oberflächenbedingten und chemisch bedingten Kräften auftreten.
  • In jedem Fall kann in manchen Ausführungsformen eine Selbstorganisation von Block-Copolymeren, ob nun aufgrund von hydrophob-hydrophilen Unterschieden oder aus anderen Gründen, verwendet werden, um extrem kleine periodische Strukturen (z.B. präzise beabstandete Strukturen oder Strecken im Nanometer-Größenbereich) zu bilden. In manchen Ausführungsformen können sie verwendet werden, um Strecken im Nanometer-Größenbereich oder andere Strukturen im Nanometer-Größenbereich zu bilden, die schließlich verwendet werden können, um Halbleiterfinnenstrecken zu bilden.
  • 4E zeigt die Struktur von 4D im Anschluss an die Entfernung von einem der Blöcke des Diblock-Copolymers. In einer Ausführungsform werden die Polymerabschnitte 414 selektiv durch einen Nass- oder Trockenätzprozess entfernt, wodurch Abschnitte 416(A/B) zurückbleiben. Der Abstand der verbliebenen Abschnitte 416(A/B) beträgt ungefähr die Hälfte des Abstands der ersten strukturierten Hartmaske 404.
  • 4F zeigt die Struktur von 4E im Anschluss an die Übertragung der Struktur der verbliebenen Polymerabschnitte in das darunterliegende Bulk-Kristall-Halbleitersubstrat. In einer Ausführungsform wird die Struktur des verbliebenen Polymerabschnitts 416(A/B), d.h. die Struktur der ersten strukturierten Hartmaske 404, abstandsgehälftet in das Bulk-Halbleitersubstrat 402 geätzt. Durch die Strukturierung wird die zweite Hartmaskenschicht 408 so strukturiert, dass eine zweite strukturierte Hartmaske 424 gebildet wird, die Polymerabschnitten 416B entspricht. Die strukturierte Hartmaske 404 entspricht Polymerabschnitten 416A. In einer Ausführungsform wird eine Vielzahl von Finnen 418 direkt in dem Bulk-Substrat 402 ausgebildet, wodurch dieses zum strukturierten Substrat 420 wird, und somit kontinuierlich mit dem Bulk-Substrat 402/420) an einer ungefähr planaren Oberfläche 422 ausgebildet.
  • 4G zeigt die Struktur von 4F im Anschluss an die Entfernung der verbliebenen Polymerschicht und etwaiger Bürstenschichten. In einer Ausführungsform werden die verbliebene Polymerschicht 416(A/B) und die Bürstenschicht 410 entfernt, wodurch die Vielzahl von einander abwechselnden Finnen 418 mit einer ersten strukturierten Hartmaske 404 und einer zweiten strukturierten Hartmaske 424 darauf, die einander „farbig“ abwechseln, zurückbleiben. In einer Ausführungsform werden die verbliebene Polymerschicht 416(A/B) und die Bürstenschicht 410 unter Verwendung eines Veraschungs- und Reinigungsprozesses entfernt. Der resultierende Abschnitt 426 der Finnen hat die Hälfte des Abstands 406 der ursprünglichen ersten strukturierten Hartmaske 404.
  • 4H zeigt die Struktur von 4G im Anschluss an die Bildung einer Zwischenschicht-Dielektrikums(ILD)-Schicht zwischen der Vielzahl von Finnen 418. In einer Ausführungsform umfasst die ILD-Schicht 428 Siliziumdioxid, wie es etwa in einem Herstellungsprozess für flache Grabenisolierungen verwendet wird. Jedoch können stattdessen andere Dielektrika, wie etwa Nitride oder Carbide, verwendet werden. Die ILD-Schicht 428 kann durch einen chemischen Dampfabscheidungs(CVD)- oder anderen Abscheidungsprozess (z.B. ALD-, PECVD-, PVD-, HDP-unterstützte CVD, Niedertemperatur-CVD) abgeschieden werden und kann durch eine chemisch-mechanische Polier(CMP)-Technik planarisiert werden, um oberste Oberflächen von Hartmaskenschichten 404 und 428 aufzudecken.
  • 41 zeigt die Struktur von 4H im Anschluss an die Bildung und Strukturierung eines Fotolackmaterials, um eine strukturierte Maske 430 zu bilden. In einer Ausführungsform weist die strukturierte Maske 430 eine darin ausgebildete Öffnung 432 auf. Die Öffnung 432 legt eine dafür vorgesehene eine von der Vielzahl von Finnen 418 mit einer ersten strukturierten Hartmaske 404 darauf für eine schlussendliche Entfernung der Finne frei. Die Öffnung 432 weist eine Schnittabmessung 436 auf. In einer Ausführungsform sind Anforderungen an die Schnittabmessung 436 gelockert und können sogar Abschnitte benachbarter Finnen mit einer zweiten strukturierten Hartmaske 424 darauf freilegen. In einer Ausführungsform bereitet die Strukturierungsoperation mittels „Färbung“ oder Hartmaskenmaterialdifferenzierung das Wegschneiden unerwünschter Merkmale vor, um Schnittgrößen zu ermöglichen, die das Doppelte des Abstands 426 der Merkmale 418 betragen (d.h. mit dem ursprünglichen Abstand 406 als Ergebnis). In einer Ausführungsform ermöglicht das Hartmaskenmaterial eine Differenzierung zwischen den beiden Hartmaskenmaterialien durch eine Plasma- oder Nassätzselektivität. Ferner beträgt der Randplatzierungsfehler (EPE) 434 den halben Abstand. Im Vergleich dazu ist in einem Standard-Strukturierungsprozess, ohne Färbung, die Schnittabmessung 1X der Abstand und der Randplatzierungsfehler (EPE) ist 1/4 Abstand. Somit verdoppelt in einer Ausführungsform der hierin beschriebene Prozess den zulässigen Randplatzierungsfehler und verdoppelt die Größe der Löcher oder Öffnungen, die nötig sind, um ein einzelnes Merkmal zu schneiden.
  • In einer Ausführungsform umfasst die strukturierte Maske 430 eine Fotolack- bzw. -resistschicht, wie in der Technik bekannt, und kann durch herkömmliche Lithographie- und Entwicklungsprozesse strukturiert werden. In einer bestimmten Ausführungsform werden die Abschnitte der Fotolackschicht, die der Lichtquelle ausgesetzt sind, bei der Entwicklung der Fotolackschicht entfernt. Somit umfasst die strukturierte Fotolackschicht ein positives Fotolackmaterial. In einer bestimmten Ausführungsform umfasst die Fotolackschicht ein positives Fotolackmaterial wie unter anderem einen 248-nm-Resist, einen 193-nm-Resist, einen 157-nm-Resist, einen Extremultraviolett(EUV)-Resist, einen Elektronenstrahlresist, eine Prägeschicht oder eine Phenolharzmatrix mit einem Diazonaphthochinon-Sensibilisator. In einer anderen bestimmten Ausführungsform werden die Abschnitte der Fotolackschicht, die der Lichtquelle ausgesetzt sind, bei der Entwicklung der Fotolackschicht beibehalten. Somit umfasst die Fotolackschicht ein negatives Fotolackmaterial. In einer speziellen Ausführungsform umfasst die Fotolackschicht ein negatives Fotolackmaterial, das unter anderem Poly-cis-isopren oder Polyvinylcinnamat umfasst. In einer Ausführungsform werden lithographische Operationen unter Verwendung von 193-nm-Immersionslithographie (193i), EUV- und/oder direktschreibender Elektronenstrahl-(EBDW)-Lithographie oder dergleichen durchgeführt. Es kann ein Positivton- oder ein Negativton-Fotolack verwendet werden. In einer Ausführungsform ist die strukturierte Maske 430 eine dreischichtige Maske, die einen topographischen Maskierungsabschnitt, eine Schicht aus einem antifreflektiven Überzug (ARC) und eine Fotolackschicht umfasst. In einer bestimmten von diesen Ausführungsformen ist der topographische Maskierungsabschnitt eine Schicht aus einer Kohlenstoff-Hartmaske (CHM), und die Schicht aus einem antireflektiven Überzug ist eine siliziumhaltige ARC-Schicht. In einer solchen Ausführungsform wird ein Spin-on-Glasmaterial mit zugesetzten Chromophoren verwendet, um die Unterdrückung der Reflektivität zu unterstützen. Chemisch sind dies (Siloxane) Silizium-Kohlenstoff enthaltende Polymere. Wenn sie getempert werden, bilden sie eine Mischung aus Siliziumdioxid- und Kohlenstoffpolymeren.
  • 4J zeigt die Struktur von 41 im Anschluss an das Ätzen der ausgewählten einen von der Vielzahl von Finnen 418 und die anschließende Entfernung der strukturierten Maske 430. In einer Ausführungsform wird dieser Prozess als „Finnenbeschneidungs“- oder „Merkmalsselektions“-Operation des Prozesses bezeichnet. In einer Ausführungsform wird eine von der Vielzahl von Finnen 418 an einem Ort 438 entfernt, um eine strukturierte Vielzahl von Finnen 418' mit einer ersten unterbrochenen Struktur zu bilden. In einer solchen Ausführungsform wird zuerst die freiliegende erste strukturierte Hartmaske 404 anhand eines Ätzprozesses entfernt, der für eine etwaige zweite strukturierte Hartmaske 424 selektiv ist und für die ILD-Schicht 428 selektiv ist. In einer anderen Ausführungsform wird eine „Finnenbeibehaltungs“-Methode verwendet, wo die Merkmale unter Verwendung des entgegengesetzten Tons des Fotolacks selektiert und während des Ätzprozesses geschützt werden, während die Finnen im Hintergrund oder die ohne Schutz entfernt werden. Dies ist die umgekehrte Polarität des lithographischen Prozesses (z.B. Negativton- vs. Positivton-Bilderzeugung). Man beachte, dass bei dieser Operation jeder von diesen Prozessen verwendet werden kann. Die freiliegende Finne wird dann am Ort 438 mit einem Ätzprozess entfernt, der selektiv ist für die freiliegende zweite strukturierte Hartmaske 424 und selektiv ist für die ILD-Schicht 428. In einer ersten Ausführungsform wird die Finne am Ort 438 bis auf eine Ebene 440 entfernt, wodurch ein vorstehender Abschnitt 446 oberhalb der planaren Oberfläche 422 zurückbleibt. In einer zweiten Ausführungsform wird die Finne am Ort 438 bis auf eine Ebene 442 entfernt, die ungefähr koplanar mit der planaren Oberfläche 422 ist. In einer dritten Ausführungsform wird die Finne am Ort 438 bis auf eine Ebene 444 entfernt, wodurch eine Vertiefung 448 unterhalb der planaren Oberfläche 422 zurückbleibt.
  • 4K zeigt die Struktur von 4J im Anschluss an die Bildung und Strukturierung eines Fotolackmaterials, um eine strukturierte Maske 450 zu bilden. In einer Ausführungsform weist die strukturierte Maske 450 eine darin ausgebildete Öffnung 452 auf. Die Öffnung 452 legt eine dafür vorgesehene zweite von der Vielzahl von Finnen 418 mit einer zweiten strukturierten Hartmaske 424 darauf für eine schlussendliche Entfernung der Finne frei. In einer Ausführungsform bereitet der Strukturierungsschritt mittels „Färbung“ oder Hartmaskenmaterialdifferenzierung das Wegschneiden unerwünschter Merkmale vor, um Schnittgrößen zu ermöglichen, die das Doppelte des Abstands 426 der Merkmale 418' sind. Wie in Verbindung mit 41 beschrieben wurde, verdoppelt der hierin beschriebene Prozess den zulässigen Randplatzierungsfehler und verdoppelt die Größe der Löcher oder Öffnungen, die nötig sind, um ein einzelnes Merkmal zu schneiden. In einer Ausführungsform umfasst die strukturierte Maske 450 ein Material wie es in Verbindung mit 41 beschrieben wurde.
  • 4L zeigt die Struktur von 4K im Anschluss an das Ätzen der ausgewählten zweiten von der Vielzahl von Finnen 418'. In einer Ausführungsform wird die zweite von der Vielzahl von Finnen 418' an einem Ort 454 entfernt, um eine strukturierte Vielzahl von Finnen 418" mit einer zweiten unterbrochenen Struktur zu bilden. In einer solchen Ausführungsform wird zuerst die freiliegende zweite strukturierte Hartmaske 424 anhand eines Ätzprozesses, der für eine etwaige erste strukturierte Hartmaske 104 selektiv ist und für die ILD-Schicht 428 selektiv ist, entfernt. Die freiliegende Finne wird dann am Ort 454 mit einem Ätzprozess entfernt, der selektiv ist für die freiliegende erste strukturierte Hartmaske 404 und selektiv ist für die ILD-Schicht 428. In einer ersten Ausführungsform wird die Finne am Ort 454 bis auf eine Ebene 456 entfernt, wodurch ein vorstehender Abschnitt oberhalb der planaren Oberfläche 422 auf einer Höhe oberhalb der Oberfläche 440 des vorstehenden Abschnitts 446 zurückbleibt. In einer zweiten Ausführungsform wird die Finne am Ort 454 bis auf eine Ebene 458 entfernt, wodurch ein vorstehender Abschnitt 464 oberhalb der planaren Oberfläche 422 und ungefähr auf gleicher Höhe wie die Oberfläche 440 des vorstehenden Abschnitts 446 zurückbleibt. In einer dritten Ausführungsform wird die Finne am Ort 454 bis auf eine Ebene 460 entfernt, die ungefähr koplanar mit der planaren Oberfläche 422 ist. In einer vierten Ausführungsform wird die Finne am einem Ort 454 bis auf eine Ebene 462 entfernt, wodurch eine Vertiefung 466 unterhalb der planaren Oberfläche 422 zurückbleibt.
  • 4M zeigt die Struktur von 4L im Anschluss an die Entfernung der strukturierten Maske 450 und die Bildung einer Zwischenschicht-Dielektrikums(ILD)-Schicht 468 über der Vielzahl von Finnen 418" und an Orten 438 und 454 von entfernten Finnen. In einer Ausführungsform umfasst die ILD-Schicht 468 Siliziumdioxid, wie es etwa in einem Herstellungsprozess für flache Grabenisolierungen verwendet wird. Jedoch können stattdessen andere Dielektrika, wie etwa Nitride oder Carbide, verwendet werden. Die ILD-Schicht 468 kann durch eine chemische Dampfabscheidung (CVD) oder einen anderen Beschichtungsprozess (z.B. ALD, PECVD, PVD, HDP-gestützte CVD, Niedertemperatur-CVD), abgeschieden werden. Spin-on-Materialien sind eine weitere übliche Option für diese Dünnschichten. Viele dielektrische Materialien mit niedriger dielektrischer Konstante können durch Rotation bzw. Spin-on auf den Wafer aufgebracht und gehärtet werden. Solche werden in der Industrie üblicherweise verwendet.
  • 4N zeigt die Struktur von 4M im Anschluss an die Planarisierung der ILD-Schicht 468 und die Entfernung von ersten und zweiten strukturierten Hartmasken 404 und 424. In einer Ausführungsform wird eine chemisch-mechanische Polier(CMP)-Technik verwendet, um die erste strukturierte Hartmaske 404 und die zweite Hartmaske 424 zu entfernen, um die ILD-Schichten 428 und 468 bis auf die gebildete planarisierte ILD-Schicht 428' bzw. 468' hinunter abzutragen und um die Oberflächen der Vielzahl von Finnen 418" freizulegen. In einer Ausführungsform umfasst die planarisierte ILD-Schicht 428' im Wesentlichen das gleiche Material wie die planarisierte ILD-Schicht 468'. In einer Ausführungsform umfasst die planarisierte ILD-Schicht 428' ein anderes Material als die planarisierte ILD-Schicht 468'. In jedem Fall wird in einer Ausführungsform eine Naht zwischen der ILD-Schicht 468' und der ILD-Schicht 428', z.B. an einem Ort 438 oder 454 gebildet. Man beachte, dass in einer Ausführungsform die freiliegende Oberfläche der Vielzahl von Finnen 418" verwendet werden kann, um planare Halbleitervorrichtungen zu bilden.
  • Gemäß einer anderen Ausführungsform zeigt 5 die Struktur von 4N im Anschluss an die Freilegung oberer Abschnitte der Vielzahl von Finnen 418". Wie in 5 gezeigt ist, sind die ILD-Schicht 468' und die ILD-Schicht 428' abgetragen, wodurch vorstehende Abschnitte 472 der Finnen 418' freigelegt werden und eine abgetragene ILD-Schicht 468" und eine abgetragene ILD-Schicht 428 auf einer Vertiefungshöhe 476 bereitgestellt werden. Die Vertiefungshöhe 476 definiert einen Ort zwischen oberen Finnenabschnitten 472 und unteren Finnenabschnitten 474. Das Abtragen der ILD-Schicht 468' und der ILD-Schicht 428' kann durch einen Plasma-, Dampf- oder Nassätzprozess durchgeführt werden. In einer Ausführungsform wird ein Trockenätzprozess, der selektiv ist für Siliziumfinnen 418", verwendet, der Trockenätzprozess basiert auf einem Plasma, das aus Gasen wie unter anderem NF3, CHF3, C4F8, HBr und O2 erzeugt wird, mit typischen Drücken im Bereich von 30-100 Torr und einer Plasmavorspannung von 50-1000 Watt.
  • In einer beispielhaften Ausführungsform, wofür wiederum auf die 4J, 4L und 5 verwiesen wird, weist eine Halbleiterstruktur eine Vielzahl von Halbleiterfinnen 418" auf, die von einer im Wesentlichen planaren Oberfläche 422 eines Halbleitersubstrats 420 vorstehen. Die Vielzahl von Halbleiterfinnen 418" weist eine Gitterstruktur auf, die von einem ersten Ort 438 unterbrochen wird, der einen ersten Finnenabschnitt 446 mit einer ersten Höhe aufweist. Die Gitterstruktur der Halbleiterfinnen wird ferner durch einen zweiten Ort 454 unterbrochen, der einen zweiten Finnenabschnitt 464 mit einer zweiten Höhe aufweist. In einer Ausführungsform unterscheidet sich die zweite Höhe des zweiten Finnenabschnitts 454 von der ersten Höhe des ersten Finnenabschnitts 446. In einer anderen Ausführungsform ist die zweite Höhe des zweiten Finnenabschnitts 454 der ersten Höhe des ersten Finnenabschnitts 446 gleich. In einer Ausführungsform weist das Gitterstruktur einen konstanten Abstand 126 auf, wenn sie ohne die Unterbrechungen betrachtet wird.
  • In einer beispielhaften Ausführungsform, wofür wiederum auf die 4J, 4L und 5 verwiesen wird, weist eine Halbleiterstruktur eine Vielzahl von Halbleiterfinnen 418" auf, die von einer im Wesentlichen planaren Oberfläche 422 eines Halbleitersubstrats 420 vorstehen. Die Vielzahl von Halbleiterfinnen 418" weist eine Gitterstruktur auf, die von einem ersten Ort 438 unterbrochen wird, der eine erste Vertiefung aufweist. In einer Ausführungsform wird die Gitterstruktur der Halbleiterfinnen ferner durch einen zweiten Ort 454 unterbrochen, der eine zweite Vertiefung oder einen zweiten Finnenabschnitt aufweist. In einer Ausführungsform weist die Gitterstruktur einen konstanten Abstand 426 auf, wenn sie ohne die Unterbrechungen betrachtet wird. In einer Ausführungsform ist eine Grabenisolierschicht 468" in und über der Vertiefung angeordnet.
  • Man beachte, dass die obige Methode auf die Herstellung anderer Halbleitergeometrien jenseits von Halbleiterfinnen angewendet werden kann. Zum Beispiel wird die obige Methode in einer Ausführungsform für die Herstellung von Halbleiternanodrähten oder Halbleiternanobändern implementiert. In einer Ausführungsform bezeichnet der Begriff „Halbleiterkörper“ allgemein Geometrien wie etwa Finnen, Nanodrähte und Nanobänder.
  • Man beachte, dass die Strukturen, die aus den obigen beispielhaften Verarbeitungsabläufen entstehen, z.B. die Strukturen der 4N und 5, in gleicher oder ähnlicher Form für anschließende Verarbeitungsschritte zum Abschließen der Herstellung einer Vorrichtung, wie etwa einer Herstellung von PMOS- und NMOS-Vorrichtungen, verwendet werden können. Als Beispiel für eine fertige Vorrichtung zeigen die 6A und 6B eine Querschnittsansicht und eine Planansicht (entlang der a-a'-Achse der Querschnittsansicht) einer nicht-planaren Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 6A gezeigt ist, weist eine Halbleiterstruktur oder -vorrichtung 600 eine nicht-planare aktive Region (z.B. eine Finnenstruktur einschließlich eines vorstehenden Finnenabschnitts 604 und einer Sub-Finnenregion 605), die aus einem Substrat 602 gebildet ist, und innerhalb einer Isolationsregion 606, auf. Eine Gate-Leitung 608 ist über den vorstehenden Abschnitten 604 der nicht-planaren aktiven Region ebenso wie über einem Abschnitt der Isolationsregion 606 angeordnet. Wie gezeigt, weist die Gate-Leitung 608 eine Gate-Elektrode 650 und eine Gate-Dielektrikumsschicht 652 auf. In einer Ausführungsform kann die Gate-Leitung 608 auch eine dielektrische Deckschicht 654 aufweisen. Ein Gate-Kontakt 614 und ein darüberliegendes Gate-Kontaktvia 616 sind ebenfalls aus dieser Perspektive sichtbar, zusammen mit einer darüberliegenden Metallverbindung 660, die alle in Zwischenschicht-Dielektrikumsstapeln oder -schichten 670 angeordnet sind. Aus der Perspektive von 6A ist außerdem zu sehen, dass der Gate-Kontakt 614 in einer Ausführungsform über der Isolationsregion 606, aber nicht über den nicht-planaren aktiven Regionen angeordnet ist.
  • Wie ebenfalls in 6A gezeigt ist, bleibt in einer Ausführungsform ein Artefakt aus einer abgetragenen ausgewählten Finne in der fertigen Struktur zurück. Beispielsweise bleibt in der gezeigten Ausführungsform ein verbliebener vorstehender Abschnitt 699 zurück. In anderen Ausführungsformen kann eine Vertiefung zurückbleiben, wie oben beschrieben.
  • Wie ebenfalls in 6A bildlich dargestellt ist, existiert in einer Ausführungsform eine Grenze 680 zwischen einem vorstehenden Finnenabschnitt 604 und einer Sub-Finnenregion 605. Die Grenze 680 kann eine Übergangsregion zwischen einer dotierten Sub-Finnenregion 605 und einem nur leicht oder gar nicht dotierten oberen Finnenabschnitt 604 sein. In einer solchen Ausführungsform ist jede Finne höchstens ungefähr 10 Nanometer breit, und Sub-Finnen-Dotiermittel werden von einer angrenzenden Festzustands-Dotierschicht an den Sub-Finnenort geliefert. In einer speziellen von diesen Ausführungsformen ist jede Finne weniger als 10 Nanometer breit.
  • Wie in 6B gezeigt ist, ist die Gate-Leitung 608 über den vorstehenden Finnenabschnitten 604 angeordnet. Source- und Drain-Regionen 604A und 604B der vorstehenden Finnenabschnitte 604 sind aus dieser Perspektive sichtbar. In einer Ausführungsform sind die Source- und Drain-Regionen 604A und 604B dotierte Abschnitte aus Ausgangsmaterial der vorstehenden Finnenabschnitte 604. In einer anderen Ausführungsform wird das Material der vorstehenden Finnenabschnitte 604 entfernt und durch ein anderes Halbleitermaterial ersetzt, z.B. durch epitaktische Abscheidung In jedem Fall können sich die Source- und Drain-Regionen 604A und 604B bis unter die Höhe der dielektrischen Schicht 606, d.h. in die Sub-Finnenregion 605 erstrecken. Gemäß einer Ausführungsform der vorliegenden Offenbarung hemmen die stärker dotierten Sub-Finnenregionen, d.h. die dotierten Abschnitte der Finnen unterhalb der Grenze 680, ein Lecken von Source nach Drain durch diesen Abschnitt der Bulk-Halbleiterfinnen.
  • In einer Ausführungsform ist die Halbleiterstruktur oder -vorrichtung 600 eine nicht-planare Vorrichtung, wie unter anderem ein Fin-FET oder eine Tri-Gate-Vorrichtung. In einer solchen Ausführungsform umfasst eine entsprechende Halbleiterkanalregion einen dreidimensionalen Körper oder wird von einem solchen gebildet. In einer solchen Ausführungsform umgeben die Gate-Elektrodenstapel der Gate-Leitungen 608 zumindest eine Oberseite und zwei Seitenwände des dreidimensionalen Körpers.
  • Das Substrat 602 kann ein Halbleitermaterial umfassen, das einem Herstellungsprozess standhalten kann und in dem eine Ladung wandern kann. In einer Ausführungsform ist das Substrat 602 ein Bulk-Substrat, das eine kristalline Silizium-, Silizium/Germanium- oder Germaniumschicht umfasst, die mit einem Ladungsträger, wie unter anderem Phosphor, Arsen, Bor oder einer Kombination davon, dotiert ist, um eine aktive Region 604 zu bilden. In einer Ausführungsform ist die Konzentration von Siliziumatomen im Bulk-Substrat 602 größer als 97 %. In einer anderen Ausführungsform umfasst das Bulk-Substrat 602 eine Epitaxialschicht, die oberhalb eines abgegrenzten kristallinen Substrats gezüchtet worden ist, z.B. eine Silizium-Epitaxialschicht, die oberhalb eines mit Bor dotierten monokristallinen Bulk-Siliziumsubstrats gezüchtet worden ist. Das Bulk-Substrat 602 kann alternativ dazu ein Material der Gruppen III-V umfassen. In einer Ausführungsform umfasst das Bulk-Substrat 602 ein Material der Gruppen III-V, wie unter anderem Galliumnitrid, Galliumphosphid, Galliumarsenid, Indiumphosphid, Indiumantimonid, Indiumgalliumarsenid, Aluminiumgalliumarsenid, Indiumgalliumphosphid oder eine Kombination davon. In einer Ausführungsform umfasst das Bulk-Substrat 602 ein III-V-Material, und die Fremdatome des Ladungsträgerdotierungsmittels sind solche wie unter anderem Kohlenstoff, Silizium, Germanium, Sauerstoff, Schwefel, Selen oder Tellur.
  • Die Isolationsregion 606 kann ein Material umfassen, das dafür geeignet ist, schlussendlich Abschnitte einer permanenten Gate-Struktur vor einem darunterliegenden Bulk-Substrat zu isolieren oder zu ihrer Isolierung beizutragen oder aktive Regionen, die innerhalb eines darunterliegenden Bulk-Substrats ausgebildet sind, zu isolieren, wie etwa aktive Finnenregionen zu isolieren. Zum Beispiel umfasst die Isolationsregion 606 in einer Ausführungsform ein dielektrisches Material wie unter anderem Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder mit Kohlenstoff dotiertes Siliziumnitrid.
  • Die Gate-Leitung 608 kann einen Gate-Elektrodenstapel umfassen, der eine Gate-Dielektrikumsschicht 652 und eine Gate-Elektrodenschicht 650 aufweist. In einer Ausführungsform umfasst die Gate-Elektrode des Gate-Elektrodenstapels ein Metall-Gate und die Gate-Dielektrikumsschicht umfasst ein Material mit hoher dielektrischer Konstante. Zum Beispiel umfasst die Gate-Dielektrikumsschicht in einer Ausführungsform ein Material wie unter anderem Hafniumoxid, Hafniumoxynitrid, Hafniumsilikat, Lanthanoxid, Zirconiumoxid, Zirconiumsilikat, Tantaloxid, Bariumstrontiumtitanat, Bariumtitanat, Strontiumtitanat, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid, Bleizinkniobat oder eine Kombination davon. Ferner kann ein Abschnitt einer Gate-Dielektrikumsschicht eine Schicht aus nativem Oxid aufweisen, die aus einigen wenigen obersten Schichten des Substrats 602 gebildet ist. In einer Ausführungsform umfasst die Gate-Dielektrikumsschicht einen Abschnitt mit hoher dielektrischer Konstante und einen weiter unten liegenden Abschnitt, der ein Oxid eines Halbleitermaterials umfasst. In einer Ausführungsform umfasst die Gate-Dielektrikumsschicht einen oberen Abschnitt aus Hafniumoxid und einen unteren Abschnitt aus Siliziumdioxid oder Siliziumoxynitrid. In manchen Implementierungen ist ein Abschnitt des Gate-Dielektrikums eine „U“-förmige Struktur mit einem unteren Abschnitt, der im Wesentlichen parallel zur Oberfläche des Substrats ist, und zwei Seitenwandabschnitten, die im Wesentlichen senkrecht zur Oberseite des Substrats sind.
  • In einer Ausführungsform umfasst die Gate-Elektrode eine Metallschicht wie unter anderem Metallnitride, Metallcarbide, Metallsilizide, Metallaluminide, Hafnium, Zirconium, Titan, Tantal, Aluminium, Ruthenium, Palladium, Platin, Cobalt, Nickel oder leitfähige Metalloxide. In einer speziellen Ausführungsform umfasst die Gate-Elektrode ein nicht-austrittsarbeitseinstellendes Füllmaterial, das oberhalb einer austrittsarbeitseinstellenden Metallschicht ausgebildet ist. Die Gate-Elektrode kann aus einem austrittsarbeitsleistenden P-Typ-Metall oder einem austrittsarbeitsleistenden N-Typ-Metall bestehen, abhängig davon, ob der Transistor ein PMOS- oder ein NMOS-Transistor sein soll. In manchen Implementierungen kann die Gate-Elektrodenschicht aus einem Stapel aus zwei oder mehr Metallschichten bestehen, wo eine oder mehrere Metallschichten austrittsarbeitsleistende Metallschichten sind und mindestens eine Metallschicht eine leitfähige Füllschicht ist. Für einen PMOS-Transistor können Metalle, die für die Gate-Elektrode verwendet werden können, unter anderem Ruthenium, Palladium, Platin, Cobalt, Nickel und leitfähige Metalloxide, z.B. Rutheniumoxid, einschließen. Eine P-Typ-Metallschicht ermöglicht die Bildung einer PMOS-Gate-Elektrode mit einer Austrittsarbeit, die zwischen etwa 4,9 eV und etwa 5,2 eV liegt. Für einen NMOS-Transistor schließen Metalle, die für die Gate-Elektrode verwendet werden können, unter anderem Hafnium, Zirconium, Titan, Tantal, Aluminium, Legierungen dieser Metalle und Carbide dieser Metalle, wie etwa Hafniumcarbid, Zirconiumcarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid, ein. Eine N-Typ-Metallschicht ermöglicht die Bildung einer NMOS-Gate-Elektrode mit einer Austrittsarbeit, die zwischen etwa 3,9 eV und etwa 4,2 eV liegt. In manchen Implementierungen kann die Gate-Elektrode aus einer „U“-förmigen Struktur bestehen, die einen unteren Abschnitt, der im Wesentlichen parallel zur Oberfläche des Substrats ist, und zwei Seitenwandabschnitte aufweist, die im Wesentlichen senkrecht zur Oberseite des Substrats sind. In einer anderen Implementierung kann mindestens eine von den Metallschichten, aus denen die Gate-Elektrode gebildet ist, einfach eine planare Schicht sein, die im Wesentlichen parallel ist zur Oberseite des Substrats und die keine Seitenwandabschnitte aufweist, die im Wesentlichen senkrecht zur Oberseite des Substrats sind. In weiteren Implementierungen der Offenbarung kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und planaren, nicht U-förmigen Strukturen bestehen. Zum Beispiel kann die Gate-Elektrode aus einer oder mehreren U-förmigen Metallschichten bestehen, die oberhalb von einer oder mehreren planaren, nicht-U-förmigen Schichten ausgebildet sind.
  • Abstandshalter, die zu den Gate-Elektrodenstapeln gehören, können ein Material umfassen, das dafür geeignet ist, schlussendlich eine permanente Gate-Struktur von angrenzenden leitfähigen Kontakten, wie etwa selbstjustierenden Kontakten, elektrisch zu isolieren oder zu ihrer Isolierung beizutragen. Zum Beispiel umfassen die Abstandshalter in einer Ausführungsform ein dielektrisches Material wie unter anderem Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder mit Kohlenstoff dotiertes Siliziumnitrid.
  • Der Gate-Kontakt 614 und das darüberliegende Gate-Kontakt-Via 616 können ein leitfähiges Material umfassen. In einer Ausführungsform umfasst eines bzw. einer oder umfassen mehrere von den Kontakten oder Vias eine Metallspezies. Die Metallspezies kann ein reines Metall, wie etwa Wolfram, Nickel oder Cobalt, sein oder kann eine Legierung sein, wie etwa eine Metall-Metall-Legierung oder eine Metall-Halbleiter-Legierung (wie z.B. ein Silizidmaterial).
  • In einer Ausführungsform (die aber nicht gezeigt ist) beinhaltet das Bereitstellen der Struktur 600 die Bildung einer Kontaktstruktur, die sehr gut an einer bereits vorhandenen Gate-Struktur ausgerichtet ist, während die Verwendung einer lithographischen Operation mit übermäßig engem Registrierungsspielraum eliminiert ist. In einer solchen Ausführungsform ermöglicht diese Methode die Verwendung einer von Natur aus hochselektiven Nassätzung (z.B. gegenüber herkömmlicherweise implementiertem Trocken- oder Plasmaätzen), um Kontaktöffnungen zu erzeugen. In einer Ausführungsform wird eine Kontaktstruktur unter Nutzung einer bereits vorhandenen Gate-Struktur in Kombination mit einer Kontakt-Plug-Lithographieoperation ausgebildet. In einer solchen Ausführungsform ermöglicht die Methode die Eliminierung der Notwendigkeit für einen andernfalls kritischen Lithographieschritt, um eine Kontaktstruktur zu erzeugen, wie er in herkömmlichen Methoden angewendet wird. In einer Ausführungsform wird ein Grabenkontaktgitter nicht separat strukturiert, sondern wird stattdessen zwischen Poly(-Gate)-Leitungen ausgebildet. Zum Beispiel wird in einer solchen Ausführungsform ein Grabenkontaktgitter im Anschluss an die Gate-Gitterstrukturierung, aber vor Gate-Gitterschnitten ausgebildet.
  • Ferner kann die Gate-Stapelstruktur 608 anhand eines Ersatz-Gate-Prozesses hergestellt werden. In einem solchen Ablauf kann Dummy-Gate-Material, wie etwa Polysilizium oder Siliziumnitrid-Säulenmaterial, entfernt und durch ein permanentes Gate-Elektrodenmaterial ersetzt werden. In einer solchen Ausführungsform wird in diesem Prozess auch eine permanente Gate-Dielektrikumsschicht ausgebildet, im Gegensatz dazu, dass diese aus einer früheren Verarbeitung mitgenommen wird. In einer Ausführungsform werden Dummy-Gates durch einen Trockenätz- oder Nassätzprozess entfernt. In einer Ausführungsform umfassen Dummy-Gates polykristallines Silizium oder amorphes Silizium und werden mit einem Trockenätzprozess einschließlich der Nutzung von SF6 entfernt. In einer anderen Ausführungsform umfassen Dummy-Gates polykristallines Silizium oder amorphes Silizium und werden mit einem Nassätzprozess einschließlich der Nutzung von wässrigem NH4OH oder Tetramethylammoniumhydroxid entfernt. In einer Ausführungsform umfassen Dummy-Gates Siliziumnitrid und werden mit einer Nassätzung entfernt, die wässrige Phosphorsäure einschließt.
  • In einer Ausführungsform werden für ein oder mehrere Methoden, die hierin beschrieben sind, im Wesentlichen ein Dummy- und Ersatz-Gate-Prozess in Kombination mit einem Dummy- und Ersatzkontaktprozess in Betracht gezogen, um bei der Struktur 600 anzukommen. In einer solchen Ausführungsform wird der Ersatzkontaktprozess nach dem Ersatz-Gate-Prozess durchgeführt, um ein Hochtemperaturtempem von zumindest einem Abschnitt des permanenten Gate-Stapels zu ermöglichen. Zum Beispiel wird in einer speziellen von diesen Ausführungsformen ein Tempern bei einer Temperatur, die höher ist als etwa 600 Grad Celsius, von zumindest einem Abschnitt der permanenten Gate-Struktur durchgeführt, z.B. nachdem eine Gate-Dielektrikumsschicht ausgebildet wurde. Das Tempern wird vor der Ausbildung der permanenten Kontakte durchgeführt.
  • Wie in 6A gezeigt ist, wird durch die Anordnung der Halbleiterstruktur oder -vorrichtung 600 der Gate-Kontakt über Isolationsregionen platziert. Eine solche Anordnung kann als ineffiziente Nutzung von Layout-Platz betrachtet werden. In einer anderen Ausführungsform weist eine Halbleitervorrichtung jedoch Kontaktstrukturen auf, die mit Abschnitten einer Gate-Elektrode, die über einer aktiven Region ausgebildet ist, in Kontakt kommen. Im Allgemeinen schließt mindestens eine Ausführungsform der vorliegenden Offenbarung vor (oder zusätzlich zu) der Ausbildung einer Gate-Kontaktstruktur (wie etwa eines Vias) zunächst die Nutzung eines Prozesses zum Kontaktieren eines Gate-ausgerichteten Grabens ein. Ein solcher Prozess kann implementiert werden, um Grabenkontaktstrukturen für die Herstellung einer Halbleiterstruktur, z.B. für die Herstellung einer integrierten Schaltung, auszubilden. In einer Ausführungsform wird eine Grabenkontaktstruktur in Ausrichtung an eine bereits vorhandene Gate-Struktur ausgebildet. Im Gegensatz dazu beinhalten herkömmliche Methoden typischerweise einen zusätzlichen Lithographieprozess mit enger Registrierung einer lithographischen Kontaktstruktur an einer bereits vorhandenen Gate-Struktur in Kombination mit selektiven Kontaktätzungen. Zum Beispiel kann ein herkömmlicher Prozess eine Strukturierung eines Poly(-Gate)-Gitters mit separater Strukturierung von Kontaktmerkmalen beinhalten.
  • Man beachte, dass nicht alle Aspekte der oben beschriebenen Prozesse in die Praxis umgesetzt werden müssen, um im Gedanken und Bereich der Ausführungsformen der vorliegenden Offenbarung zu liegen. Zum Beispiel müssen in einer Ausführungsform gar keine Dummy-Gates vor der Herstellung von Gate-Kontakten über aktiven Abschnitten der Gate-Stapel ausgebildet werden. Die oben beschriebenen Gate-Stapel können tatsächlich permanente Gate-Stapel sein, wie sie zu Anfang ausgebildet werden. Ebenso können die hierin beschriebenen Prozesse verwendet werden, um eine Halbleitervorrichtung oder eine Vielzahl davon herzustellen. Die Halbleitervorrichtungen können Transistoren oder ähnliche Vorrichtungen sein. In einer Ausführungsform sind die Halbleitervorrichtungen zum Beispiel Metall-Oxid-Halbleiter(MOS)-Transistoren für Logik oder Speicher oder sind bipolare Transistoren. Außerdem haben die Halbleitervorrichtungen in einer Ausführungsform eine dreidimensionale Architektur, wie etwa eine Tri-Gate-Vorrichtung, eine Doppel-Gate-Vorrichtung mit jeweils unabhängigem Zugriff oder ein FIN-FET. Eine oder mehrere Ausführungsformen können besonders gut für die Herstellung von Halbleitervorrichtungen an einem Technologieknoten im Bereich von unter 10 Nanometern (10 nm) geeignet sein.
  • Man beachte, dass in den obigen beispielhaften FEOL-Ausführungsformen in einer Ausführungsform eine Verarbeitung im Bereich von unter 10 Nanometern direkt in die Herstellungsabläufe und resultierenden Strukturen implementiert wird. In einer anderen Ausführungsform können Überlegungen in Bezug auf FEOL durch BEOL-Verarbeitungsanforderungen im Bereich von unter 10 Nanometern bestimmt werden. Zum Beispiel könnten die Materialauswahl und die Layouts für FEOL-Schichten und -Vorrichtungen auf die BEOL-Verarbeitung im Bereich von unter 10 Nanometern abgestimmt werden. In einer solchen Ausführungsform werden die Materialauswahl und die Gate-Stapelarchitekturen so ausgewählt, dass sie auf eine hochdichte Metallisierung der BEOL-Schichten abgestimmt sind, z.B. um eine Randkapazität in Transistorstrukturen, die in den FEOL-Schichten ausgebildet werden, aber durch eine hochdichte Metallisierung der BEOL-Schichten miteinander gekoppelt werden, zu verringern. Somit können FEOL-Strukturen und -Verarbeitung direkt von einer Verarbeitung im Bereich von unter 10 Nanometer beeinflusst werden oder können indirekt als Ergebnis einer Verarbeitung von BEOL-Schichten im Bereich von unter 10 Nanometern beeinflusst werden.
  • Back-End-of-Line(BEOL)-Schichten integrierter Schaltungen weisen üblicherweise elektrisch leitfähige mikroelektronische Strukturen auf, die in der Technik als Vias bezeichnet werden, um Metallleitungen oder andere Verbindungen oberhalb der Vias mit Metallleitungen oder anderen Verbindungen unterhalb der Vias zu verbinden. Vias werden in der Regel durch einen Lithographieprozess ausgebildet. Typischerweise kann über einer dielektrischen Schicht durch Rotationsbeschichtung eine Fotolackschicht aufgebracht werden, die Fotolackschicht kann durch eine strukturierte Maske hindurch mit einer strukturierten aktinischen Strahlung belichtet werden, und dann kann die belichtete Schicht entwickelt werden, um eine Öffnung in der Fotolackschicht auszubilden. Dann kann unter Verwendung der Öffnung in der Fotolackschicht als Ätzmaske eine Öffnung für das Via in die dielektrische Schicht geätzt werden. Diese Öffnung wird als Viaöffnung bezeichnet. Schließlich kann die Viaöffnung mit einem oder mehreren Metallen oder anderen leitfähigen Materialien gefüllt werden, um das Via auszubilden.
  • In der Vergangenheit haben die Größen und der Zwischenraum von Vias immer weiter abgenommen, und es ist zu erwarten, dass die Größen und der Zwischenraum der Vias in der Zukunft immer weiter abnehmen werden, zumindest für manche Arten von integrierten Schaltungen (z.B. hochentwickelte Mikroprozessoren, Chipsatzkomponenten, graphische Chips usw.). Wenn extrem kleine Vias mit extrem kleinen Abständen anhand solcher lithographischer Prozesse strukturiert werden, stellen sich mehrere Probleme. Eines dieser Problem ist, dass die Überdeckung zwischen den Vias und den darüberliegenden Verbindungen und die Überdeckung zwischen den Vias und den darunterliegenden, zu treffenden Verbindungen so gesteuert werden müssen, dass sich hohe Toleranzen in der Größenordnung von einem Viertel des Viaabstands ergeben. Da die Abstände von Vias im Lauf der Zeit durch Skalierung immer kleiner werden, verläuft die Skalierung von Überdeckungstoleranzen damit einhergehend so schnell, dass lithographische Geräte damit nicht Schritt halten können.
  • Ein weiteres dieser Probleme ist, dass die Skalierung bei den kritischen Abmessungen der Viaöffnungen im Allgemeinen schneller geht als beim Auflösungsvermögen der lithographischen Scanner. Es existieren Schrumpfungstechnologien, um die kritischen Abmessungen der Viaöffnungen zu schrumpfen. Jedoch wird das Schrumpfungsmaß durch den minimalen Viaabstand wohl beschränkt, ebenso wie dadurch, dass der Schrumpfungsprozess in Bezug auf eine optische Nahbereichskorrektur (optical proximity correction, OPC) ausreichend neutral sein soll und eine Streckenbreitenrauigkeit (line width roughness, LWR) und/oder die Einheitlichkeit kritischer Abmessungen (critical dimension uniformity, CDU) nicht nennenswert beeinträchtigen soll. Ein noch weiteres Problem ist, dass die LWR- und/oder CDU-Eigenschaften von Fotolacken im Allgemeinen besser werden müssen, wenn die kritischen Abmessungen der Viaöffnungen kleiner werden, um insgesamt den gleichen Bruchteil des Spielraums für die kritischen Abmessungen beizubehalten. Derzeit verbessern sich die LWR- und/oder CDU-Eigenschaften der meisten Fotolacke aber nicht so schnell wie die kritischen Abmessungen der Viaöffnungen kleiner werden.
  • Ein weiteres derartiges Problem ist, dass die extrem kleinen Viaabstände tendenziell sogar für das Auflösungsvermögen von Extremultraviolett(EUV)-Lithographie-Scannern zu klein sind. Infolgedessen können üblicherweise mehrere verschiedene lithographische Masken verwendet werden, was die Kosten in die Höhe treibt. Wenn Abstände immer noch kleiner werden, kann der Punkt kommen, wo es auch mit mehreren Masken nicht mehr möglich ist, Viaöffnung für diese extrem kleinen Abstände unter Verwendung von EUV-Scannern zu drucken.
  • Die oben genannten Faktoren sind auch für Überlegungen hinsichtlich der Platzierung und Skalierung von nicht-leitfähigen Zwischenräumen oder Unterbrechungen zwischen Metallleitungen (als „Plugs“, „dielektrische Plugs“ oder „Metallleitungsenden“ bezeichnet) zwischen den Metallleitungen von Back-End-of-Line(BEOL)-Metallverbindungsstrukturen relevant. Die obigen Faktoren sind auch für leitfähige Streifen relevant, die gemäß Definition leitfähige Verknüpfungseinrichtungen zwischen zwei leitfähigen Metallleitungen sind, wie etwa zwischen zwei parallelen leitfähigen Leitungen. Die Streifen liegen typischerweise in der gleichen Schicht wie die Metallleitungen. Somit sind Verbesserungen auf dem Gebiet der Technologien zur Herstellung von Back-End-Metallisierungen für die Herstellung von Metallleitungen, Metallvias, leitfähigen Streifen und dielektrischen Plugs nötig.
  • In manchen Ausführungsformen, die nachstehend beschrieben sind, wird eine Strukturierung und Ausrichtung von Viamerkmalen (oder anderen BEOL-Merkmalen) unter Verwendung mehrerer Retikel und Strategien, die eine kritische Ausrichtung beinhalten, erreicht. In anderen Ausführungsformen ermöglichen dagegen hierin beschriebene Methoden die Herstellung von selbstjustierenden Plugs und/oder Vias. In letzteren Ausführungsformen kann es sein, dass nur ein kritischer Überdeckungsschritt (Mx+1-Gitterbildung) implementiert werden muss.
  • Man beachte, dass die nachstehend in Verbindung mit Back-End-of-Line(BEOL)-Strukturen und -Verarbeitung beschriebenen Schichten und Materialien typischerweise an oder über einem darunterliegenden Halbleitersubstrat oder einer darunterliegenden Halbleiterstruktur, wie etwa einer (oder mehreren) darunterliegenden Vorrichtungsschicht(en) einer integrierten Schaltung, ausgebildet werden, in einer Ausführungsform stellt ein darunterliegendes Halbleitersubstrat ein allgemeines Werkstücksobjekt dar, das verwendet wird, um integrierte Schaltungen herzustellen. Das Halbleitersubstrat schließt häufig einen Wafer oder ein anderes Stück aus Silizium oder einem anderen Halbleitermaterial ein. Geeignete Halbleitersubstrate schließen unter anderem einkristallines Silizium, polykristallines Silizium und Silicon-on-Insulator (SOI), ebenso wie ähnliche Substrate ein, die aus anderen Halbleitermaterialien gebildet sind, wie etwa Substrate, die Germanium, Kohlenstoff oder Materialien der Gruppen III-V einschließen. Abhängig von der Herstellungsstufe schließt das Halbleitersubstrat häufig Transistoren, integrierte Schaltungsanordnungen und dergleichen ein. Das Substrat kann auch Halbleitermaterialien, Metalle, Dielektrika, Dotierungsmittel und andere Materialien einschließen, die üblicherweise in Halbleitersubstraten zu finden sind. Ferner können die abgebildeten Strukturen auf darunterliegenden Verbindungsschichten tieferer Ebenen hergestellt werden.
  • Auch wenn die folgenden Verfahren zur Herstellung einer Metallisierungsschicht oder von Abschnitten einer Metallisierungsschicht einer BEOL-Metallisierungsschicht ausführlich unter Bezugnahme auf ausgewählte Operationen beschrieben werden, sei klargestellt, dass zusätzliche oder Zwischenoperationen zur Herstellung Standard-Mikroelektronikherstellungsprozesse, wie etwa Lithographie, Ätzen, Dünnschichtabscheidung, Planarisierung (wie etwa chemisch-mechanische Polierung (CMP)), Diffusion, Metrologie, die Verwendung von Opferschichten, die Verwendung von Ätzstoppschichten, die Verwendung von Planarisierungsstoppschichten und/oder irgendwelche andere mit der Herstellung mikroelektronischer Komponenten in Verbindung stehende Handlungen einschließen können. Man beachte außerdem, dass die für die folgenden Prozessabläufe beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können.
  • In manchen Fällen ermöglichen die resultierenden Strukturen die Herstellung von Vias, die direkt auf darunterliegenden Metallleitungen zentriert sind. Die Vias können breiter als, schmäler als oder von gleicher Dicke sein wie die darunterliegenden Metallleitungen, z.B. wegen einer nicht-perfekten selektiven Ätzverarbeitung. Trotzdem werden in einer Ausführungsform die Mitten der Vias an den Mitten der Metallleitungen ausgerichtet (daran angepasst). Somit könnte in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die resultierenden Strukturen aus einem oder mehreren der folgenden Prozessabläufe sein.
  • Man beachte, dass manche von den nachstehend beschriebenen Verbindungsherstellungsabläufen implementiert werden können, um zahlreiche Ausrichtungen/Freilegungen einzusparen, implementiert werden können, um einen elektrischen Kontakt zu verbessern (z.B. durch Verringern eines Viawiderstands) oder implementiert werden können, um Prozessoperationen insgesamt und die Verarbeitungszeit, die ansonsten nötig wäre, um solche Merkmale unter Verwendung herkömmlicher Methoden zu strukturieren, zu verringern. Man beachte außerdem, dass in folgenden oder zusätzlichen Herstellungsoperationen, die über die gezeigten hinausgehen, in manchen Fällen (mindestens) eine dielektrische Schicht von einer Schicht aus Metallleitungen entfernt werden kann, um Luftspalte zwischen den Metallleitungen bereitzustellen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Backbone-Methode beschrieben. Die Backbone-Methode kann mehrere Stufen einer Atomlagenabscheidung (ALD) einschließen. In einer Ausführungsform wird die Bildung enger Abstände durch iterative Abstandshalterausbildung, z.B. anhand einer ALD-Verarbeitung, erreicht.
  • Um dies in einen Kontext zu stellen, so ist die lithographische Strukturierung von Merkmalen für die Erzeugung von Halbleitern auf die Auflösung eines Bildgebungswerkzeugs beschränkt, sei dieses ein optisches (z.B. 193nm), ein Elektronenstrahl- oder ein EUV-Werkzeug. Prozessverfahren wie etwa eine Strukturierung in mehreren Durchgängen, Strukturschrumpfungsverfahren und auf Abstandshaltern basierende Abstandsteilung, können verwendet werden, um die Auflösung um Faktoren 2 bis 4 oder möglicherweise sogar um einen Faktor 8 zu erhöhen. Solche Verfahren können jedoch dadurch beschränkt sein, dass die Prozessabweichung in den ursprünglichen lithographischen Schritten mit einer ähnlichen Größe in der finalen Struktur bleibt. Zum Beispiel kann eine lithographische Operation eine Abweichung von +/ 3 nm aufweisen. Falls diese mit Abstandsteilungsprozessverfahren angewendet wird, um einen finalen Abstand von 8 nm (eine Merkmalsgröße von 4 nm) zu erzeugen, variieren die resultierenden finalen Strukturen um 4 nm +/- 3 nm.
  • Eine oder mehrere der hierin beschriebenen Ausführungsformen beinhalten die Verwendung iterativer Abstandshalter oder eine Dünnschichtabscheidung, um alle oder im Wesentlichen alle von den finalen kritischen kleinen Merkmalen für eine Schicht, wie etwa eine BEOL-Schicht, zu definieren. Die Abweichung solcher Merkmale kann besser sein als +/- 1 nm, was zur ALD-Technologie passt. Es können mehrere Materialien verwendet werden, um eine „Färbung“ von Strukturen zu ermöglichen, um ein Ansprechen alternativer Merkmale (z.B. von Vias, Schnitten, Plugs usw.) mit größerem Spielraum für Randplatzierungsfehler zu ermöglichen.
  • Die 7A und 7B zeigen Querschnittsansichten von Ziel-Grundstrukturen, die sehr enge Abstände aufweisende finale Strukturen für Halbleiterschichten ermöglichen, gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Wie in 7A gezeigt ist, weist eine Ziel-Grundschicht 700 eine Strukturierungsschicht 702 oberhalb einer Hartmaskenschicht 704 oberhalb einer Übertragungsschicht 706 oberhalb eines Substrats 708 auf. Die Strukturierungsschicht 702 weist Backbone-Merkmale 710 auf. Die Backbone-Merkmale 710 sind relativ breitere Merkmale (z.B. 6-12 Nanometer) mit dazwischen liegenden Gruppierungen 712 aus relativ kleineren Merkmalen (z.B. sechs bis Hunderte kleinerer Merkmale zwischen benachbarten Backbone-Merkmalen 710, wo die kleineren Merkmale z.B. 4-6 Nanometer breit sind).
  • In einer Ausführungsform weist jede von den dazwischen liegenden Gruppierungen 712 aus relativ kleineren Merkmalen kleine Merkmale 716 einer ersten Materialart, kleine Merkmale 714 einer zweiten Materialart, die von der ersten Materialart verschieden ist, und kleine Merkmale 718 einer dritten Materialart, die von der ersten Materialart und von der zweiten Materialart verschieden ist, auf. Der Unterschied zwischen den Materialarten kann für unterschiedliche Ätzeigenschaften oder eine Selektivität zwischen den Materialarten sorgen. In einer Ausführungsform ist das Material der Backbone-Merkmale 710 dem Material der dritten Materialart der kleinen Merkmale 718 gleich, wie in 7A bildlich dargestellt ist. In einer anderen Ausführungsform ist das Material der Backbone-Merkmale 710 vom Material der dritten Materialart der kleinen Merkmale 718 verschieden, hat aber ähnliche Ätzeigenschaften oder eine ähnliche Selektivität wie die dritte Materialart der kleinen Merkmale 718.
  • Wie in 7B gezeigt ist, weist eine Ziel-Grundschicht 750 eine Strukturierungsschicht 752 oberhalb einer Hartmaskenschicht 754 oberhalb einer Übertragungsschicht 756 oberhalb eines Substrats 758 auf. Die Strukturierungsschicht 752 weist Backbone-Merkmale 760 auf. Die Backbone-Merkmale 760 sind relativ breitere Merkmale (z.B. 6-12 Nanometer) mit dazwischen liegenden Gruppierungen 762 aus relativ kleineren Merkmalen (z.B. 6-100 kleinere Merkmale zwischen benachbarten Backbone-Merkmalen 760, wo die kleineren Merkmale z.B. 4-6 Nanometer breit sind).
  • In einer Ausführungsform weist jede von den dazwischen liegenden Gruppierungen 762 aus relativ kleineren Merkmalen kleine Merkmale 764 einer ersten Materialart, kleine Merkmale 766 einer zweiten Materialart, die von der ersten Materialart verschieden ist, und kleine Merkmale 768 einer dritten Materialart, die von der ersten Materialart und von der zweiten Materialart verschieden ist, auf. Der Unterschied zwischen den Materialarten kann für unterschiedliche Ätzeigenschaften oder eine Selektivität zwischen den Materialarten sorgen. In einer Ausführungsform ist das Material der Backbone-Merkmale 760 dem Material der zweiten Materialart der kleinen Merkmale 766 gleich, wie in 7B bildlich dargestellt ist. In einer anderen Ausführungsform ist das Material der Backbone-Merkmale 760 vom Material der zweiten Materialart der kleinen Merkmale 766 verschieden, hat aber ähnliche Ätzeigenschaften oder eine ähnliche Selektivität wie die dritte Materialart der kleinen Merkmale 766.
  • Wie in den 7A und 7B zusammen gezeigt ist, weisen die Strukturen 700 oder 750 in einer Ausführungsform mehrere iterative vertikale Schichten aus einander abwechselnden Materialien auf, die schließlich die finalen Orte von Merkmalen in einem Halbleiterstruktur definieren werden (z.B. Metalle, Transistoren usw.). Hin und wieder sind größere Merkmale vorhanden, da sie die lithographisch definierten Strukturen darstellen, die in einer Ausführungsform größer (breiter) sind, da sie eine höhere Größenabweichung aufweisen. In einer Ausführungsform befinden sich sechs bis Hunderte von schmalen Merkmalen zwischen den breiten Merkmalen.
  • Die 8A-8H zeigen Querschnittsansichten, die verschiedene Operationen in einem Verfahren zur Herstellung von Ziel-Grundstrukturen darstellen, mit denen sehr enge Abstände aufweisende finale Strukturen für Halbleiterschichten ermöglicht werden, gemäß Ausführungsformen der vorliegenden Offenbarung. Insgesamt werden in einer Ausführungsform iterative Dünnschichterzeugungsoperationen angewendet. Zum Beispiel wird eine formfolgende Dünnschichtabscheidung, gefolgt von einer anisotropen Ätzung (z.B. einer Abstandshalterausbildung), ein selektives Züchten oder eine gerichtete Selbstorganisation (DSA) durchgeführt. Ein Strukturierungsprozess wie nachstehend beschrieben kann implementiert werden, um einen Strukturierungsprozess bereitzustellen, der für die Erzeugung sehr enge Abstände aufweisender finaler Strukturen für Halbleiterschichten geeignet ist. In einer Ausführungsform schließen Vorteile der Implementierung eines solchen Prozessflusses eine verbesserte Abmessungssteuerung von enge Abstände aufweisenden Merkmalen mit einem integrierten Verfahren der Färbung von einander abwechselnden Merkmalen ein, um eine selbstausrichtende Bildung von Vias, Plugs und Schnitten zu ermöglichen.
  • 8A zeigt eine Prozessoperation, die eine Ausbildung eines großen Backbone beinhaltet. Eine Vielzahl von Backbone-Merkmalen 808 wird oberhalb einer Hartmaskenschicht 806 ausgebildet, die oberhalb einer Übertragungsschicht 804 ausgebildet ist, die oberhalb eines Substrats 802 ausgebildet ist. In einer Ausführungsform beinhaltet die Bildung der Vielzahl von Backbone-Merkmalen 808 die Verwendung einer Standard-Lithographieoperation (z.B. 193 nm oder EUV), gefolgt von einer Ätzübertragung auf eine Hartmaske (z.B. SiN, SiO2, SiC), und dann eine Entfernung etwaiger verbliebener Lack- bzw. Resist- und/oder Antireflexionsschichten (z.B. durch Veraschung oder Nassreinigung).
  • 8B zeigt eine Prozessoperation, welche die Ausbildung eines ersten Abstandshalters (Abstandshalter 1) beinhaltet. Ein erster Satz kleiner Merkmale 810 aus einer ersten Materialzusammensetzung wird entlang der Seitenwände von jedem einzelnen von der Vielzahl von Backbone-Merkmalen 808 ausgebildet. In einer Ausführungsform wird der erste Satz kleiner Merkmale 810 anhand einer Abscheidungs(z.B. ALD)- und Ätzmethode ausgebildet. In einer anderen Ausführungsform wird der erste Satz kleiner Merkmale 810 anhand einer selektiven Züchtungsmethode ausgebildet.
  • 8C zeigt eine Prozessoperation, welche die Bildung eines zweiten Abstandshalters (Abstandshalter 2), die Bildung eines dritten Abstandshalters (Abstandshalter 3) und die Bildung eines vierten Abstandshalters (Abstandshalter 4) beinhaltet, wobei die jeweiligen Schichten als eine mögliche beispielhafte Ausführungsform gezeigt sind. Ein zweiter Satz kleiner Merkmale 812 aus einer zweiten Materialzusammensetzung wird entlang der freiliegenden Seitenwände von jedem einzelnen von dem Satz kleiner Merkmale 810 ausgebildet. Ein dritter Satz kleiner Merkmale 814 aus einer dritten Materialzusammensetzung wird entlang der freiliegenden Seitenwände von jedem von dem zweiten Satz kleiner Merkmale 812 ausgebildet. Ein vierter Satz kleiner Merkmale 816 aus der zweiten Materialzusammensetzung wird entlang der freiliegenden Seitenwände von jedem einzelnen von dem dritten Satz kleiner Merkmale 814 ausgebildet. In einer Ausführungsform wird zuerst der zweite Satz kleiner Merkmale 812 anhand einer Abscheidungs(z.B. ALD)- und Ätzmethode oder selektiven Züchtungsmethode ausgebildet. In einer Ausführungsform wird dann der dritte Satz kleiner Merkmale 814 anhand einer anderen Abscheidungs(z.B. ALD)- und Ätzmethode oder selektiven Züchtungsmethode ausgebildet. Der vierte Satz kleiner Merkmale 816 wird dann anhand einer anderen Abscheidungs(z.B. ALD)- und Ätzmethode oder selektiven Züchtungsmethode ausgebildet.
  • 8D zeigt eine Prozessoperation, die eine fortgesetzte Schichtenbildung beinhaltet. Zusätzliche Abstandshalterschichten 818 werden nacheinander mit einer ausgewählten Reihenfolge von Materialarten ausgebildet. Die zusätzlichen Abstandshalterschichten 818 können unter Verwendung von Abscheidungs- und Ätzmethoden, selektiven Züchtungsmethoden oder einer Kombination davon hergestellt werden. Man beachte, dass mehr Schichten hinzugefügt werden können als gezeigt. Zum Beispiel werden in einer Ausführungsform zusätzliche 20-200 Sätze von Abstandshaltern in diesem Stadium ausgebildet. Die Abscheidung von Abstandshaltern kann vor der Zusammenführung benachbarter wachsender Seitenwände abgeschlossen werden, z.B. wird die Bildung von Abstandshaltern angehalten, wenn eine Öffnung 820 zurückbleibt. Man beachte, dass auch, wenn Abscheidungs- und Ätzmethoden oder selektive Züchtungsmethoden als Optionen für die 8A-8D beschrieben wurden, eine gerichtete Selbstorganisation (DSA) anstelle von oder als eine der Optionen für eine hierin beschriebene Bildung von Abstandshaltern verwendet werden kann. In einem solchen Beispiel wird eine auf drei Blöcken basierende DSA verwendet. Ein Beispiel für eine auf drei Blöcken basierende DSA wird nachstehend in Verbindung mit den 12A-12K beschrieben.
  • In einer Ausführungsform, wofür gemeinsam auf die 8A-8D Bezug genommen wird, wird eine iterative Erzeugung dünner Schichten aus einander abwechselnden Materialien auf Seiten von ursprünglichen, durch Lithographie definierten Template-Merkmalen durchgeführt. Ein mögliches Verfahren zum Erreichen einer solchen Struktur ist durch Dünnschichtabscheidung, gefolgt von einer anisotropen Ätzung. In einer Ausführungsform wird ein einzelnes Prozesswerkzeug verwendet, um sowohl die Abscheidung als auch die Ätzung durchzuführen, wodurch die Effizienz dieser Methode stark verbessert ist. Andere Verfahren zur Erzeugung dünner Schichten mit gut gesteuerter Dicke schließen selektives Züchten oder DSA ein.
  • 8E zeigt eine Prozessoperation, die eine Backbone-Entfernung beinhaltet. Die Backbone-Merkmale 808 werden entfernt, wodurch Öffnungen 822 zurückbleiben. In einer Ausführungsform weisen die Öffnungen 822 eine Breite auf, die der Breite von Öffnungen 820 ungefähr gleich ist, wie in 8E bildlich dargestellt ist. In einer Ausführungsform weist jede von den Öffnungen 820 und 822 Abstandshalter 824 als Seitenwände auf, die Abstandshalter 824 aus der ersten Materialzusammensetzung. In einer Ausführungsform sind manche von den Abstandshaltern 824 aus zuvor markierten Abstandshaltern 810 umgestaltet. In einer Ausführungsform werden die Backbone-Merkmale 808 entfernt, um mehr Platz für die Erzeugung weiterer kleiner Merkmale zu schaffen.
  • 8F zeigt eine Prozessoperation, die eine fortgesetzte Schichtenbildung beinhaltet. Die Öffnungen 820 und 822 sind am Ende unter Verwendung einer fortgesetzten Abstandshalterbildung vollständig gefüllt. In einer beispielhaften Ausführungsform werden Abstandshalter 826 entlang der freiliegenden Seitenwände der Abstandshalter 824 ausgebildet. In einer solchen Ausführungsform weisen die Abstandshalter 826 die zweite Materialzusammensetzung auf. In einer Ausführungsform wird am Ende ein finales breites Merkmal 828 in der Mitte von jeder der Öffnungen 820 und 822 in einem Stadium ausgebildet, wenn keine weitere Abstandshalterbildung erwünscht oder erreichbar ist. In einer Ausführungsform beinhaltet die Bildung der finalen breiten Merkmale 828 das Zusammenführen eines Materialwachstums, das entlang von benachbarten Seitenwänden der Abstandshalte 826 gebildet wird. In einer solchen Ausführungsform schafft das Zusammenführen von Materialwachstum finale breite Merkmale 828, die jeweils eine Naht aufweisen, die innerhalb des finalen breiten Merkmals 828 ungefähr zentriert ist. In einer Ausführungsform weisen die finalen breiten Merkmale 828 die dritte Materialzusammensetzung auf.
  • 8G zeigt eine Prozessoperation, die eine Planarisierung der Struktur von 8F beinhaltet. In einer Ausführungsform wird die Planarisierung unter Verwendung einer chemisch-mechanischen Polier(CMP)-Operation durchgeführt. In einer Ausführungsform stellt der Planarisierungsprozess eine flache Struktur vor Plug-/Schnitt- und Viaprozessoperationen bereit. Die Orte 828, die direkt unter den ursprünglichen lithographischen Merkmalen zentriert sind (aus denen die Öffnungen 822 entstanden sind) und die den halben Abstand dazwischen aufweisen (aus denen die Öffnung 820 entstanden ist), können so angestrebt werden, dass sie größer sind, um eine im Vergleich zu einer einzelnen Dünnschichtoperation (plus Ätzung) größere Größenabweichung unterzubringen, die mit lithographischen Operationen assoziiert ist. Wie gezeigt ist in einer Ausführungsform die Struktur von 8G derjenigen ähnlich oder gleich, die in Verbindung mit 7A beschrieben wurde.
  • 8H zeigt eine Prozessoperation, die eine selektive Entfernung sämtlicher Merkmale der ersten Materialzusammensetzung, z.B. von Abstandshaltern 810/824 beinhaltet (die kleinen Merkmalen 716 der ersten Materialart von der Struktur von 7A entsprechen, wie in 8G gezeigt). In einer Ausführungsform werden die kleinen Merkmale 716 der ersten Materialart unter Verwendung eines selektiven Ätzprozesses entfernt, der die übrigen Abstandshaltermaterialien nicht entfernt oder kaum entfernt. In der beispielhaften Ausführungsform, die in 8H gezeigt ist, werden im Anschluss an die Entfernung der kleinen Merkmale 716 der ersten Materialart Metallleitungsstrukturierungsmerkmale 830 in den Öffnungen ausgebildet, die bei der Entfernung sämtlicher kleiner Merkmale 716 der ersten Materialart gebildet wurden. Manche von den Metallleitungsstrukturierungsmerkmalen 830 sind darunterliegenden Viastrukturierungsmerkmalen 832 zugeordnet. Auch wenn dies nicht bildlich dargestellt ist, so können manche von den kleinen Merkmalen 716 der ersten Materialart beibehalten werden (z.B. durch einen Fotolithographieblockierungsprozess, der eine Entfernung der ausgewählten von den kleinen Merkmalen 716 der ersten Materialart blockiert), um Plugstrukturierungsmerkmale zu bilden. In einer Ausführungsform werden die Metallleitungsstrukturierungsmerkmale 830, die Viastrukturierungsmerkmale 832 und etwaige Plugstrukturierungsmerkmale am Ende in die Hartmaskenschicht 806 und die Übertragungsschicht 804 strukturiert, um schlussendlich eine darunterliegende Schicht zu strukturieren. In einer anderen Ausführungsform, wie abgebildet, stellen die Metallleitungsstrukturierungsmerkmale 830, die Viastrukturierungsmerkmale 832 und etwaige Plugstrukturierungsmerkmale eigentlich Metallleitungen, Vias und Plugs dar, die in der Schicht 834 ausgebildet sind, wie gezeigt. Ob nun Metallleitungsstrukturierungsmerkmale 830 oder eigentliche Metallleitungen, jede(s) von ihnen kann eine darüberliegende Hartmasken-Abdeckschicht 836 aufweisen, um die Merkmale während einer anschließenden Verarbeitung der Schicht 834 zu schützen, wie in 8H bildlich dargestellt ist. In einer Ausführungsform, für die wiederum auf 8H Bezug genommen wird, wird durch die Entfernung von nur einer Art von Abstandshalter ein zusätzlicher Spielraum für eine Prozessabweichung in den Plug-, Via- und/oder Schnittstrukturierungsoperationen geschaffen.
  • Die 8H' und 8H" zeigen Querschnittsansichten von beispielhaften Strukturen im Anschluss an eine Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 8H' zeigt eine Prozessoperation, die eine selektive Entfernung von sämtlichem Material der Backbone-Merkmale 710 und von sämtlichen kleinen Merkmalen 718 der dritten Materialart von der Struktur 8H beinhaltet. In einer Ausführungsform werden die Backbone-Merkmale 710 und die kleinen Merkmale 718 der dritten Materialart unter Verwendung eines selektiven Ätzprozesses entfernt, der die übrigen Abstandshaltermaterialien oder bereits ersetzte Abstandshaltermaterialien nicht entfernt oder kaum entfernt. In der beispielhaften Ausführungsform, die in 8H' gezeigt ist, werden im Anschluss an die Entfernung der Backbone-Merkmale 710 und der kleinen Merkmale 718 der dritten Materialart zweite Metallleitungsstrukturierungsmerkmale 838 in fast allen von den Öffnungen ausgebildet, die bei der Entfernung der Backbone-Merkmale 710 und der kleinen Merkmale 718 der dritten Materialart gebildet wurden. In einer Ausführungsform werden etwaige verbliebene von den Öffnungen, die bei der Entfernung der Backbone-Merkmale 710 und der kleinen Merkmale 718 der dritten Materialart gebildet worden sind, mit einem Plugmaterial 850 gefüllt (z.B. um ein Streckenendenmerkmal zu schaffen, das nicht leitfähiges Material wie etwa SiN oder SiO2 umfasst) oder werden als Plugregion bewahrt. Manche von den zweiten Metallleitungsstrukturierungsmerkmalen 838 sind darunterliegenden zweiten Viastrukturierungsmerkmalen 840 zugeordnet. In einer Ausführungsform werden die zweiten Metallleitungsstrukturierungsmerkmale 838, die zweiten Viastrukturierungsmerkmale 840 und etwaige Plugstrukturierungsmerkmale 850 am Ende in die Hartmaskenschicht 806 und die Übertragungsschicht 804 strukturiert, um schlussendlich eine darunterliegende Schicht zu strukturieren. In einer anderen Ausführungsform, wie abgebildet, stellen die zweiten Metallleitungsstrukturierungsmerkmale 838, die zweiten Viastrukturierungsmerkmale 840 und etwaige Plugstrukturierungsmerkmale 850 eigentlich Metallleitungen, Vias bzw. Plugs dar.
  • Ob nun zweite Metallleitungsstrukturierungsmerkmale 838 oder eigentliche Metallleitungen, oder ob nun Strukturierungsplugmerkmale 850 oder eigentliche Plugmerkmale 850, jede(s) von ihnen kann eine darüberliegende Hartmasken-Abdeckschicht 842 aufweisen, um die Merkmale während anschließender Verarbeitungsoperationen zu schützen, wie in 8H' bildlich dargestellt ist. In einer Ausführungsform unterscheidet sich die oben liegende Hartmaskenabdeckschicht 842 in ihrer Zusammensetzung von der oben liegenden Hartmaskenabdeckschicht 836. Somit weisen in einer Ausführungsform einander abwechselnde Merkmale unterschiedliche Hartmaskenmaterialien auf. Eine solche Anordnung kann eine anschließende Verbindung eines Vias aus einer anschließend darüber gebildeten Schicht mit einem vergrößerten Spielraum für Randplatzierungsfehler erleichtern, um ein Via zu einem falschen Metallmerkmal zu verhindern.
  • Man beachte, dass die Metallleitungen 830 (oder Strukturierungsmerkmale) und die zweiten Metallleitungen 838 (oder Strukturierungsmerkmale) in unterschiedlichen Verarbeitungsoperationen ausgebildet werden, weswegen die Zusammensetzung der Metallleitungen 830 und der zweiten Metallleitungen 838 verschieden sein können. In einer beispielhaften Ausführungsform zeigt 8H" ein Beispiel, wo Metallleitungen 830' in ihrer Zusammensetzung von Metallleitungen 838 verschieden sind. Somit können einander abwechselnde Merkmale unterschiedliche leitfähige Materialien umfassen.
  • Man beachte, dass manche ältere Formen von auf Abstandshaltern basierenden Abstandsteilungstechniken für eine Erzeugung großer Volumina verwendet werden können. Oben in Verbindung mit der Backbone-Methode beschriebene Ausführungsformen können implementiert werden, um einen oder zwei Durchgänge einer auf Abstandshaltern basierenden Abstandsteilung auf eine sehr große Zahl iterativer Abstandshalterbildungsoperationen auszuweiten. Eine oder mehrere Ausführungsformen stellen eine Methode für eine Halbleiterchipdichteskalierung mit hohen Fertigungserträgen bereit. Eine oder mehrere Ausführungsformen stellen eine Methode für die Herstellung dichter Verbindungen oder sogar Transistoren (falls auf eine FEOL-Verarbeitung angewandt) mit konsistent gut ausgebildeten Merkmalsgrößen bereit. Man beachte, dass ein Reverse-Engineering eines Produkts, das unter Verwendung einer Backbone-Methode hergestellt wurde, vorherrschend Merkmale mit engen Abständen (z.B. Merkmale mit Abständen unter 10 nm) mit gelegentlichen breiten eindimensionalen (1D)-Merkmalen offenbaren würde. Eine Querschnittsabtastungs-Elektronenmikroskopie (XREM) kann „farbige“ Hartmasken (die sich z.B. in Bezug auf eine Eigenschaft wie etwa die Selektivität voneinander unterscheiden) auf einander abwechselnden Merkmalen offenbaren.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Abstandsteilung angewendet, um eine Methode für die Herstellung einander abwechselnder Metallleitungen in einem BEOL-Herstellungsablauf bereitzustellen. Eine oder mehrere hierin beschriebene Ausführungsformen sind auf Prozessflüsse von Abstandsteilungsstrukturierungen gerichtet, die Überdeckungsspielräume für Vias, Schnitte und Plugs vergrößern. Ausführungsformen können eine fortgesetzte Skalierung des Abstands von Metallschichten über das Auflösungsvermögen von lithographischen Geräten des Standes der Technik hinaus ermöglichen. In einer Ausführungsform ist ein Zwischenraum zwischen Metallleitungen konstant und kann mittels ALD auf eine Präzision in der Größenordnung von Ängström gesteuert werden. In einer Ausführungsform wird ein Prozessfluss so entworfen, dass ein „Ersatz-ILD“-Fluss möglich ist. Das heißt das ILD kann abgeschieden werden, nachdem die Struktur und die Metallisierung vollständig sind. Die Strukturierungsflüsse schädigen typischerweise das ILD durch die Ätz-/Reinigungsschritte, aber in diesem Fluss kann das ILD als letztes abgeschieden werden, und daher kann eine Beschädigung während der Strukturierung verhindert werden.
  • Um dies in einen Kontext zu stellen, so ist ein Randplatzierungsfehler bei einer Via-, Schnitt- und Plugstrukturierung problematisch, wenn Merkmalsgröße und Abstände skaliert werden. Lösungen des Standes der Technik, die auf solche Probleme ausgerichtet sind, beinhalten entweder Versuche, den Randplatzierungsfehler durch Verbessern der Scannerüberdeckung und Verbessern der Steuerung der kritischen Abmessung (CD) zu verschmälern, oder Versuche, super-selbstjustierende Integrationsmethoden anzuwenden. Im Gegensatz dazu beinhalten hierin beschriebene Verfahren die Implementierung eines Prozesses, durch den ähnliche Verbesserungen des Spielraums für Randplatzierungsfehler erreicht werden, ohne dass dafür die lithographische Werkzeugausstattung oder eine Super-Selbstjustierung nötig wären.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Metallleitungen in zwei separaten Operationsfolgen hergestellt, um die Menge des Überdeckungsspielraums für die Schnitt-/Plug- und Viastrukturierung zu verdoppeln. In einem ersten Abschnitt eines beispielhaften Prozessflusses werden Abstandsteilungsverfahren angewendet, um Metallleitungen, Plugs und dann Vias in ein dielektrisches Zwischenschichtmaterial zu strukturieren. In einem zweiten Abschnitt des beispielhaften Prozessflusses werden Gräben/Viaöffnungen mit Metall gefüllt (z.B. duale Damascene-Metallisierung) und dann poliert. Dann werden Hartmaskenopferschichten zwischen den Metallleitungen entfernt. Die Metallleitungen werden dann mit einem dielektrischen Opfermaterial beschichtet, z.B. durch Atomlagenabscheidung (ALD). In einem dritten Abschnitt des beispielhaften Prozessflusses wird eine isotrope Abstandshalterätzung durchgeführt, um die Böden der Gräben freizulegen. Unter Verwendung eines Plugstrukturierungsflusses wird dielektrisches Material an den Orten zugegeben, wo Metallleitungsenden erscheinen sollen, und Viaätzungen werden an den komplementären Metallleitungen durchgeführt. Das Metall aus den ersten Metallleitungen wirkt als Ätzstopp, um ein Ätzen an diesen Orten zu verhindern. In einem vierten Abschnitt des beispielhaften Prozessflusses werden Gräben mit Metall gefüllt und poliert, um das Metall freizulegen. Nach dem Polieren wird Hartmaskenopfermaterial entfernt und optional durch ein dielektrisches Material ersetzt und dann erneut poliert, um den Metallisierungsprozess abzuschließen. Durch eine Abstimmung der Abscheidung des dielektrischen Materials können auch Luftspalte eingefügt werden. Außerdem können Ausführungsformen die Verwendung eines Hartmaskenopfermaterials anstelle von Metall beinhalten. Die Opfer-Hartmaske kann entfernt und in der „zweiten“ Metallisierungsoperation durch Metall ersetzt werden.
  • Für einen beispielhaften Verarbeitungsablauf zeigen die 9A-9L Schrägschnittansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine Strukturierung durch Abstandsteilung mit vergrößertem Überdeckungsspielraum für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
  • Wie in 9A gezeigt ist, wird eine Ausgangspunktstruktur 900 als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 900 beinhaltet eine Hartmaskenschicht 902, die auf einer Opferschicht 904 angeordnet wird, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht 906 angeordnet ist. Die ILD-Schicht kann oberhalb eines Substrats angeordnet werden und wird in einer Ausführungsform über einer darunterliegenden Metallisierungsschicht angeordnet. In einer Ausführungsform ist die Hartmaskenschicht 902 eine Siliziumnitrid(SiN)- oder Titannitrid-Hartmaskenschicht. In einer Ausführungsform ist die Opferschicht eine Siliziumschicht, wie etwa eine Schicht aus polykristallinem Silizium oder eine Schicht aus amorphem Silizium.
  • Wie in 9B gezeigt ist, werden die Hartmaskenschicht 902 und die Opferschicht 904 der Struktur von 9B strukturiert. Die Hartmaskenschicht 902 und die Opferschicht 904 werden strukturiert, um eine strukturierte Hartmaskenschicht 908 bzw. eine strukturierte Opferschicht 910 zu bilden. Die strukturierte Hartmaskenschicht 908 und die strukturierte Opferschicht 910 schließen eine Struktur aus ersten Streckenöffnungen 912 und Streckenendregionen 914 ein. In einer Ausführungsform eignet sich eine Silizium-Opferschicht zur Strukturierung an feinen Merkmalen unter Verwendung eines anisotropen Plasmaätzprozesses. In einer Ausführungsform wird ein lithographischer Fotolackmaskenfreilegungs- und -ätzprozess verwendet, um eine strukturierte Hartmaskenschicht 908 und eine strukturierte Opferschicht 910 zu bilden, mit anschließender Entfernung der Fotolackschicht oder des Fotolackstapels. In einer Ausführungsform weisen erste Streckenöffnungen 912 eine gitterartige Struktur auf, wie in 9B bildlich dargestellt ist. In einer Ausführungsform wird ein Abstandsteilungsstrukturierungsablauf verwendet, um die Struktur der ersten Streckenöffnungen 912 zu bilden. Beispiele für geeignete Abstandsteilungsabläufe werden nachstehend ausführlicher beschrieben. Ein sich anschließender Strecken-„Schnitt“- oder -Plugbewahrungs-Lithographieprozess kann dann verwendet werden, um Streckenendregionen 914 zu definieren.
  • 9C zeigt die Struktur von 9B im Anschluss an eine Strukturierung eines darunterliegenden Viaortes. Viaöffnungen 916 können an ausgewählten Orten der ILD-Schicht 906 ausgebildet werden, um eine strukturierte ILD-Schicht 918 zu bilden. In einer Ausführungsform werden Vias unter Verwendung eines selbstjustierenden Viaprozesses strukturiert. Die ausgewählten Orte werden innerhalb von Regionen der ILD-Schicht 906 ausgebildet, die von den ersten Streckenöffnungen 912 freigelegt werden. In einer Ausführungsform wird ein separater Lithographie- und Ätzprozess verwendet, um im Anschluss an den lithographischen Strukturierungsprozess, der verwendet wird, um die ersten Streckenöffnungen 912 zu bilden, Viaöffnungen 916 auszubilden.
  • 9D zeigt die Struktur von 9C im Anschluss an einen ersten Metallisierungsprozess. In einer Ausführungsform wird ein Dual-Damascene-Metallisierungsprozess verwendet, wo Vias und Metallleitungen gleichzeitig gefüllt werden. Verbindungsleitungen 920 und leitfähige Vias 920 werden in den ersten Streckenöffnungen und den Viaöffnungen 916 ausgebildet. In einer Ausführungsform wird ein Metallfüllungsprozess durchgeführt, um Verbindungsleitungen 920 und leitfähige Vias 920 bereitzustellen. In einer Ausführungsform wird ein Metallfüllungsprozess unter Verwendung einer Metallabscheidung und eines anschließenden Planarisierungsverarbeitungsablaufs, wie etwa eines chemisch-mechanischen Polier(CMP)-Prozesses, durchgeführt. In dem Fall, dass die strukturierte Hartmaskenopferschicht 910 im Wesentlichen Silizium umfasst, kann ein Auskleidungsmaterial vor der Ausbildung einer leitfähigen Füllschicht abgeschieden werden, um eine Silizidierung der strukturierten Hartmaskenopferschicht 910 zu hemmen.
  • 9E zeigt die Struktur von 9D im Anschluss an die Freilegung der Verbindungsleitungen 920. Die strukturierte Hartmaskenschicht 908 und die strukturierte Opferschicht 910 werden entfernt, um die Verbindungsleitungen 920 freizulegen, wobei leitende Vias in der strukturierten ILD-Schicht 918 darunter liegen. Streckenendenöffnungen 924 werden offengelegt. Streckenendenöffnungen 924 stellen Brüche in der Gitterstruktur der Verbindungsleitungen 920 bereit. In einer Ausführungsform werden die strukturierte Hartmaskenschicht 908 und die strukturierte Opferschicht 910 unter Verwendung eines selektiven Nassätzprozesses entfernt.
  • 9F zeigt die Struktur von 9E im Anschluss an die Bildung einer formfolgenden Strukturierungsschicht. Ein Abstandshaltermaterial 926 wird formfolgend über der Gitterstruktur der Verbindungsleitungen 920 ausgebildet. In einer Ausführungsform wird aufgrund der Tatsache, dass sie sehr gut formfolgend und extrem exakt ist (z.B. bis auf die Größenordnung von Ängström gesteuert werden kann), eine Atomlagenabscheidung (ALD) verwendet. Man beachte, dass die Streckenendenöffnungen 924 in einer Ausführungsform zu kurz sind, um die allgemeine Gitterstruktur der Verbindungsleitungen 920 in Bezug auf die Ausbildung der formfolgenden Schicht 926 aus Abstandshaltermaterial effektiv zu unterbrechen. In einer solchen Ausführungsform werden die Streckenendenöffnungen 924 mit der Schicht 926 aus Abstandshaltermaterial gefüllt, ohne die allgemeine Gitterstruktur der Verbindungsleitungen 920 zu unterbrechen. In einer Ausführungsform wird die Schicht 926 aus Abstandshaltermaterial anhand eines chemischen Dampfabscheidungs(CVD)- oder Atomlagenabscheidungs(ALD)-Prozesses abgeschieden. In einer Ausführungsform ist die Schicht 926 aus Abstandshaltermaterial eine Siliziumschicht, wie etwa eine Schicht aus polykristallinem Silizium oder eine Schicht aus amorphem Silizium. In einer Ausführungsform wird ein Auskleidungsmaterial auf den Verbindungsleitungen 920 abgeschieden, bevor eine Schicht aus Silizium-Abstandshaltermaterial ausgebildet wird, um eine Silizidierung der Schicht 926 aus Abstandshaltermaterial zu hemmen. In einer Ausführungsform weisen die Streckenendenschnitte (-Plugs) höchstens 2X die Dicke der Abstandshalter auf, so dass sie von dem formfolgenden dielektrischen Material vollständig gefüllt werden. Wenn sie mehr als 2X die Dicke aufweisen, können sich Nähte bilden und das Metall kann die Strecken während einer anschließenden Verarbeitung kurzschließen.
  • 9G zeigt die Struktur von 9F im Anschluss an die Bildung von Abstandshalterstrecken aus der Schicht aus Abstandshaltermaterial. In einer Ausführungsform werden Abstandshalter 928 entlang der Seitenwände von Verbindungsleitungen 920 unter Verwendung eines anisotropen Plasmaätzprozesses ausgebildet. In einer Ausführungsform verbleibt die Schicht 926 aus Abstandshaltermaterial in den Streckenendenöffnungen 924, um Streckenendenplatzhalteröffnungen 930 für Verbindungsleitungen 920 zu bilden.
  • 9H zeigt die Struktur von 9G im Anschluss an die Bildung einer Plug-Platzhalterschicht. Eine Plug-Platzhalterschicht 932 wird zwischen Abstandshaltern 928 von einander benachbarten Verbindungsleitungen 920 gebildet. Die Plug-Platzhalterschicht 932 wird zu Anfang an Orten ausgebildet, wo schlussendlich ein zweiter Satz von Verbindungsleitungen ausgebildet wird. In einer Ausführungsform wird die Plug-Platzhalterschicht 932 unter Verwendung eines Abscheidungs- und Planarisierungsprozesses gebildet, durch den die Plug-Platzhalterschicht 932 zwischen den Abstandshaltern 928 begrenzt wird.
  • 91 zeigt die Struktur von 9H im Anschluss an die Strukturierung der Plug-Platzhalterschicht. Die Plug-Platzhalterschicht 932 wird strukturiert, um Plug-Platzhalter 934 an ausgewählten Orten beizubehalten, wo schlussendlich Streckenenden ausgebildet werden. In einer Ausführungsform wird ein lithographischer Fotolackmaskenfreilegungs- und Ätzprozess verwendet, um Plug-Platzhalter 934 auszubilden, mit anschließender Entfernung der Fotolackschicht oder des Fotolackstapels.
  • 9J zeigt die Struktur von 91 im Anschluss an einen zweiten Metallisierungsprozess. Verbindungsleitungen 936 werden in den Öffnungen (zweiten Streckenöffnungen) ausgebildet, die bei der Strukturierung der Plug-Platzhalterschicht 932 gebildet wurden, um Plug-Platzhalter 934 zu bilden. Auch wenn die separaten Prozessoperationen aus den Figuren weggelassen wurden, können außerdem Viaöffnungen und schlussendlich leitfähige Vias 938 an ausgewählten Orten unterhalb der leitfähigen Leitungen 936 ausgebildet werden. Ein solcher Prozess führt zu einer doppelt (in zwei unterschiedlichen Viastrukturierungsoperationen) strukturierten ILD-Schicht 940, wie in 9J bildlich dargestellt ist.
  • In einer Ausführungsform wird ein Metallfüllungsprozess durchgeführt, um Verbindungsleitungen 936 und leitfähige Vias 938 bereitzustellen. In einer Ausführungsform wird ein Metallfüllungsprozess unter Verwendung einer Metallabscheidung und eines anschließenden Planarisierungsverarbeitungsablaufs, wie etwa eines chemisch-mechanischen Polier(CMP)-Prozesses, durchgeführt. In dem Fall, dass die Abstandshalter 928 im Wesentlichen Silizium umfassen, kann ein Auskleidungsmaterial vor der Ausbildung einer leitfähigen Füllschicht abgeschieden werden, um eine Silizidierung der Abstandshalter 928 zu hemmen.
  • Man beachte, dass in einer Ausführungsform Verbindungsleitungen 936 (und entsprechende leitfähige Vias 938) in einem Prozess gebildet werden, der später kommt als der Prozess, der verwendet wird, um die Verbindungsleitungen 920 (und die entsprechenden leitfähigen Vias 922) auszubilden, weswegen die Verbindungsleitungen 936 unter Verwendung eines anderen Materials hergestellt werden können als eines, das verwendet wird, um die leitfähigen Leitungen 920 herzustellen. In einer solchen Ausführungsform weist eine Metallisierungsschicht schlussendlich leitende Verbindungen aus einander abwechselnden, unterschiedlichen ersten und zweiten Zusammensetzungen auf.
  • 9K zeigt die Struktur von 9J im Anschluss an die Freilegung der zwei Sätze von Verbindungsleitungen 920 und 936. Die Abstandshalter 928, die Streckenenden-Platzhalterabschnitte 930 und die Plug-Platzhalter 934 werden entfernt, wodurch Verbindungsleitungen 920 und 936 freigelegt werden, wobei leitfähige Vias 922 bzw. 938 in der strukturierten ILD-Schicht 940 darunter liegen. Streckenendenöffnungen 942 werden offengelegt. Die Streckenendenöffnungen 942 stellen Brüche in der Gitterstruktur der Verbindungsleitungen 920 und in der Gitterstruktur der Verbindungsleitungen 936 bereit. In einer Ausführungsform werden die Abstandshalter 928, die Streckenenden-Platzhalterabschnitte 930 und die Plug-Platzhalter 934 unter Verwendung eines selektiven Nassätzprozesses entfernt.
  • In einer Ausführungsform stellt die Struktur von 9K eine finale Metallisierungsstruktur mit einer Luftspaltarchitektur dar. Das heißt, da die Verbindungsleitungen 920 und 936 in dem hierin beschriebenen Prozess schlussendlich freigelegt werden, wird eine Luftspaltarchitektur ermöglicht. Da die Verbindungsleitungen 920 und 936 auf dieser Stufe des Prozesses freigelegt werden, besteht in einer anderen Ausführungsform die Gelegenheit, Seitenwandabschnitte einer Diffusionsbarriereschicht der Verbindungsleitungen zu entfernen. Zum Beispiel werden in einer Ausführungsform durch das Entfernen einer solchen Diffusionsbarriereschicht die leitfähigen Merkmale der Verbindungsleitungen 920 und 936 physisch dünner gemacht. In einer anderen Ausführungsform wird ein Widerstand dieser Verbindungsleitungen 920 und 936 bei der Entfernung von Seitenwandabschnitten solch einer Diffusionsbarriereschicht verringert. Wie in 9K markiert ist, werden Merkmalsseitenwandabschnitte 960 von Verbindungsleitungen 920 und 936 freigelegt, während dies für Abschnitte 962 unter den Strecken nicht der Fall ist. Somit wird in einer Ausführungsform eine Diffusionsbarriereschicht von Verbindungsleitungen 920 und 936 von den Seitenwänden 960 der Verbindungsleitungen 920 und 936 entfernt, aber nicht von Regionen 962 der Verbindungsleitungen 920 und 936. In einer bestimmten Ausführungsform beinhaltet eine solche Entfernung von Seitenwandabschnitten einer solchen Diffusionsbarriereschicht das Entfernen einer Ta- und/oder TaN-Schicht.
  • In einer Ausführungsform, für die auf Operationen 9A-9K Bezug genommen wird, beinhaltet ein Verfahren zum Herstellen einer Back-End-of-Line(BEOL)-Metallisierungsschicht somit das Ausbilden einer Vielzahl von leitfähigen Leitungen 920/936 in einem Opfermaterial 928, das oberhalb eines Substrats ausgebildet ist. Jede von der Vielzahl von leitfähigen Leitungen 920/936 weist eine Barriereschicht auf, die entlang eines Bodens und von Seitenwänden einer leitfähigen Füllschicht ausgebildet wird. Dann wird das Opfermaterial 928 entfernt. Die Barriereschicht wird von den Seitenwänden der leitfähigen Füllschicht (z.B. an Orten 960) entfernt. In einer Ausführungsform beinhaltet das Entfernen der Barriereschicht von den Seitenwänden der leitfähigen Füllschicht das Entfernen einer Tantal- oder Tantalnitridschicht von Seitenwänden einer leitfähigen Füllschicht, die ein Material einschließt, das ausgewählt ist aus der Gruppe bestehend aus Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen davon.
  • 9L zeigt die Struktur von 9K im Anschluss an die Bildung einer permanenten ILD-Schicht. Eine Zwischenschicht-Dielektrikums(ILD)-Schicht 946/948 ist zwischen den Verbindungsleitungen 920 und 936 ausgebildet. Die ILD-Schicht 946/948 weist Abschnitte 946 zwischen Verbindungsleitungen 920 und 936 auf. Die ILD-Schicht 946/948 weist auch Streckenenden(oder dielektrische Plug)-Abschnitte 948 zwischen Orten der Streckenbrüche der Verbindungsleitungen 920 und 936 auf.
  • In einer Ausführungsform, für die erneut auf 9L Bezug genommen wird, weist eine Halbleiterstruktur 999 ein Substrat (von dem eine darunterliegende ILD-Schicht 940 gezeigt ist) auf. Eine Vielzahl von einander abwechselnden ersten 920 und zweiten 936 leitfähigen Leitungstypen ist entlang der gleichen Richtung wie eine Back-End-of-Line(BEOL)-Metallisierungsschicht, die oberhalb des Substrats abgeschieden wird, angeordnet. Wie in Verbindung mit 9K beschrieben wurde, ist in einer Ausführungsform eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps 920 von einer Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps 936 verschieden. In einer spezifischen derartigen Ausführungsform umfasst die Gesamtzusammensetzung des ersten leitfähigen Leitungstyps 920 im Wesentlichen Kupfer, und die Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps 936 umfasst im Wesentlichen ein Material, das aus der Gruppe ausgewählt ist, die aus Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen derselben besteht, oder umgekehrt. Jedoch ist in einer anderen Ausführungsform eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps 920 der Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps 936 gleich.
  • In einer Ausführungsform sind die Strecken des ersten leitfähigen Leitungstyps 920 durch einen Abstand beabstandet und die Strecken des zweiten leitfähigen Leitungstyps 936 sind durch den gleichen Abstand beabstandet. In einer Ausführungsform sind die Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen in einer Zwischenschicht-Dielektrikums(ILD)-Schicht 946/948 angeordnet. In einer Ausführungsform sind die Strecken der Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen 920/936 jedoch durch einen Luftspalt getrennt, wie in Verbindung mit 9K beschrieben ist.
  • In einer Ausführungsform weisen die Strecken der Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen 920/936 jeweils eine Barriereschicht auf, die entlang eines Bodens und der Seitenwände der Strecke angeordnet ist. In einer anderen Ausführungsform weisen die Strecken der Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen 920/936 jedoch jeweils eine Barriereschicht auf, die entlang eines Bodens 962 der Strecke angeordnet ist, jedoch nicht entlang der Seitenwände 960 der Strecke, wie in einer Ausführungsform von 9K beschrieben wurde. In einer Ausführungsform ist eine oder sind mehrere der Strecken von der Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen mit einem darunterliegenden Via 922/938 verbunden, das mit einer darunterliegenden Metallisierungsschicht der Halbleiterstruktur verbunden ist. In einer Ausführungsform ist mindestens eine der Strecken von der Vielzahl einander abwechselnder erster und zweiter leitfähiger Leitungstypen 920/936 durch einen dielektrischen Plug 948 unterbrochen.
  • Eine resultierende Struktur 999, wie etwa in Verbindung mit 9L beschrieben (oder die Luftspaltstruktur von 9K) kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur 999 von 9L (oder die Struktur von 9K) die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Man beachte außerdem, dass die obigen Beispiele ihren Schwerpunkt auf der Bildung von Metallleitungen und Plugs oder Streckenenden haben. In anderen Ausführungsformen können jedoch ähnliche Methoden verwendet werden, um Öffnungen in einer ILD-Schicht zu bilden.
  • Gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung werden selbstjustierende DSA-Zweiblock- oder selektive Züchtungen in Richtung von unten nach oben beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf eine selbstjustierende Via- und Plugstrukturierung gerichtet. Der Selbstjustierungsaspekt der hierin beschriebenen Prozesse kann auf einem Mechanismus einer gerichteten Selbstorganisation (DSA) basieren, wie nachstehend ausführlicher beschrieben wird. Man beachte jedoch, dass ein selektiver Züchtungsmechanismus anstelle von oder in Kombination mit DSA-basierten Methoden verwendet werden kann. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen. Genauer ist mindestens eine Ausführungsform auf eine Methode gerichtet, die ein darunterliegendes Metall als Template nutzt, um die leitfähigen Vias und nicht-leitfähigen Leerräume oder Unterbrechungen zwischen Metallen (sogenannte „Plugs“) zu bauen.
  • Die 10A-10M zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Metallstrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind für jede beschriebene Operation Planansichten auf der linken Seite gezeigt und entsprechende Querschnittsansichten sind auf der rechten Seite gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Planansichten bezeichnet.
  • 10A zeigt eine Planansicht und entsprechende Querschnittsansichten von Optionen für eine Metallisierungsstruktur einer vorangehenden Schicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Bezieht man sich auf die Planansicht und die entsprechende Querschnittsansicht der Option (a), so weist eine Ausgangsstruktur 1000 eine Struktur aus Metallleitungen 1002 und Zwischenschicht-Dielektrikums(ILD)-Strecken 1004 auf. Die Ausgangsstruktur 1000 kann in einer gitterartigen Struktur mit Metallleitungen strukturiert werden, die mit einem konstanten Abstand beabstandet sind und eine konstante Breite (z.B. für eine DSA-Ausführungsform, aber für eine Ausführungsform mit gerichtetem selektivem Wachstum nicht unbedingt erforderlich) aufweisen, wie in 10A dargestellt. Die Struktur kann beispielsweise durch eine Abstandshalbierungs- oder Abstandsviertelungsmethode hergestellt werden. Manche von den Leitungen bzw. Strecken können mit darunterliegenden Vias assoziiert sein, wie etwa die Strecke 1002', die als Beispiel in den Querschnittsansichten gezeigt ist.
  • Wie wiederum in 10A gezeigt ist, sind alternative Optionen (b)-(f) auf Situationen gerichtet, wo eine zusätzliche Dünnschicht auf einer Oberfläche von entweder den Metallleitungen 1002 oder den Zwischenschicht-Dielektrikumsstrecken 1004 oder beiden gebildet (z.B. abgeschieden, gezüchtet oder als Artefakt, das aus einem vorangegangenen Strukturierungsprozess zurückgeblieben ist, belassen) wird. Im Beispiel (b) ist eine zusätzliche Dünnschicht 1006 auf den Zwischenschicht-Dielektrikumsstrecken 1004 angeordnet. Im Beispiel (c) ist eine zusätzliche Dünnschicht 1008 auf den Metallleitungen 1002 angeordnet. Im Beispiel (d) ist eine zusätzliche Dünnschicht 1006 auf den Zwischenschicht-Dielektrikumsstrecken 1004 angeordnet, und eine zusätzliche Dünnschicht 1008 ist auf den Metallleitungen 1002 angeordnet. Auch wenn die Metallleitungen 1002 und die Zwischenschicht-Dielektrikumsstrecken 1004 in (a) koplanar abgebildet sind, sind sie in anderen Ausführungsformen nicht koplanar. Zum Beispiel ragen in (e) die Metallleitungen 1002 über die Zwischenschicht-Dielektrikumsstrecken 1004 vor. Im Beispiel (f) werden die Metallleitungen 1002 bis unter die die Zwischenschicht-Dielektrikumsstrecken 1004 abgetragen.
  • Es wird wiederum auf die Beispiele (b)-(d) Bezug genommen, in denen eine zusätzliche Schicht (z.B. eine Schicht 1006 oder 1008) als Hartmaske (HM) oder Schutzschicht verwendet werden kann oder verwendet werden kann, um eine selektive Züchtung und/oder Selbstorganisation, die nachstehend in Verbindung mit folgenden Verarbeitungsoperationen beschrieben werden, zu ermöglichen. Solche zusätzlichen Schichten können auch verwendet werden, um die ILD-Strecken vor einer weiteren Verarbeitung zu schützen. Außerdem kann die selektive Abscheidung eines anderen Materials über den Metallleitungen aus ähnlichen Gründen von Vorteil sein. Es wird wiederum auf die Beispiele (e) und (f) verwiesen, wo es auch möglich ist, entweder die ILD-Strecken oder die Metallleitungen mit irgendeiner Kombination aus schützenden/HM-Materialien auf einer oder auf beiden Oberflächen abzutragen. Insgesamt gibt es in diesem Stadium zahlreiche Optionen für die Vorbereitung schlussendlich unten liegender Oberflächen für einen selektiven oder gerichteten Selbstorganisationsprozess.
  • 10B zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10A im Anschluss an die Bildung von Zwischenschicht-Dielektrikums(ILD)-Strecken 1010 oberhalb der Struktur von 10A gemäß einer Ausführungsform der vorliegenden Offenbarung. Es wird auf die Planansicht und die entsprechenden Querschnittsansichten (a) und (c) entlang von Achsen a-a' bzw. c-c' verwiesen, wo die ILD-Strecken 1010 in einer Gitterstruktur ausgebildet sind, die senkrecht zur Richtung von darunterliegenden Strecken 1004 ist. In einer Ausführungsform wird eine Blanket-Dünnschicht aus dem Material der Strecken 1010 durch chemische Dampfabscheidung oder ähnliche Techniken abgeschieden. In einer Ausführungsform wird die Blanket-Dünnschicht dann unter Verwendung von Lithographie und Ätzverarbeitung strukturiert, was z.B. eine auf Abstandshaltern basierende Vierfachstrukturierung (SBQP) oder Abstandsviertelung beinhalten kann. Man beachte, dass die Gitterstruktur aus Strecken 1010 anhand von zahlreichen Verfahren hergestellt werden kann, einschließlich von EUV- und/oder EBDW-Lithographie, gerichteter Selbstorganisation usw. Wie nachstehend ausführlicher beschrieben wird, wird somit eine folgende Metallschicht in der orthogonalen Richtung in Bezug auf die vorangehende Metallschicht strukturiert, da das Gitter der Strecken 1010 orthogonal zur Richtung der darunterliegenden Struktur ist. In einer Ausführungsform wird eine einzige 193-nm-Lithographiemaske mit Ausrichtung/Registrierung an der vorangehenden Metallschicht 1002 verwendet (z.B. richtet sich das Gitter der Strecken 1010 in X an der ,Plug'-Struktur der vorangehenden Schicht und in Y am vorangehenden Metallgitter aus). Es wird nun Bezug genommen auf die Querschnittsstrukturen (b) und (d), wo eine Hartmaske 1012 auf dielektrischen Strecken 1010 ausgebildet oder im Anschluss an die Strukturierung derselben beibehalten werden kann. Die Hartmaske 1012 kann verwendet werden, um Strecken 1010 während folgender Strukturierungsschritte zu schützen. Wie nachstehend ausführlicher beschrieben wird, werden durch die Bildung von Strecken 1010 in einer Gitterstruktur Regionen der vorangegangenen Metallleitungen 1002 und der vorangegangenen ILD-Strecken 1004 (oder entsprechende Hartmaskenschichten 1002/1004) freigelegt. Die freigelegten Regionen entsprechen allen möglichen künftigen Via-Orten, wo Metall freigelegt wird. In einer Ausführungsform wird die Metallschicht der vorangegangenen Schicht (z.B. Strecken 1002) an diesem Punkt im Prozessfluss geschützt, markiert, bebürstet usw.
  • 10C zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10B im Anschluss an die selektive Differenzierung aller möglichen Via-Orte von allen Plug-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird im Anschluss an die Bildung von ILD-Strecken 1010 eine Oberflächenmodifikationsschicht 1014 auf freigelegten Regionen der darunterliegenden ILD-Strecken 1004 ausgebildet. In einer Ausführungsform ist die Oberflächenmodifikationsschicht 1014 eine dielektrische Schicht. In einer Ausführungsform wird die Oberflächenmodifikationsschicht 1014 durch eine selektive Züchtungsmethode von unten nach oben ausgebildet. In einer solchen Ausführungsform beinhaltet die von unten nach oben gerichtete Züchtungsmethode einen gerichtet-selbstorganisierenden (DSA-) Bürstenüberzug, der eine Polymerkomponente aufweist, die sich vorzugsweise auf den darunterliegenden ILD-Strecken 1004 oder alternativ dazu auf den Metallleitungen 1002 (oder auf einer Opferschicht, die auf dem darunterliegenden Metall oder ILD-Material abgeschieden oder gezüchtet wird) organisiert.
  • 10D zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10C im Anschluss an die differenzielle Hinzufügung von Polymer zu den freigelegten Abschnitten von darunterliegenden Metallleitungen und ILD-Strecken von 10C gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird eine gerichtete Selbstorganisation (DSA) oder eine selektive Züchtung auf freigelegten Abschnitten des darunterliegenden Gitters aus Metall/ILD 1002/1004 verwendet, um dazwischentretende Strecken 1016 mit einander abwechselnden Polymeren oder einander abwechselnden Polymerkomponenten zwischen den ILD-Strecken 1010 zu bilden. Wie gezeigt wird beispielsweise ein Polymer 1016A (oder eine Polymerkomponente 1016A) auf oder über den freigelegten Abschnitten aus Zwischenschicht-Dielektrikums(ILD)-Strecken 1004 von 10C gebildet, während ein Polymer 1016B (oder eine Polymerkomponente 1016B) auf oder über den freigelegten Abschnitten der Metallleitungen 1002 von 10C gebildet wird. Auch wenn das Polymer 1016A auf oder über der Oberflächenmodifikationsschicht 1014 gebildet wird, wie in Verbindung mit 10C beschrieben worden ist (siehe die Querschnittsansichten (b) und (d) von 10D), sei klargestellt, dass in anderen Ausführungsformen die Oberflächenmodifikationsschicht 1014 weggelassen werden kann und die einander abwechselnden Polymere oder einander abwechselnden Polymerkomponenten stattdessen direkt in der Struktur ausgebildet werden können, die in Verbindung mit 10B beschrieben wurde.
  • In einer Ausführungsform, für die wiederum auf 10D Bezug genommen wird, wird, sobald die Oberfläche der darunterliegenden Struktur (z.B. der Struktur 1000 von 10A) vorbereitet wurde (wie z.B. die Struktur von 10B oder die Struktur von 10C) oder direkt verwendet wird, ein 50-50-Diblock-Copolymer, wie etwa Polystyrol-Polymethylmethacrylat (PS-PMMA) auf das Substrat aufgebracht und getempert, um die Selbstorganisation anzutreiben, was die Schicht 1016 aus Polymer 1016A/Polymer 1016B von 10D zum Ergebnis hat. In einer solchen Ausführungsform sondern sich die BlockCopolymere unter geeigneten Oberflächenenergiebedingungen auf Basis des darunterliegenden Materials, das zwischen ILD-Strecken 1010 freiliegt, voneinander ab. Zum Beispiel richtet sich in einer spezifischen Ausführungsform Polystyrol selektiv an den freiliegenden Abschnitten von darunterliegenden Metallleitungen 1002 (oder entsprechendem Metallleitungsabdeck- oder Hartmaskenmaterial) aus. Indessen richtet sich das Polymethylmethacrylat selektiv an den freiliegenden Abschnitten von ILD-Strecken 1004 (oder entsprechendem Metallleitungsabdeck- oder Hartmaskenmaterial) aus.
  • Somit wird in einer Ausführungsform das darunterliegende Gitter aus Metall und ILD, das zwischen ILD-Strecken 1010 freiliegt, im Block-Copolymer (BCP, d.h. Polymer 1016A/Polymer 1016B) nachgebildet. Dies kann insbesondere dann der Fall sein, wenn der BCP-Abstand mit dem Abstand des darunterliegenden Gitters übereinstimmt. Das Polymergitter (Polymer 1016A/Polymer 1016B) ist in einer Ausführungsform unempfindlich gegenüber gewissen kleinen Abweichungen von einem gut ausgerichteten Gitter. Wenn beispielsweise kleine Plugs effektiv ein Oxid oder ein ähnliches Material da platzieren, wo ein gut ausgerichtetes Gitter ein Metall aufweisen würde, kann trotzdem ein gut ausgerichtetes Gitter aus Polymer 1016A/Polymer 1016B erreicht werden. Da das Gitter aus ILD-Strecken in einer Ausführungsform eine idealisierte Gitterstruktur ohne Metallunterbrechungen des ILD-Backbone ist, kann es jedoch notwendig sein, die ILD-Oberfläche neutral zu machen, da in einem solchen Fall beide Arten von Polymer (1016A und 1016B) dem ILD-ähnlichen Material ausgesetzt werden, während nur eine Art dem Metall ausgesetzt wird.
  • In einer Ausführungsform ist die Dicke des aufgebrachten Polymers (Polymer 1016A/Polymer 1016B) der endgültigen Dicke eines ILD, das schlussendlich an seiner Stelle ausgebildet wird, gleich oder nur wenig größer als diese. Wie weiter unten ausführlicher beschrieben wird, wird in einer Ausführungsform das Polymergitter nicht als Ätzresist ausgebildet, sondern vielmehr als Gerüst für eine darum herum erfolgende schlussendliche Züchtung einer permanenten ILD-Schicht. Somit kann die Dicke des Polymers 1016 (Polymer 1016A/Polymer 1016B) wichtig sein, da es verwendet werden kann, um die schlussendliche Dicke einer in der Folge ausgebildeten permanenten ILD-Schicht zu definieren. Das heißt, in einer Ausführungsform wird das in 10D gezeigte Polymergitter schließlich durch ein ILD-Gitter mit ungefähr der gleichen Dicke ersetzt.
  • In einer Ausführungsform ist das Gitter aus Polymer 1016A/Polymer 1016B von 10D ein Block-Copolymer, wie oben erwähnt. In einer solchen Ausführungsform ist das Block-Copolymermolekül ein polymeres Molekül, das aus einer Kette kovalent gebundener Monomere gebildet ist. In einem Block-Copolymer gibt es mindestens zwei verschiedene Arten von Monomeren, und diese verschiedenen Arten von Monomeren sind hauptsächlich innerhalb von verschiedenen Blöcken oder zusammenhängenden Folgen von Monomeren enthalten. Das gezeigte Block-Copolymermolekül schließt einen Block eines Polymers 1016A und einen Block eines Polymers 1016B. ein. In einer Ausführungsform schließt der Block eines Polymers 1016A hauptsächlich eine Kette aus kovalent verknüpftem Monomer A (z.B. A-A-A-A-A...) ein, während der Block eines Polymers 1016B hauptsächlich eine Kette aus kovalent verknüpftem Monomer B (z.B. B-B-B-B-B...) einschließt. Die Monomere A und B können beliebige von den verschiedenen Arten von Monomeren darstellen, die in Block-Copolymeren verwendet werden, die in der Technik bekannt sind. Zum Beispiel kann das Monomer A Monomere darstellen, aus denen Polystyrol gebildet werden kann, und das Monomer B kann Monomere darstellen, aus denen Poly(methylmethacrylat) (PMMA) gebildet werden kann, aber der Bereich der Offenbarung ist nicht derartig beschränkt. In anderen Ausführungsformen kann es mehr als zwei Blöcke geben. Darüber hinaus kann in anderen Ausführungsformen jeder von den Blöcken andere Arten von Monomeren enthalten (z.B. kann jeder Block für sich ein Copolymer sein). In einer Ausführungsform sind der Block des Polymers 1016A und der Block des Polymers 1016B kovalent aneinander gebunden. Der Block des Polymers 1016A und der Block des Polymers 1016B können ungefähr gleich lang sein, oder ein Block kann deutlich länger sein als der andere.
  • Typischerweise können die Blöcke von Block-Copolymeren (z.B. der Block des Polymers 1016A und der Block des Polymers 1016B) jeweils unterschiedliche chemische Eigenschaften aufweisen. Zum Beispiel kann einer von den Blöcken relativ stärker hydrophob (z.B. wasserabstoßend) sein, und der andere kann relativ stärker hydrophil (wasseranziehend) sein. Zumindest in der Theorie kann einer von den Blöcken relativ ölähnlicher sein, und der andere Block kann relativ wasserähnlicher sein. Solche Unterschiede der chemischen Eigenschaften zwischen den verschiedenen Blöcken von Polymeren, seien es nun Unterschiede zwischen hydrophil-hydrophob oder andere, können bewirken, dass sich die Block-Copolymermoleküle selbst organisieren. Zum Beispiel kann die Selbstorganisation auf einer Mikrophasentrennung der Polymerblöcke basieren. In der Theorie kann dies der Phasentrennung von Öl und Wasser ähneln, die allgemein unvermischbar sind. Ebenso können Unterschiede in der Hydrophilie zwischen den Polymerblöcken (z.B. ist ein Block relativ hydrophob und der andere Block ist relativ hydrophil) eine im Großen und Ganzen analoge Mikrophasentrennung bewirken, wo die verschiedenen Polymerblöcke versuchen, sich voneinander zu „trennen“, weil sie chemisch voneinander abgestoßen werden.
  • Weil die Polymerblöcke kovalent aneinander gebunden sind, können sie sich in einer Ausführungsform makroskopisch betrachtet jedoch nicht vollständig voneinander trennen. Stattdessen können Polymerblöcke einer bestimmten Art dazu neigen, sich in extrem kleinen Regionen oder Phasen (z.B. im Nanometergrößenbereich) abzusondern bzw. aufzuteilen oder mit Polymerblöcken der gleichen Art von anderen Molekülen zu konglomerieren. Die jeweilige Größe und Form der Regionen oder Mikrophasen hängt im Allgemeinen zumindest zum Teil von den relativen Längen der Polymerblöcke ab. In einer Ausführungsform wird zum Beispiel in zwei Block-Copolymeren (wie in 10D gezeigt), falls die Blöcke ungefähr gleich lang sind, eine gitterartige Struktur aus sich abwechselnden Strecken aus Polymer 1016A und Strecken aus Polymer(1016B) erzeugt. In einer anderen Ausführungsform (nicht gezeigt) können in zwei Block-Copolymeren, falls einer der Blöcke länger, aber nicht viel länger ist als der andere, säulenartige Strukturen gebildet werden. In den säulenartigen Strukturen können sich die Block-Copolymermoleküle so ausrichten, dass ihre Polymerblöcke nach einer Mikrophasentrennung im Inneren der Säulen liegen und ihre längeren Polymerblöcke sich weg von den Säulen und um die Säulen herum erstrecken. Falls beispielsweise der Block des Polymers 1016A länger, aber nicht viel länger wäre als der Block des Polymers 1016B, dann könnten säulenartige Strukturen gebildet werden, bei denen sich Block-Copolymermoleküle so ausrichten, dass ihre kürzeren Blöcke aus Polymer 1016B säulenartige Strukturen bilden, die von einer Phase umgeben sind, welche die längeren Blöcke aus Polymer 1016A aufweist. Wenn dies in einem Bereich ausreichender Größe stattfindet, kann eine zweidimensionale Anordnung aus allgemein sechseckig gepackten säulenartigen Strukturen gebildet werden.
  • In einer Ausführungsform wird zuerst das Gitter aus Polymer 1016A/Polymer 1016B als Schichtabschnitt aus nicht organisiertem Block-Copolymer aufgetragen, der ein Block-Copolymermaterial einschließt, das z.B. durch einen Bürsten- oder anderen Beschichtungsprozess aufgetragen wird. Der Aspekt der fehlenden Organisation betrifft Szenarios, wo das Block-Copolymer bei seiner Abscheidung keine vollständige Phasentrennung und/oder Selbstorganisation durchgemacht hat, um Nanostrukturen zu bilden. In dieser nicht organisierten Form sind die Block-Copolymermoleküle relativ hochgradig regellos, wobei die unterschiedlichen Polymerblöcke relativ hochgradig regellos ausgerichtet und angeordnet sind, was im Gegensatz zu dem in Verbindung mit der resultierenden Struktur von 10D erörterten Schichtabschnitt aus organisiertem Block-Copolymer steht. Der Schichtabschnitt aus nicht organisiertem Block-Copolymer kann auf verschiedene unterschiedliche Arten aufgetragen werden. Zum Beispiel kann das Block-Copolymer in einem Lösungsmittel gelöst und dann durch Rotationsbeschichtung über der Oberfläche aufgebracht werden. Alternativ dazu kann das nicht organisierte Block-Copolymer durch Sprühbeschichtung, Tauchbeschichtung oder anderweitig über die Oberfläche aufgebracht oder aufgetragen werden. Andere Möglichkeiten zum Auftragen von Block-Copolymeren, ebenso wie andere Möglichkeiten, die im Stand der Technik für die Auftragung ähnlicher organischer Beschichtungen bekannt sind, können möglicherweise verwendet werden. Dann kann die nicht organisierte Schicht einen Schichtabschnitt aus organisiertem Block-Copolymer bilden, z.B. durch Mikrophasentrennung und/oder Selbstorganisation des Schichtabschnitts aus nicht organisiertem Block-Copolymer. Die Mikrophasentrennung und/oder die Selbstorganisation findet bzw. finden durch Umgruppierung und/oder Neupositionierung der Block-Copolymermoleküle und insbesondere durch die Umgruppierung und/oder Neupositionierung der unterschiedlichen Polymerblöcke der Block-Copolymermoleküle statt.
  • In einer solchen Ausführungsform kann eine Temperbehandlung auf das nicht organisierte Block-Copolymer angewendet werden, um die Mikrophasentrennung und/oder die Selbstorganisation zu initiieren, zu beschleunigen, ihre Qualität zu verbessern oder sie auf andere Weise zu fördern. In manchen Ausführungsformen kann die Temperbehandlung eine Behandlung einschließen, die dazu dient, eine Temperatur des Block-Copolymers zu erhöhen. Ein Beispiel für eine solche Behandlung ist das Ausheizen der Schicht, das Erwärmen der Schicht in einem Ofen oder unter einer Wärmelampe, das Anwenden von Infrarotstrahlung auf die Schicht oder eine anderweitige Anwendung von Wärme auf die Schicht oder Erhöhung von deren Temperatur. Die gewünschte Temperaturerhöhung reicht im Allgemeinen aus, um die Rate der Mikrophasentrennung und/oder Selbstorganisation des Block-Copolymers deutlich zu erhöhen, ohne das Block-Copolymer oder irgendwelche anderen wichtigen Materialien oder Strukturen des Substrats der integrierten Schaltung zu schädigen. Üblicherweise kann die Erwärmung im Bereich zwischen 50 °C bis etwa 300 °C oder zwischen etwa 75 °C bis etwa 250 °C liegen, aber nicht die Grenzen für den thermischen Abbau des Block-Copolymers oder des Substrats der integrierten Schaltung überschreiten. Das Erwärmen oder Tempern kann dabei helfen, Energie an die Block-Copolymermoleküle abzugeben, um diese mobiler/flexibler zu machen, um die Rate der Mikrophasentrennung zu erhöhen und/oder die Qualität der Mikrophasentrennung zu verbessern. Diese Mikrophasentrennung oder Umgruppierung/Neupositionierung von Block-Copolymermolekülen kann zu einer Selbstorganisation führen, durch die extrem kleine Strukturen (z.B. im Größenbereich von Nanometern) gebildet werden. Die Selbstorganisation kann unter dem Einfluss von Oberflächenenergie, Molekularaffinitäten und anderen oberflächenbedingten und chemisch bedingten Kräften auftreten.
  • In jedem Fall kann in manchen Ausführungsformen eine Selbstorganisation von Block-Copolymeren, ob nun aufgrund von hydrophob-hydrophilen Unterschieden oder aus anderen Gründen, verwendet werden, um extrem kleine periodische Strukturen (z.B. präzise beabstandete Strukturen oder Strecken im Nanometer-Größenbereich) zu bilden. In manchen Ausführungsformen können sie verwendet werden, um Strecken im Nanometer-Größenbereich oder andere Strukturen im Nanometer-Größenbereich zu bilden, die schließlich verwendet werden können, um Via und Öffnungen zu bilden. In manchen Ausführungsformen kann eine gerichtete Selbstorganisation von Block-Copolymeren verwendet werden, um Vias zu bilden, die sich selbst an Verbindungen ausrichten, wie weiter unten ausführlicher beschrieben wird.
  • In einer Ausführungsform, für die erneut auf 10D Bezug genommen wird, kann bei einem DSA-Prozess zusätzlich dazu, dass er von den darunterliegenden Oberflächen aus ILD/Metall 1004/1002 ausgeht, der Wachstumsprozess durch die Seitenwände des Materials von ILD-Strecken 1010 beeinflusst werden. Somit wird in einer Ausführungsform die DSA durch Grapho-Epitaxie (von den Seitenwänden von Strecken 1010 ausgehend) und Chemo-Epitaxie (von den Eigenschaften darunterliegender freliegender Oberflächen ausgehend) gesteuert. Die sowohl physische als auch chemische Beschränkung des DSA-Prozesses kann den Prozess unter dem Gesichtspunkt einer Fehlerhaftigkeit deutlich unterstützen. Die resultierenden Polymere 1016A/1016B weisen weniger Freiheitsgrade auf und sind chemisch (z.B. durch darunterliegende ILD-Strecken oder Metallleitungen oder daran vorgenommene Oberflächenmodifikationen, beispielsweise anhand einer Bürstenmethode) und physisch (z.B. durch die Gräben, die zwischen den ILD-Strecken 1010 gebildet werden) in allen Richtungen vollkommen beschränkt.
  • In einer alternativen Ausführungsform wird ein selektiver Züchtungsprozess anstelle einer DSA-Methode angewendet. 10E zeigt eine Querschnittsansicht der Struktur von 10B im Anschluss an eine selektive der freigelegten Abschnitte von darunterliegenden Metallleitungen und ILD-Strecken gemäß einer anderen Ausführungsformen der vorliegenden Offenbarung. Wie in 10E gezeigt ist, wird eine erste Art von Material 1090 oberhalb der freigelegten Abschnitte von darunterliegenden ILD-Strecken 1004 gezüchtet. Eine zweite, andere Art von Material 1092 wird oberhalb der freigelegten Abschnitte von darunterliegenden Metallleitungen 1002 gezüchtet. In einer Ausführungsform wird das selektive Wachstum durch eine Dep-Etch-Dep-Etch-Methode für sowohl das erste als auch das zweite Material erreicht, die eine Vielzahl von Schichten aus jedem der Materialien zum Ergebnis hat, wie in 10E dargestellt ist. Eine solche Methode kann gegenüber herkömmlichen selektiven Züchtungstechniken von Vorteil sein, die Dünnschichten bilden können, die wie ein „Pilzhut“ geformt sind. Die Neigung von Dünnschichten, in Form eines Pilzhuts zu wachsen, kann durch eine Methode des abwechselnden Abscheidens/Ätzens/Abscheidens (Dep-Etch-Dep-Etch) verringert werden. In einer anderen Ausführungsform wird die Dünnschicht selektiv über dem Metall abgeschieden, gefolgt von einer anderen Dünnschicht, die selektiv über dem ILD abgeschieden wird (oder umgekehrt), und dies wird oft wiederholt, wodurch ein sandwichartiger Stapel gebildet wird. In einer anderen Ausführungsform werden beide Materialien gleichzeitig in einer Reaktionskammer (z.B. anhand eines CVD-artigen Prozesses) gezüchtet, in der sie selektiv auf den einzelnen freiliegenden Regionen des darunterliegenden Substrats gezüchtet werden.
  • 10F zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10D im Anschluss an die Entfernung einer Polymerspezies gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird Polymer oder ein Polymerabschnitt 1016A entfernt, um die ILD-Strecken 1004 (oder Hartmasken- oder Abdeckschichten, die auf den ILD-Strecken 1004 gebildet wurden) wieder freizulegen, während das Polymer oder der Polymerabschnitt 1016B oberhalb der Metallleitungen 1002 behalten wird. In einer Ausführungsform wird eine Tiefultraviolett(DUV)-Flutbelichtung, gefolgt von einer Nassätzung oder Trockenätzung angewendet, um Polymer 1016A selektiv zu entfernen. Man beachte, dass, statt zuerst das Polymer von den ILD-Strecken 1004 zu entfernen (wie dargestellt), zuerst eine Entfernung von den Metallleitungen 1002 durchgeführt werden kann. Alternativ dazu wird eine dielektrische Dünnschicht selektiv über der Region gezüchtet, und eine Mischgerüstbildung wird nicht angewendet.
  • 10G zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10F im Anschluss an die Bildung eines ILD-Materials an den Orten, die bei der Entfernung der einen Polymerspezies geöffnet wurden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, werden die freiliegenden Regionen von darunterliegenden ILD-Strecken 1004 mit einer permanenten Zwischenschicht-Dielektrikums(ILD)-Schicht 1018 gefüllt. Somit werden die offenen Zwischenräume zwischen allen möglichen Viapositionen mit einer ILD-Schicht 1018 gefüllt, auf der eine Hartmaskenschicht 1020 angeordnet wird, wie in der Planansicht und den entsprechenden Querschnittsansichten (b) und (d) von 10G dargestellt ist. Man beachte, dass das Material der ILD-Schicht 1018 nicht das gleiche Material sein muss wie das der ILD-Strecken 1010. In einer Ausführungsform wird die ILD-Schicht 1018 anhand eines Abscheidungs- und Polierprozesses ausgebildet. In dem Fall, wo die ILD-Schicht 1018 mit einer begleitenden Hartmaskenschicht 1020 ausgebildet wird, kann ein spezielles ILD-Füllmaterial verwendet werden (z.B. polymerverkapselte Nanoteilchen aus ILD, die Löcher/Gräben füllen). In einem solchen Fall ist eine Polieroperation möglicherweise nicht notwendig.
  • In einer Ausführungsform, für die erneut auf 10G Bezug genommen wird, weist die resultierende Struktur eine gleichmäßige ILD-Struktur (ILD-Strecken 1010 + ILD-Schicht 1018) auf, und die Orte aller möglichen Plugs sind von einer Hartmaske 1020 abgedeckt, und alle möglichen Vias liegen in Bereichen des Polymers 1016B. In einer solchen Ausführungsform umfassen die ILD-Strecken 1010 und die ILD-Schicht 1018 das gleiche Material. In einer anderen solchen Ausführungsform umfassen die ILD-Strecken 1010 und die ILD-Schicht 1018 voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer spezifischen Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien der ILD-Strecken 1010 und der ILD-Schicht 1018 in der finalen Struktur wahrnehmbar sein. Beispiele für Nähte 1099 sind in 10G zur Erläuterung gezeigt.
  • 10H zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10G im Anschluss an eine Viastrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, werden die Viorte 1022A, 1022B und 1022C durch Entfernen des Polymers 1016B an ausgewählten Orten geöffnet. In einer Ausführungsform wird eine Ausbildung von selektiven Viaorten unter Verwendung einer Lithographietechnik bewerkstelligt. In einer solchen Ausführungsform wird das Polymer 1016B global durch Veraschung entfernt und mit einem Fotolack wiederaufgefüllt. Der Fotolack kann hochempfindlich sein und eine große Säurediffusion und aggressive Entschützung oder Vernetzung (abhängig vom Ton des Fotolacks) aufweisen, da das latente Bild in beiden Richtungen durch ILD (z.B. durch die ILD-Strecken 1010 und die ILD-Schicht 1018) begrenzt wird. Der Fotolack dient als digitaler Schalter zum „ein-“ oder „ausschalten“, abhängig davon, ob ein Via an einem bestimmten Ort benötigt wird oder nicht. Idealerweise kann der Fotolack verwendet werden, um nur die Löcher zu füllen, ohne dass etwas überläuft. In einer Ausführungsform werden die Viaorte 1022A, 1022B und 1022C mit dem Prozess vollständig eingegrenzt, so dass eine Streckenrand- oder -breitenrauigkeit (LWR) und ein Streckenkollaps und/oder eine Streckenreflexion gemildert oder sogar eliminiert wird/werden. In einer Ausführungsform werden niedrige Dosen mit EUV/EBDW verwendet und erhöhen die Laufzeit erheblich. In einer Ausführungsform ist ein zusätzlicher Vorteil der Verwendung von EBDW, dass nur eine einzige Art/Größe einer Zufuhr die Laufzeit erhöhen kann, und zwar durch eine deutliche Verringerung der Anzahl von Aperturen, die benötigt werden, ebenso wie durch eine Verringerung der Dosis, die abgegeben werden muss. In einem Fall, wo die 193-nm-Immersionslithographie verwendet wird, begrenzt der Prozessablauf in einer Ausführungsform die Viaorte in beiden Richtungen, so dass die Größe des Vias, das tatsächlich strukturiert wird, das Doppelte der Größe des tatsächlichen Vias auf dem Wafer ist (z.B. wenn man von Strecken/Zwischenraum-Strukturen von 1:1 ausgeht). Alternativ dazu können die Viaorte im Umkehrton ausgewählt werden, wo die Vias, die behalten werden müssen, mit einem Fotolack geschützt werden und die verbliebenen Orte entfernt und später mit ILD gefüllt werden. Eine solche Methode kann einen einzelnen Metallfüllungs-/Polierungsprozess am Ende des Strukturflusses statt zwei separater Metallabscheidungsschritte ermöglichen.
  • 101 zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10H im Anschluss an eine Viabildung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, werden Viaorte 1022A, 1022B und 1022C mit Metall gefüllt, um Vias 1024A, 1024 bzw. 1024C zu bilden. In einer Ausführungsform werden die Viaorte 1022A, 1022B und 1022C mit Metall im Überschuss gefüllt, und anschließend wird eine Polieroperation durchgeführt. In einer anderen Ausführungsform werden die Viaorte 1022A, 1022B und 1022C jedoch nicht mit mehr Metall als nötig gefüllt, und die Polieroperation wird weggelassen. Man beachte, dass das Via, das in 101 gezeigt ist, in einer Methode, die eine Umkehrton-Viaauswahl beinhaltet, übersprungen werden kann.
  • 10J zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 101 im Anschluss an die Entfernung der zweiten Polymerspezies und deren Ersetzung durch ein ILD-Material gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird ein verbliebenes Polymer oder ein verbliebener Polymerabschnitt 1016B (z.B. wo keine Viaorte ausgewählt wurden) entfernt, um die Metallleitungen 1002 erneut freizulegen. Anschließend wird eine ILD-Schicht 1026 an den Orten ausgebildet, wo das verbliebene Polymer oder der verbliebene Polymerabschnitt 1016B entfernt wurde, wie in 10J bildlich dargestellt ist.
  • In einer Ausführungsform, für die erneut auf 10J Bezug genommen wird, weist die resultierende Struktur eine gleichmäßige ILD-Struktur (ILD-Strecken 1010 + ILD-Schicht 1018 + ILD-Schicht 1026) auf, und die Orte aller möglichen Plugs sind von einer Hartmaske 1020 abgedeckt. In einer solchen Ausführungsform umfassen die ILD-Strecken 1010, die ILD-Schicht 1018 und die ILD-Schicht 1026 das gleiche Material. In einer anderen solchen Ausführungsform umfassen zwei von den ILD-Strecken 1010, der ILD-Schicht 1018 und der ILD-Schicht 1026 das gleiche Material, und die dritte umfasst ein anderes ILD-Material. In einer noch anderen solchen Ausführungsform umfasst jede von den ILD-Strecken 1010, der ILD-Schicht 1018 und der ILD-Schicht 1026 ein jeweils anderes Material als die anderen. In jedem Fall kann in einer spezifischen Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien der ILD-Strecken 1010 und der ILD-Schicht 1026 in der finalen Struktur wahrnehmbar sein. Beispiele für Nähte 1097 sind in 10J zur Erläuterung gezeigt. Ebenso kann eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien der ILD-Schicht 1018 und der ILD-Schicht 1026 in der finalen Struktur wahrnehmbar sein. Beispiele für Nähte 1098 sind in 10J zur Erläuterung gezeigt.
  • 10K zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10J im Anschluss an die Strukturierung eines Fotolacks oder einer Maske an ausgewählten Plug-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden die Plug-Orte 1028A, 1028B und 1022C durch Ausbilden einer Maske oder Fotolackschicht über diesen Orten bewahrt. Eine solche Erhaltungsstrukturierung kann als lithographische End-zu-End-Metallstrukturierung bezeichnet werden, wo Plug-Positionen dort bestimmt werden, wo Brüche in den anschließend ausgebildeten Metallleitungen erforderlich sind. Man beachte, dass die Plug-Orte nur an den Orten liegen können, wo eine ILD-Schicht 1018/Hartmaske 1020 positioniert ist, und dass Plugs daher über den ILD-Strecken 1004 der vorangehenden Schicht erscheinen können. In einer Ausführungsform wird die Strukturierung unter Verwendung einer lithographischen Operation (z.B. EUV, EBDW oder Immersion 193 nm) erreicht. In einer Ausführungsform demonstriert der in 10K gezeigte Prozess die Verwendung eines Positivton-Strukturierungsprozesses, wo die Regionen bewahrt werden, in denen Zwischenräume zwischen Metall entstehen müssen. Man beachte, dass es in einer anderen Ausführungsform auch möglich ist, stattdessen Löcher zu öffnen und den Ton des Prozesses umzukehren.
  • 10L zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10K im Anschluss an die Entfernung der Hartmaske und die Abtragung der ILD-Schicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' und b-b' jeweils gezeigt ist, wird die Hartmaske 1020 entfernt und die ILD-Schicht 1018 und die ILD-Schicht 1026 werden durch Ätzen dieser Schichten bis unter ihre ursprünglichen obersten Oberflächen abgetragen, um eine abgetragene ILD-Schicht 1018' bzw. eine abgetragene ILD-Schicht 1026' zu bilden. Man beachte, dass das Abtragen der ILD-Schicht 1018 und der ILD-Schicht 1026 ohne Ätzen oder Abtragen der ILD-Strecken 1010 durchgeführt wird. Die Selektivität kann unter Verwendung einer Hartmaskenschicht 1012 auf den ILD-Strecken (wie in den Querschnittsansichte (a) und (b) bildlich dargestellt) erreicht werden. Alternativ dazu kann in einem Fall, wo die ILD-Strecken 1010 ein ILD-Material umfassen, das vom Material der ILD-Schicht 1018 und der ILD-Schicht 1026 verschieden ist, eine selektive Ätzung auch ohne eine Hartmaske 1012 verwendet werden. Das Abtragen der ILD-Schicht 1018 und der ILD-Schicht 1026 soll Orte für die zweite Ebene von Metallleitungen, isoliert durch ILD-Strecken 1010 bereitstellen, wie nachstehend beschrieben. Das Ausmaß oder die Tiefe der Abtragung wird in einer Ausführungsform auf Basis der gewünschten schlussendlichen Dicke der darauf ausgebildeten Metallleitungen ausgewählt. Man beachte, dass die ILD-Schicht 1018 an den Plug-Orten 1028A, 1028B und 1028C nicht abgetragen wird.
  • 10M zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 10L im Anschluss an eine Metallleitungsbildung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a), (b) und (c) entlang der Achsen a-a', b-b' bzw. c-c' gezeigt ist, wird Metall zum Ausbilden von Metallverbindungsleitungen formfolgend über der Struktur von 10L ausgebildet. Das Metall wird dann planarisiert, z.B. durch CMP, um Metallleitungen 1030 bereitzustellen, die auf Orte oberhalb der abgetragenen ILD-Schicht 1018' und der abgetragenen ILD-Schicht 1026' beschränkt sind. Die Metallleitungen 1030 werden durch die vorgegebenen Via-Orte 1024A, 1024B und 1024C hindurch mit darunterliegenden Metallleitungen 1002 gekoppelt (1024B ist in der Querschnittsansicht (c) gezeigt; man beachte, dass in der Querschnittsansicht (b) zur Veranschaulichung ein anderes Via 1032 direkt angrenzend an das Plug 1028B gezeigt ist, auch wenn dies den vorherigen Figuren widerspricht). Die Metallleitungen 1030 sind durch ILD-Strecken 1010 voneinander isoliert und sind durch die bewahrten Plugs 1028A, 1028B und 1028C unterbrochen oder zertrennt. Falls irgendwo eine Hartmaske an den Plug-Orten und/oder auf den ILD-Strecken 1010 verblieben ist, kann sie in diesem Abschnitt des Prozessflusses entfernt werden, wie in 10M bildlich dargestellt ist. Der Prozess des Abscheidens und Planarisierens von Metall (z.B. von Kupfer und zugehörigen Barriere- und Keimschichten), um Metallleitungen 1030 zu bilden, kann einer sein, der typischerweise für eine einfache oder zweifache Standard-Back-End-of-Line(BEOL)-Damascene-Verarbeitung verwendet wird. In einer Ausführungsform können in folgenden Herstellungsoperationen die ILD-Strecken 1010 entfernt werden, um Luftspalte zwischen den resultierenden Metallleitungen 1030 bereitzustellen.
  • Die Struktur von 10M kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 10M die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Auch wenn der oben geschilderte Prozessfluss auf die Anwendung von gerichteter Selbstorganisation (DSA) abstellt, können stattdessen an einer oder mehreren Stellen des Prozessflusses selektive Züchtungsprozesse angewendet werden. In jedem Fall ermöglichen die resultierenden Strukturen die Herstellung von Vias, die direkt auf darunterliegenden Metallleitungen zentriert sind. Das heißt, die Vias können breiter als, schmäler als oder von gleicher Dicke sein wie die darunterliegenden Metallleitungen, z.B. wegen einer nicht-perfekten selektiven Ätzverarbeitung. Trotzdem werden in einer Ausführungsform die Mitten der Vias direkt an den Mitten der Metallleitungen ausgerichtet (daran angepasst). Somit ist in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen.
  • Mindestens eine hierin beschriebene Ausführungsform ist auf eine selbstjustierende Via- und Plugstrukturierung einer vorangehenden Schicht gerichtet. Der Selbstjustierungsaspekt der hierin beschriebenen Prozesse kann auf einem Mechanismus einer gerichteten Selbstorganisation (DSA) basieren, wie nachstehend ausführlicher beschrieben wird. Man beachte jedoch, dass ein selektiver Züchtungsmechanismus anstelle von oder in Kombination mit DSA-basierten Methoden verwendet werden kann. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen.
  • Die 11A-11M zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Metallstrukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind für jede beschriebene Operation Planansichten auf der linken Seite gezeigt und entsprechende Querschnittsansichten sind auf der rechten Seite gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Planansichten bezeichnet.
  • 11A zeigt eine Planansicht und entsprechende Querschnittsansichten von Optionen für eine Metallisierungsstruktur einer vorangehenden Schicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Bezieht man sich auf die Planansicht und die entsprechende Querschnittsansicht der Option (a), so weist eine Ausgangsstruktur 1100 eine Struktur aus Metallleitungen 1102 und Zwischenschicht-Dielektrikums(ILD)-Strecken 1104 auf. Die Ausgangsstruktur 1100 kann in einer gitterartigen Struktur mit Metallleitungen, die durch einen konstanten Abstand voneinander beabstandet sind und die eine konstante Breite aufweisen, strukturiert werden, wie in 11A bildlich dargestellt ist, wenn selbstorganisierende Materialien verwendet werden. Falls eine gerichtete selektive Züchtungstechnik verwendet wird, dann muss das darunterliegende Struktur nicht immer den gleichen Abstand oder die gleiche Breite aufweisen. Die Struktur kann beispielsweise durch eine Abstandshalbierungs- oder Abstandsviertelungsmethode hergestellt werden. Manche von den Leitungen bzw. Strecken können mit darunterliegenden Vias assoziiert sein, wie etwa die Strecke 1102', die als Beispiel in den Querschnittsansichten gezeigt ist.
  • Wie wiederum in 11A gezeigt ist, sind alternative Optionen (b)-(f) auf Situationen gerichtet, wo eine zusätzliche Dünnschicht auf einer Oberfläche von entweder den Metallleitungen 1102 oder den Zwischenschicht-Dielektrikumsstrecken 1104 oder beiden gebildet (z.B. abgeschieden, gezüchtet oder als Artefakt, das aus einem vorangegangenen Strukturierungsprozess zurückgeblieben ist, belassen) wird. Im Beispiel (b) ist eine zusätzliche Dünnschicht 1106 auf den Zwischenschicht-Dielektrikumsstrecken 1104 angeordnet. Im Beispiel (c) ist eine zusätzliche Dünnschicht 1108 auf den Metallleitungen 1102 angeordnet. Im Beispiel (d) ist eine zusätzliche Dünnschicht 1106 auf den Zwischenschicht-Dielektrikumsstrecken 1104 angeordnet, und eine zusätzliche Dünnschicht 1108 ist auf den Metallleitungen 1102 angeordnet. Auch wenn die Metallleitungen 1102 und die Zwischenschicht-Dielektrikumsstrecken 1104 in (a) koplanar dargestellt sind, sind sie in anderen Ausführungsformen nicht koplanar. Zum Beispiel ragen in (e) die Metallleitungen 1102 über die Zwischenschicht-Dielektrikumsstrecken 1104 hinaus. Im Beispiel (f) werden die Metallleitungen 1102 bis unter die die Zwischenschicht-Dielektrikumsstrecken 1104 abgetragen.
  • Es wird wiederum auf die Beispiele (b)-(d) Bezug genommen, in denen eine zusätzliche Schicht (z.B. eine Schicht 1106 oder 1108) als Hartmaske (HM) oder Schutzschicht verwendet werden kann oder verwendet werden kann, um eine selektive Züchtung und/oder Selbstorganisation, die nachstehend in Verbindung mit folgenden Verarbeitungsoperationen beschrieben werden, zu ermöglichen. Solche zusätzlichen Schichten können auch verwendet werden, um die ILD-Strecken vor einer weiteren Verarbeitung zu schützen. Außerdem kann die selektive Abscheidung eines anderen Materials über den Metallleitungen aus ähnlichen Gründen von Vorteil sein. Es wird wiederum auf die Beispiele (e) und (f) verwiesen, wo es auch möglich ist, entweder die ILD-Strecken oder die Metallleitungen mit irgendeiner Kombination aus schützenden/HM-Materialien auf irgendeiner oder auf beiden Oberflächen abzutragen. Insgesamt gibt es in diesem Stadium zahlreiche Optionen für die Vorbereitung schlussendlich unten liegender Oberflächen für einen selektiven oder gerichteten Selbstorganisationsprozess.
  • 11B zeigt eine Planansicht und entsprechende Querschnittsansichten von Optionen für ein gerichtetes selbstorganisiertes (DSA) Wachstum auf einem darunterliegenden Metall/ILD-Gitter (z.B. auf einer Struktur wie in 11A gezeigt) gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht gezeigt ist, weist die Struktur 1110 eine Schicht mit einander abwechselnden Polymeren oder einander abwechselnden Polymerkomponenten auf. Wie gezeigt, wird beispielsweise ein Polymer A (oder eine Polymerkomponente A) auf oder über den Zwischenschicht-Dielektrikums(ILD)-Strecken 1104 von 11A gebildet, während ein Polymer B (oder eine Polymerkomponente B) auf oder über den Metallleitungen 1102 von 11A gebildet wird. Nimmt man auf die Querschnittsansichten Bezug, so ist in (a) ein Polymer A (oder eine Polymerkomponente A) auf den ILD-Strecken 1104 ausgebildet, und ein Polymer B (oder eine Polymerkomponente B) ist auf den Metallleitungen 1102 ausgebildet. In (b) ist ein Polymer A (oder eine Polymerkomponente A) auf einer zusätzlichen Dünnschicht 1106 ausgebildet, die auf den ILD-Strecken 1104 ausgebildet ist, während ein Polymer B (oder eine Polymerkomponente B) auf den Metallleitungen 1102 ausgebildet ist. In (c) ist ein Polymer A (oder eine Polymerkomponente A) auf den ILD-Strecken 1104 ausgebildet, und ein Polymer B (oder eine Polymerkomponente B) ist auf einer zusätzlichen Dünnschicht 1108 ausgebildet, die auf den Metallleitungen 1102 ausgebildet ist. In (d) ist ein Polymer A (oder eine Polymerkomponente A) auf einer zusätzlichen Dünnschicht 1106 ausgebildet, die auf den ILD-Strecken 1104 ausgebildet ist, und ein Polymer B (oder eine Polymerkomponente B) ist auf einer zusätzlichen Dünnschicht 1108 ausgebildet, die auf den Metallleitungen 1102 ausgebildet ist.
  • In einer Ausführungsform, für die wiederum auf 11B Bezug genommen wird, wird, sobald die Oberfläche der darunterliegenden Struktur (z.B. der Struktur 1100 von 11A) vorbereitet wurde, ein 50-50-Diblock-Copolymer, wie etwa Polystyrol-Polymethylmethacrylat (PS-PMMA), auf das Substrat aufgebracht und getempert, um die Selbstorganisation anzutreiben, was die Schicht aus Polymer A/Polymer B der Struktur 1110 von 11B zum Ergebnis hat. In einer solchen Ausführungsform sondern sich die BlockCopolymere unter geeigneten Oberflächenenergiebedingungen auf Basis des darunterliegenden Materials der Struktur 1100 voneinander ab. Zum Beispiel richtet sich in einer spezifischen Ausführungsform Polystyrol selektiv an den darunterliegenden Metallleitungen 1102 (oder entsprechendem Metallleitungsabdeck- oder Hartmaskenmaterial) aus. Indessen richtet sich das Polymethylmethacrylat selektiv an den ILD-Strecken 1104 (oder entsprechendem Metallleitungsabdeck- oder Hartmaskenmaterial) aus.
  • Somit wird in einer Ausführungsform das darunterliegende Gitter aus Metall und ILD im Block-Copolymer (BCP, d.h. Polymer A/Polymer B) nachgebildet. Dies kann insbesondere dann der Fall sein, wenn der BCP-Abstand mit dem Abstand des darunterliegenden Gitters übereinstimmt. Das Polymergitter (Polymer A/Polymer B) ist in einer Ausführungsform unempfindlich gegenüber gewissen kleinen Abweichungen von einem sehr gut ausgerichteten Gitter. Wenn beispielsweise kleine Plugs effektiv ein Oxid oder ein ähnliches Material da platzieren, wo ein sehr gut ausgerichtetes Gitter ein Metall aufweisen würde, kann trotzdem ein sehr gut ausgerichtetes Gitter aus Polymer A/Polymer B erreicht werden. Da das Gitter aus ILD-Strecken in einer Ausführungsform eine idealisierte Gitterstruktur ohne Metallunterbrechungen des IILD-Backbone ist, kann es jedoch notwendig sein, die ILD-Oberfläche neutral zu machen, da in einem solchen Fall beide Arten von Polymer (A und B) dem ILD-ähnlichen Material ausgesetzt werden, während nur eine Art dem Metall ausgesetzt wird.
  • In einer Ausführungsform ist die Dicke des aufgebrachten Polymers (Polymer A/ B) der endgültigen Dicke eines ILD, das schlussendlich an seiner Stelle ausgebildet wird, gleich oder nur wenig größer als diese. Wie weiter unten ausführlicher beschrieben wird, wird in einer Ausführungsform das Polymergitter nicht als Ätzresist ausgebildet, sondern vielmehr als Gerüst für eine darum herum erfolgende schlussendliche Züchtung einer permanenten ILD-Schicht. Somit kann die Dicke des Polymers (A/B) wichtig sein, da es verwendet werden kann, um die endgültige Dicke einer in der Folge ausgebildeten permanenten ILD-Schicht zu definieren. Das heißt, in einer Ausführungsform wird das in 11B gezeigte Polymergitter schließlich durch ein ILD-Gitter mit ungefähr der gleichen Dicke ersetzt.
  • In einer Ausführungsform ist das Gitter aus Polymer A/Polymer B von 2 ein Block-Copolymer, wie oben erwähnt. In einer solchen Ausführungsform ist das Block-Copolymermolekül eines, wie es oben in Verbindung mit 10D beschrieben wurde. In einer Ausführungsform wird als ein erstes Beispiel in zwei Block-Copolymeren (wie in 11B gezeigt), falls die Blöcke ungefähr gleich lang sind, eine gitterartige Struktur aus sich abwechselnden Strecken aus Polymer A und Strecken aus Polymer (B) erzeugt. In einer anderen Ausführungsform können als ein zweites Beispiel (nicht gezeigt) in zwei Block-Copolymeren, falls einer der Blöcke länger, aber nicht viel länger ist als der andere, vertikale säulenartige Strukturen gebildet werden. In den säulenartigen Strukturen können sich die Block-Copolymermoleküle so ausrichten, dass ihre Polymerblöcke nach einer Mikrophasentrennung im Inneren der Säulen liegen und ihre längeren Polymerblöcke sich weg von den Säulen und um die Säulen herum erstrecken. Falls beispielsweise der Block des Polymers A länger, aber nicht viel länger ist als der Block des Polymers B, dann könnten säulenartige Strukturen gebildet werden, bei denen sich Block-Copolymermoleküle so ausrichten, dass ihre kürzeren Blöcke aus Polymer B säulenartige Strukturen bilden, die von einer Phase umgeben sind, welche die längeren Blöcke aus Polymer A aufweist. Wenn dies in einem Bereich ausreichender Größe stattfindet, kann eine zweidimensionale Anordnung aus allgemein sechseckig gepackten säulenartigen Strukturen gebildet werden.
  • In einer Ausführungsform wird zuerst das Gitter aus Polymer A/Polymer B als Schichtabschnitt aus nicht organisiertem Block-Copolymer aufgetragen, der ein Block-Copolymermaterial einschließt, das z.B. durch einen Bürsten- oder anderen Beschichtungsprozess aufgetragen wird, wie oben in Verbindung mit 10D beschrieben wurde. In einer solchen Ausführungsform wird eine Temperbehandlung auf ein nicht organisiertes Block-Copolymer angewendet, um die Mikrophasentrennung und/oder die Selbstorganisation zu initiieren, zu beschleunigen, ihre Qualität zu verbessern oder sie auf andere Weise zu fördern, wie oben in Verbindung mit 10D beschrieben wurde.
  • 11C zeigt eine Planansicht und eine entsprechende Querschnittsansicht der Struktur von 11B im Anschluss an die Entfernung einer Polymerspezies gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 11C gezeigt ist, wird Polymer B entfernt, um die Metallleitungen 1102 (oder Hartmasken- oder Abdeckschichten, die auf den Metallleitungen 1102 ausgebildet sind) erneut freizulegen, während Polymer A in den ILD-Strecken 1104 behalten wird, wodurch eine Struktur 1112 gebildet wird. In einer Ausführungsform wird eine Tiefultraviolett(DUV)-Flutbelichtung, gefolgt von einer Nassätzung oder Trockenätzung, angewendet, um Polymer B selektiv zu entfernen. Man beachte, dass, statt das Polymer zuerst von den Metallleitungen 1102 zu entfernen (wie dargestellt), zuerst eine Entfernung von den ILD-Strecken durchgeführt werden kann.
  • 11D zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11C im Anschluss an die Bildung einer Schicht aus Opfermaterial über den Metallleitungen 1102 gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und der entsprechenden Querschnittsansicht (b) gezeigt ist, weist die Struktur 1114 eine Opferschicht B auf, die oberhalb von oder auf den Metallleitungen 1102 und zwischen den Strecken aus Polymer A, die oberhalb von oder auf den ILD-Strecken 1104 ausgebildet sind, ausgebildet ist. In einer Ausführungsform, für die auf die Querschnittsansicht (a) Bezug genommen wird, füllt eine Abscheidung bei niedrigen Temperaturen Gräben zwischen Strecken aus Polymer A, z.B. mit einem Oxid (z.B. TiOx) oder einem anderen Opfermaterial als formfolgende Schicht 1116. Die formfolgende Schicht 1116 wird dann durch einen Trockenätz- oder einen chemisch-mechanischen Polier(CMP)-Prozess auf Regionen oberhalb von Metallleitungen 1102 beschränkt. Die resultierende Schicht wird hierin als Opferschicht B bezeichnet, da das Material in manchen Ausführungsformen schlussendlich durch ein permanentes ILD-Material ersetzt wird. Man beachte jedoch, dass in anderen Ausführungsformen in diesem Stadium stattdessen ein permanentes ILD-Material ausgebildet werden kann. In dem Fall, dass ein Opfermaterial verwendet wird, weist das Opfermaterial in einer Ausführungsform notwendige Abscheidungseigenschaften, Wärmestabilität und Ätzselektivität gegenüber anderen in dem Prozess verwendeten Materialien auf.
  • 11E zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11D im Anschluss an die Ersetzung von Polymer A durch ein permanentes Zwischensicht-Dielektrikum(ILD)-Material gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und der entsprechenden Querschnittsansicht (c) gezeigt ist, weist die Struktur 1118 permanente Zwischenschicht-Dielektrikums(ILD)-Strecken 1120 auf oder oberhalb von den ILD-Strecken 1104 und zwischen den Strecken aus Opfermaterial B auf. In einer Ausführungsform werden die Strecken aus Polymer A entfernt, wie in der Querschnittsansicht (a) dargestellt ist. Dann wird eine ILD-Materialschicht 1119 formfolgend über der resultierenden Struktur ausgebildet, wie in der Querschnittsansicht (b) gezeigt ist. Die formfolgende Schicht 1119 wird dann durch einen Trockenätz- oder einen chemisch-mechanischen Polier(CMP)-Prozess auf Regionen oberhalb der ILD-Strecken 1104 beschränkt. In einer Ausführungsform ersetzt die Struktur 1118 effektiv das Gitter aus Polymer (A/B) von 11B durch ein Gitter aus sehr dickem Material (z.B. aus permanentem ILD 1120 und Opferschicht B), das dem darunterliegenden Metallgitter entspricht und am darunterliegenden Metallgitter ausgerichtet ist. Die zwei unterschiedlichen Materialien können verwendet werden, um schlussendlich mögliche Orte für Plugs und Vias zu definieren, wie nachstehend ausführlicher beschrieben wird.
  • 11F zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11E im Anschluss an eine selektive Ausbildung einer Hartmaske auf den permanenten ILD-Strecken gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und der entsprechenden Querschnittsansicht (c) gezeigt ist, weist die Struktur 1122 eine Hartmaskenschicht 1124 auf, die auf den permanenten Zwischenschicht-Dielektrikum(ILD)-Strecken 1120 ausgebildet ist. In einer Ausführungsform, für die auf die Querschnittsansicht (c) Bezug genommen wird, wird ein selektiver Züchtungsprozess verwendet, um die Hartmaskenschicht 1124 zu bilden, die auf die Oberflächen der permanenten ILD-Strecken 1120 beschränkt ist. In einer anderen Ausführungsform wird zuerst auf einer Struktur, die abgetragene permanente ILD-Strecken 1120 aufweist, eine formfolgende Materialschicht 1123 ausgebildet (Querschnittsansicht (a)). Die formfolgende Schicht 1123 wird dann einem Ätz- und CMP-Prozess von vorgegebener Dauer unterzogen, um die Hartmaskenschicht 1124 zu bilden (Querschnittsansicht (b)). Im letztgenannten Fall werden die ILD-Strecken 1120 in Relation zum Opfermaterial B abgetragen, und dann wird eine nicht-formfolgende (planarisierende) Hartmaske 1123 auf dem resultierenden Gitter abgeschieden. Das Material 1123 ist auf Strecken aus dem Opfermaterial B dünner als auf den abgetragenen ILD-Strecken 1120, so dass eine Ätzung der Hartmaske von vorgegebener Dauer oder eine Polieroperation das Material 1123 selektiv vom Opfermaterial B entfernt.
  • 11G zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11F im Anschluss an eine Entfernung von Strecken aus Opfermaterial B und eine Ersetzung mit permanenten ILD-Strecken 1128 gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und der entsprechenden Querschnittsansicht (c) gezeigt ist, weist die Struktur 1126 permanente ILD-Strecken 1128 anstelle der Strecken aus Opfermaterial B auf, d.h. oberhalb von und ausgerichtet an den Metallleitungen 1102. In einer Ausführungsform wird das Opfermaterial B entfernt (Querschnittsansicht (a)) und durch permanente ILD-Strecken 1128 (Querschnittsansicht (c)) ersetzt, z.B. durch die Abscheidung einer formfolgenden Schicht und eine anschließende Ätzung von vorgegebener Dauer oder eine CMP-Verarbeitung (Querschnittsansicht (b)). In einer Ausführungsform weist die resultierende Struktur 1126 ein gleichmäßiges ILD-Material (permanente ILD-Strecken 1120 + permanente ILD-Strecken 1128) auf, wo die Orte aller möglichen Plugs von einer Hartmaske 1124 abgedeckt sind und alle möglichen Vias in Bereichen der freigelegten ILD-Strecken 1128 liegen. In einer solchen Ausführungsform umfassen permanente ILD-Strecken 1120 und permanente ILD-Strecken 1128 das gleiche Material. In einer anderen solchen Ausführungsform umfassen die permanenten ILD-Strecken 1120 und die permanenten ILD-Strecken 1128 voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer spezifischen Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien der permanenten ILD-Strecken 1120 und der permanenten ILD-Strecken 1128 in der finalen Struktur 1126 wahrnehmbar sein. Beispiele für Nähte 1199 sind in 10F zur Erläuterung gezeigt.
  • 11H zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11G im Anschluss an eine Grabenausbildung (z.B. Gitterdefinition) gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird durch Ausbilden von Gräben 1132 in der Struktur von 11G, senkrecht zum Gitter von 11G in der Struktur 1130, ein Gitter zum schlussendlichen Definieren von Regionen zwischen einer Struktur aus Metallleitungen definiert. In einer Ausführungsform werden die Gräben 1132 durch Strukturieren und Ätzen einer Gitterstruktur in das Opfergitter früherer Strukturen ausgebildet. In einer Ausführungsform wird ein Gitter effektiv durch Definieren des Ortes aller Zwischenräume zwischen schlussendlich ausgebildeten Metallleitungen gleichzeitig und zusammen mit allen Plugs und Vias ausgebildet. In einer Ausführungsform legen die Gräben 1132 Abschnitte von darunterliegenden ILD-Strecken 1104 und Metallleitungen 1102 offen.
  • 11I zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11H im Anschluss an die Bildung eines Gitters aus Opfermaterial in den Gräben von 11H gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a)-(d) entlang der Achsen a-a', b-b', c-c' bzw. d-d' gezeigt ist, wird eine Materialschicht 1134, die eine Zwischenschicht-Dielektrikums(ILD)-Schicht oder Opferschicht ist, in den Gräben 1132 der Struktur von 11H ausgebildet. In einer Ausführungsform wird die Materialschicht 1134 durch formfolgende Abscheidung und daran anschließende Ätzung von vorgegebener Dauer oder CMP mit permanentem ILD-Material oder einer Opferschicht (die z.B. später entfernt werden kann, wenn ein Luftspalt hergestellt werden soll) ausgebildet. Im erstgenannten Fall wird die Materialschicht 1134 schlussendlich zum ILD-Material zwischen anschließend ausgebildeten parallelen Metallleitungen auf derselben Metallschicht. Im letztgenannten Fall kann das Material als Opfermaterial C bezeichnet werden, wie abgebildet. In einer Ausführungsform weist die Materialschicht 1134 eine hohe Ätzselektivität gegenüber anderem ILD-Material und gegenüber der Hartmaskenschicht 1128 auf.
  • 1 1J zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11I im Anschluss an die Ausbildung und Strukturierung einer Maske und eine anschließende Ätzung von Via-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, wird auf der Struktur von 11I eine Maske 1136 ausgebildet. Die Maske wird, z.B. anhand eines lithographischen Prozesses, strukturiert, so dass Öffnungen 1137 darin ausgebildet werden. In einer Ausführungsform werden die Öffnungen auf Basis einer gewünschten Via-Strukturierung bestimmt. Das heißt, in diesem Stadium wurden alle möglichen Vias und Plugs (z.B. als Platzhalter) strukturiert und haben sich selbst an den schlussendlichen Metallschichten über und unter ihnen ausgerichtet. Hierbei wird ein Untersatz der Vias und Plug-Orte für die Erhaltung ausgewählt, ebenso wie Orte zum Ätzen von Metallleitungspositionen. In einer Ausführungsform wird ein ArF- oder EUV- oder Elektronenstahl-Resist verwendet, um die Vias, die geätzt werden sollen, zu schneiden oder auszuwählen, d.h. an Orten, wo Abschnitte der Metallleitungen 1102 freiliegen. Man beachte, dass die Hartmaske 1124 und die Materialschicht 1134 als die eigentlichen Ätzmasken wirken, welche die Form und die Position der Vias bestimmen. Die Maske 1136 dient lediglich dazu, die verbliebenen Vias vor einer Ätzung zu bewahren. Somit ist die Toleranz für die Größe der Öffnung 1137 erhöht, da die umgebenden Materialien (z.B. die Hartmaske 1124 und die Materialschicht 1134) der ausgewählten Via-Orte (d.h. der Abschnitt der Öffnungen 1137 direkt oberhalb der freiliegenden Abschnitte der Metallleitungen 1102) dem Ätzprozess, der verwendet wird, um die ILD-Strecke 1128 oberhalb der ausgewählten Abschnitte der Metallleitungen 1102 für die schlussendliche Herstellung von Vias zu entfernen, standhalten kann. In einer Ausführungsform ist die strukturierte Maske 1136 eine dreischichtige Maske, die einen topographischen Maskierungsabschnitt 1136C, eine Schicht 1136B aus einem antifreflektiven Überzug (ARC) und eine Fotolackschicht 1136A umfasst. In einer bestimmten von diesen Ausführungsformen ist der topographische Maskierungsabschnitt 136C eine Schicht aus einer Kohlenstoff-Hartmaske (CHM), und die Schicht 136B aus einem antireflektiven Überzug ist eine Silizium-ARC-Schicht.
  • 11K zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11J im Anschluss an die Entfernung der Maske und der Hartmaske und eine anschließende Plug-Strukturierung und Ätzung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, wird die in 1 1J gezeigte Maske 1136 im Anschluss an eine Viaortstrukturierung entfernt. Anschließend wird eine zweite Hartmaske 1138 ausgebildet und strukturiert, um ausgewählte Plug-Orte abzudecken. Genauer werden in einer Ausführungsform, wie in 11K bildlich dargestellt ist, Abschnitte der Hartmaske 1124 an Orten, wo schlussendlich Plugs ausgebildet werden, bewahrt. Das heißt, in diesem Stadium sind alle möglichen Plugs in Form von Hartmasken-Plugs vorhanden. Die Strukturierungsoperation von 11K dient dazu, alle Abschnitte der Hartmaske 1124 außer denen, die für die Plug-Bewahrung ausgewählt worden sind, zu entfernen. Durch die Strukturierung wird ein erheblicher Teil der ILD-Strecken 1120 und 1128 effektiv freigelegt, z.B. als vereinheitlichte dielektrische Schicht.
  • 11L zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11K im Anschluss an die Entfernung der Maske und die Ätzung von Metallleitungsgräben gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, wird die in 11K gezeigte Maske 1138 im Anschluss an eine Viaortstrukturierung entfernt. Anschließend wird eine Teilätzung der freiliegenden Abschnitte der ILD-Strecken 1120 und 1128 durchgeführt, um abgetragene ILD-Strecken 1120' und 1128' bereitzustellen. Das Maß der Abtragung kann auf einem Ätzprozess von vorgegebener Dauer basieren, der auf eine Tiefe einer gewünschten Metallleitungsdicke abgestellt ist. Die Abschnitte der ILD-Strecken 1120, die durch die bewahrten Abschnitte der Hartmaske 1124 geschützt werden, werden durch die Ätzung nicht abgetragen, wie in 11L bildlich dargestellt ist. Außerdem wird die Materialschicht 1134 (die ein Opfermaterial oder ein permanentes ILD-Material sein kann) auch weder geätzt noch abgetragen. Man beachte, dass für den von 11L gezeigten Prozess keine lithographische Operation nötig ist, da die Viaorte (an freiliegenden Abschnitten der Metallleitungen 1102) bereits geätzt wurden und die Plugs (an Orten, wo die Hartmaske 1124 bewahrt wurde).
  • 11M zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 11L im Anschluss an eine Abscheidung und Polierung von Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a" bzw. b-b' gezeigt ist, wird Metall zum Ausbilden von Metallverbindungsleitungen formfolgend über der Struktur von 11L ausgebildet. Das Metall wird dann planarisiert, z.B. durch CMP, um Metallleitungen 1140 bereitzustellen. Die Metallleitungen werden durch die vorgegebenen Viaorte hindurch mit darunterliegenden Metallleitungen gekoppelt und werden durch die bewahrten Plugs 1142 und 1144 isoliert. Der Prozess der Abscheidung und Planarisierung von Metall (z.B. Kupfer und zugehörigen Barriere- und Keimschichten) kann eine Standard-BEOL-Dual-Damascene-Verarbeitung sein. Man beachte, dass in folgenden Herstellungsoperationen die Materialschichtstrecken 1134 entfernt werden können, um Luftspalte zwischen den resultierenden Metallleitungen 1140 zu bilden.
  • Die Struktur von 11M kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 11M die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Auch wenn der oben geschilderte Prozessfluss auf die Anwendung von gerichteter Selbstorganisation (DSA) abstellt, können stattdessen an einem oder mehreren Stellen des Prozessflusses selektive Züchtungsprozesse angewendet werden. In jedem Fall ermöglichen die resultierenden Strukturen die Herstellung von Vias, die direkt auf darunterliegenden Metallleitungen zentriert sind. Das heißt, die Vias können breiter als, schmäler als oder von gleicher Dicke sein wie die darunterliegenden Metallleitungen, z.B. wegen einer nicht-perfekten selektiven Ätzverarbeitung. Trotzdem werden in einer Ausführungsform die Mitten der Vias direkt an den Mitten der Metallleitungen ausgerichtet (damit in Übereinstimmung gebracht). Somit ist in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen.
  • Gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung werden in Richtung von unten nach oben ablaufende, selbstjustierende DSA-Dreiblock-Methoden beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Dreiblock-Copolymere für selbstjustierende Vias oder Kontakte gerichtet. Durch die Verwendung von weiterentwickelten Block-Copolymeren und eine gerichtete Selbstorganisationsstrategie kann eine Ausrichtung an einer darunterliegenden engen Metallschicht erreicht werden. Hierin beschriebene Ausführungsformen können implementiert werden, um die Kosten, die Skalierbarkeit, den Strukturplatzierungsfehler und die Variabilität zu verbessern.
  • Im Allgemeinen beinhaltet mindestens eine hierin beschriebene Ausführungsform die Verwendung von drei Phasen eines Dreiblock-Copolymermaterials, um eine Phasentrennung in „selbstjustierende Photobuckets“ zu bewirken, z.B. wird die Verwendung eines selbstjustierenden Dreiblock-Copolymers für die Erzeugung justierter bzw. ausgerichteter Photobuckets beschrieben. Zusätzliche Ausführungsformen, die auf die Herstellung und Verwendung von Photobuckets gerichtet sind, werden nachstehend in Ausführungsformen abseits der vorliegenden Ausführungsformen der 12A-12K näher beschrieben. Dabei sei aber klargestellt, dass Ausführungsformen nicht auf das Konzept von Photobuckets beschränkt sind, sondern in großem Umfang auf Strukturen angewendet werden können, die vorab ausgebildete Merkmale aufweisen, die unter Verwendung einer von unten nach oben ablaufenden und/oder einer gerichteten Selbstorganisations(DSA)-Methode hergestellt worden sind.
  • Die 12A-12C zeigen Schrägschnittansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
  • Wie in 12A gezeigt ist, weist eine Halbleiterstrukturschicht 1200 eine Gitterstruktur aus einander abwechselnden Metallleitungen 1202 und Zwischenschicht-Dielektrikum(ILD)-Strecken 1204 auf. Die Struktur 1200 kann mit einer ersten Molekülbürstenoperation (i) mit einer ersten Molekülspezies 1206 behandelt werden. Die Struktur 1200 kann auch mit einer zweiten Molekülbürstenoperation (ii) mit einer zweiten Molekülspezies 1208 behandelt werden. Man beachte, dass die Reihenfolge der Operationen (i) und (ii) umgekehrt sein kann oder diese sogar im Wesentlichen gleichzeitig durchgeführt werden können.
  • Wie in 12B gezeigt ist, können die Molekülbürstenoperationen durchgeführt werden, um eine Oberfläche für die einander abwechselnden Metallleitungen 1202 und ILD-Strecken 1204 zu verändern bzw. in abgewandelter Form bereitzustellen. Zum Beispiel kann die Oberfläche von Metallleitungen 1202 so behandelt werden, dass eine A/B-Oberfläche 1210 auf Metallleitungen 1202 entsteht. Die Oberfläche der ILD-Strecken 1204 kann so behandelt werden, dass eine C-Oberfläche 1212 auf ILD-Strecken 1204 entsteht.
  • Wie in 12C gezeigt ist, kann die Struktur von 12B mit einer Behandlungsoperation (iii) behandelt werden, die eine Auftragung eines Dreiblock-Copolymers (Dreiblock-BCP) 1214 und möglicherweise eine anschließende Absonderungs- bzw. Aufteilungsbehandlung, um eine aufgeteilte Struktur 1220 zu bilden, beinhaltet. Die aufgeteilte Struktur 1220 weist erste Regionen 1222 eines aufgeteilten Dreiblock-BCP oberhalb der ILD-Strecken 1204 auf. Einander abwechselnde zweite Regionen 1224 und dritte Regionen 1226 des aufgeteilten Dreiblock-BCP liegen oberhalb der Metallleitungen 1202. Die schlussendliche Anordnung der drei Blöcke des Dreiblock-Copolymers 1214 basiert auf Chemo-Epitaxie, da bloß eine darunterliegende Struktur (und nicht eine koplanare Struktur, wie sie bei der Grapho-Epitaxie verwendet wird) verwendet wird, um die Organisation des Dreiblock-Copolymers 1214 zu lenken, um die aufgeteilte Struktur 1220 zu bilden.
  • In einer Ausführungsform, für die gleichzeitig auf die 12A-12C Bezug genommen wird, weist eine Struktur 1220 für eine gerichtete Selbstorganisation einer Back-End-of-Line(BEOL)-Halbleiterstrukturmetallisierungsschicht ein Substrat auf (nicht gezeigt, aber weiter unten beschrieben, und bemerkenswerterweise unterhalb der ILD-Strecken 1204 und der Metallleitungen 1202 liegend)). Eine untere Metallisierungsschicht weist einander abwechselnde Metallleitungen 1202 und dielektrische Strecken 1204 auf, die oberhalb des Substrats angeordnet sind. Eine Dreiblock-Copolymerschicht 1214 ist oberhalb der unteren Metallisierungsschicht angeordnet. Die Dreiblock-Copolymerschicht weist eine erste abgesonderte Blockkomponente 1222 auf, die über den dielektrischen Strecken 1204 der unteren Metallisierungsschicht angeordnet ist. Die Dreiblock-Copolymerschicht weist eine zweite 1224 und eine dritte 1226 abgesonderte Blockkomponente auf, die einander abwechseln und die über den Metallleitungen 1202 der unteren Metallisierungsschicht angeordnet sind.
  • In einer Ausführungsform ist die dritte abgesonderte Blockkomponente 1226 der Dreiblock-Copolymerschicht 1214 lichtempfindlich. In einer Ausführungsform wird die Dreiblock-Copolymerschicht 1214 auf eine Dicke ausgebildet, die ungefähr im Bereich von 5-100 Nanometer liegt. In einer Ausführungsform weist die Dreiblock-Copolymerschicht 1214 eine Dreiblock-Copolymerspezies auf, die ausgewählt ist aus der Gruppe bestehend aus irgendwelchen drei von Polystyrol und anderen Polyvinylarenen, Polyisopren und anderen Polyolefinen, Polymethacrylat und anderen Polyestern, Polydimethylsiloxan (PDMS) und verwandten Si-basierten Polymeren, Polyferrocenylsilanen, Polyethyelenoxid (PEO) und verwandten Polyethern und Polyvinylpyridin In einer Ausführungsform weisen die zweite 1224 und die dritte 1226 abgesonderte Blockkomponente, die einander abwechseln, eine Verhältnis von ungefähr 1:1 auf, wie in 21C bildlich dargestellt ist (und nachstehend in Verbindung mit 12H beschrieben wird). In einer anderen Ausführungsform weisen die zweite 1224 und die dritte 1226 abgesonderte Blockkomponente, die einander abwechseln, ein Verhältnis von X: 1, zweite abgesonderte Blockkomponente 1224 zu dritte abgesonderte Blockkomponente 1226, auf, wobei X größer ist als 1 und wobei die dritte abgesonderte Blockkomponente 1226 eine Säulenstruktur aufweist, die von der zweiten abgesonderten Blockkomponente umgeben ist, wie nachstehend in Verbindung mit 12I beschrieben wird. In einer anderen Ausführungsform ist die Dreiblock-Copolymerschicht 1214 eine Mischung aus Homopolymeren von A, B und/oder C oder Zweiblock-BCPs der A-B-, B-C- oder A-C-Komponenten, um die gewünschte Morphologie zu erreichen.
  • In einer Ausführungsform weist die Struktur 1220 ferner eine erste Molekülbürstenschicht 1212 auf, die auf den dielektrischen Strecken 1204 der unteren Metallisierungsschicht angeordnet ist. In dieser Ausführungsform ist die erste abgesonderte Blockkomponente 1222 auf der ersten Molekülbürstenschicht angeordnet. In einer Ausführungsform weist die Struktur 1220 auch eine zweite, andere Molekülbürstenschicht 1210 auf, die auf den Metallleitungen 102 der unteren Metallisierungsschicht angeordnet ist. Die zweite 1224 und die dritte 1226 abgesonderte Blockkomponente, die einander abwechseln, sind auf der zweiten Molekülbürstenschicht 1210 angeordnet. In einer Ausführungsform weist die erste Molekülbürstenschicht 1212 eine Molekülspezies 1208 auf, die Polystyrol mit einer Kopfgruppe, die ausgewählt ist aus der Gruppe bestehend aus -SH, -PO3H2, -CO2H, -NRH, - NRR' und -Si(OR)3, aufweist, und die zweite Molekülbürstenschicht 1210 weist eine Molekülspezies 1206 auf, die Polymethacrylat mit einer Kopfgruppe, die ausgewählt ist aus der Gruppe bestehend aus -SH, -PO3H2, -CO2H, -NRH, -NRR' und -Si(OR)3, aufweist.
  • In einer Ausführungsform weisen die einander abwechselnden Metallleitungen 1202 und dielektrischen Strecken 1204 der unteren Metallisierungsschicht eine Gitterstruktur mit einem konstanten Abstand auf. In einer Ausführungsform definiert die dritte abgesonderte Blockkomponente 1226 der Dreiblock-Copolymerschicht 1214 alle möglichen Viaorte für eine Metallisierungsschicht über der unteren Metallisierungsschicht. In einer Ausführungsform ist die dritte abgesonderte Blockkomponente 1226 der Dreiblock-Copolymerschicht 1214 lichtempfindlich gegenüber einer Extremultraviolett(EUV)-Quelle oder einer Elektronenstrahlquelle.
  • Die 12D zeigt Schrägschnittansichten, die eine Operation in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
  • Wie in 12D gezeigt ist, werden alle Abschnitte der dritten abgesonderten Blockkomponente 1226 der Struktur 1220 von 12C entfernt. In einer solchen Ausführungsform öffnet die Entfernung aller Abschnitte der dritten abgesonderten Blockkomponente 1226 alle möglichen Viaorte, die oberhalb der darunterliegenden Metallisierungsschicht ausgebildet werden können. Die Öffnungen können mit einer Fotolackschicht gefüllt werden, um schlussendlich eine Auswahl nur solcher Viaorte zu ermöglichen, die für ein bestimmtes Design benötigt werden. Man beachte, dass im Falle von 12D die dritte abgesonderte Blockkomponente 1226 der Struktur 1220 lichtempfindlich sein kann, aber nicht sein muss, da die Entfernung aller Abschnitte der dritten abgesonderten Blockkomponente 1226 der Struktur 1220 von 12C durch selektives Ätzen allein (z.B. selektiv gegenüber der ersten abgesonderten Blockkomponente 1222 und der zweiten abgeteilten Blockkomponente 1224) durchgeführt werden kann. In einer solchen Ausführungsform kann das selektive Ätzen unter Verwendung einer selektiven Trockenätzung oder einer selektiven Nassätzung oder von beidem durchgeführt werden.
  • 12E zeigt eine Schrägschnittansicht, die eine Operation in einem anderen Verfahren darstellt, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer anderen Ausführungsform der vorliegenden Offenbarung verwendet werden.
  • Wie in 12E gezeigt ist, werden nur ausgewählte Abschnitte der dritten abgesonderten Blockkomponente 1226 der Struktur 1220 von 12C entfernt. In einer solchen Ausführungsform die Entfernung von nur ausgewählten Abschnitten der dritten abgesonderten Blockkomponente 1226 nur die Viaorte oberhalb der darunterliegenden Metallisierungsschicht, die für ein bestimmtes Design benötigt werden. Man beachte, dass im Falle von 2E die dritte abgesonderte Blockkomponente 1226 der Struktur 1220 lichtempfindlich ist und dass eine Ortsauswahl unter Verwendung einer lokal begrenzten, aber hochtoleranten lithographischen Belichtung durchgeführt wird. Die Belichtung kann als tolerant bezeichnet werden, da benachbarte Materialien 1222 und 1224, die an Orte 1226 angrenzen, in einer Ausführungsform nicht lichtempfindlich sind für die Lithographie, die verwendet wird, um die Orte für Abschnitte der Entfernung von Komponenten 1226 auszuwählen.
  • 12F zeigt ein Dreiblock-Copolymer zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 12F gezeigt ist, kann ein aufgeteiltes Dreiblock-BCP 1250 entlang der Achse 1252 in Abschnitte 1222, 1224, 1226 aufgeteilt werden. Man beachte, dass andere Teilungsanordnungen möglich sein können, wie etwa asymmetrische Anordnungen. In einer Ausführungsform besteht eine Ätzselektivität zwischen Komponenten 1222, 1224 und 1226, die immerhin eine 10: 1-Ätzselektivität für eine Komponente in Bezug auf die beiden anderen Komponenten sein kann. In einer Ausführungsform kann die Verwendung eines Dreiblock-BCP 1250 die Strukturtreue verbessern und eine Abweichung kritischer Abmessungen (CD) verringern. In einer Ausführungsform kann das aufgeteilte Dreiblock-BCP 1250 implementiert werden, um eine Selbstorganisationsstrategie zu ermöglichen, die zu einem 193-Nanometer-Immersionslithographie(193i)- oder einem Extremultraviolett(EUVL)-Prozess passt.
  • Man beachte, dass die Blöcke von Dreiblock-Copolymeren typischerweise jeweils unterschiedliche chemische Eigenschaften haben können. Zum Beispiel kann einer von den Blöcken relativ stärker hydrophob (z.B. wasserabstoßend) sein, während die anderen zwei Blöcke relativ stärker hydrophil (wasseranziehend) sein können, oder umgekehrt. Zumindest in der Theorie kann einer von den Blöcken relativ ölähnlicher sein, und die anderen zwei Blöcke können relativ wasserähnlicher sein, oder umgekehrt. Solche Unterschiede der chemischen Eigenschaften zwischen den verschiedenen Blöcken von Polymeren, seien es nun Unterschiede zwischen hydrophil-hydrophob oder andere, können bewirken, dass sich die Block-Copolymermoleküle selbst organisieren. Zum Beispiel kann die Selbstorganisation auf einer Mikrophasentrennung der Polymerblöcke basieren. In der Theorie kann dies der Phasentrennung von Öl und Wasser ähneln, die allgemein unvermischbar sind.
  • Ebenso können Unterschiede in der Hydrophilie zwischen den Polymerblöcken eine im Großen und Ganzen analoge Mikrophasentrennung bewirken, wo die verschiedenen Polymerblöcke versuchen, sich voneinander zu „trennen“ weil sie chemisch voneinander abgestoßen werden. Weil die Polymerblöcke kovalent aneinander gebunden sind, können sie sich in einer Ausführungsform makroskopisch betrachtet jedoch nicht vollständig voneinander trennen. Stattdessen können Polymerblöcke einer bestimmten Art dazu neigen, sich in extrem kleinen Regionen oder Phasen (z.B. im Nanometergrößenbereich) abzusondern bzw. aufzuteilen oder mit Polymerblöcken der gleichen Art von anderen Molekülen zu konglomerieren. Die jeweilige Größe und Form der Regionen oder Mikrophasen hängt im Allgemeinen zumindest zum Teil von den relativen Längen der Polymerblöcke ab. In einer Ausführungsform stellen die 12C, 12H und 121 als Beispiele mögliche Organisationsabläufe für ein Dreiblock-Copolymer bildlich dar.
  • Man beachte, dass eine Struktur, die nötig ist, um einen zuvor ausgebildeten Ort für ein Via oder ein Plug zu öffnen, relativ klein gestaltet werden kann, wodurch eine Vergrößerung des Überdeckungsspielraums eines lithographischen Prozesses ermöglicht wird. Die Strukturmerkmale können so gestaltet werden, dass sie eine einheitliche Größe aufweisen, wodurch eine Abtastzeit beim Direktschreiben mit Elektronenstrahlen verkürzt und/oder die Komplexität einer optischen Nahbereichskorrektur (OPC) mit optischer Lithographie verringert werden kann/können. Die Strukturmerkmale können auch flach gestaltet werden, wodurch die Strukturauflösung verbessert werden kann. Ein anschließend durchgeführter Ätzprozess kann eine isotrope, chemisch selektive Ätzung sein. Ein solcher Ätzprozess mildert, die andernfalls mit Profil- und kritischen Abmessungen assoziiert sind, und mildert Anisotropieprobleme, die typischerweise mit Trockenätzmethoden assoziiert sind. Solch ein Ätzprozess ist unter dem Gesichtspunkt der Ausrüstung und des Durchsatzes auch wesentlich preisgünstiger in Relation zu anderen selektiven Entfernungsmethoden.
  • Im Folgenden werden Abschnitte von integrierten Schaltungen beschrieben, die verschiedene Operationen in einem Verfahren der selbstorganisierten Via- und Metallstrukturierung darstellen. Genauer zeigen die 12G und 12H Planansichten und entsprechende Querschnittsansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
  • 12G zeigt eine Planansicht und entsprechende Querschnittsansichten entlang der Achse a-a' von Optionen für eine Metallisierungsstruktur einer vorangehenden Schicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten der Option (a) gezeigt ist, weist eine Ausgangsstruktur 1260 eine Struktur aus Metallleitungen 1262 und Zwischenschicht-Dielektrikum(ILD)-Strecken 1264 auf. Die Ausgangsstruktur 1260 kann in dem Fall, dass schlussendlich selbstorganisierende Materialien darauf ausgebildet werden, in einer gitterartigen Struktur mit Metallleitungen strukturiert werden, die durch einen konstanten Abstand voneinander beabstandet sind und eine konstante Breite aufweisen, wie in 12G bildlich dargestellt ist. Im Falle der Querschnittsansicht (a) sind die Struktur der Metallleitungen 1262 und die Zwischenschicht-Dielektrikums(ILD)-Strecken 1262 planar in Bezug aufeinander. Manche von den Leitungen bzw. Strecken können mit darunterliegenden Vias assoziiert sein, wie etwa die Strecke 1262', die als Beispiel in den Querschnittsansichten gezeigt ist.
  • Wie wiederum in 12G gezeigt ist, sind alternative Optionen (b)-(f) auf Situationen gerichtet, wo eine zusätzliche Dünnschicht auf einer Oberfläche von entweder den Metallleitungen 1262 oder den Zwischenschicht-Dielektrikumsstrecken 1264 oder beiden gebildet (z.B. abgeschieden, gezüchtet oder als Artefakt, das aus einem vorangegangenen Strukturierungsprozess zurückgeblieben ist, belassen) wird. Im Beispiel (b) ist eine zusätzliche Dünnschicht 1266 auf den Zwischenschicht-Dielektrikumsstrecken 1264 angeordnet. Im Beispiel (c) ist eine zusätzliche Dünnschicht 1268 auf den Metallleitungen 1262 angeordnet. Im Beispiel (d) ist eine zusätzliche Dünnschicht 1266 auf den Zwischenschicht-Dielektrikumsstrecken 1264 angeordnet, und eine zusätzliche Dünnschicht 1268 ist auf den Metallleitungen 1262 angeordnet. Auch wenn die Metallleitungen 1262 und die Zwischenschicht-Dielektrikumsstrecken 1264 in (a) koplanar dargestellt sind, sind sie in anderen Ausführungsformen nicht koplanar. Zum Beispiel ragen in (e) die Metallleitungen 1262 über die Zwischenschicht-Dielektrikumsstrecken 1264 vor. Im Beispiel (f) werden die Metallleitungen 1262 bis unter die die Zwischenschicht-Dielektrikumsstrecken 1264 abgetragen.
  • Es wird wiederum auf die Beispiele (b)-(d) Bezug genommen, in denen eine zusätzliche Schicht (z.B. eine Schicht 1266 oder 1268) als Hartmaske (HM) oder Schutzschicht verwendet werden kann oder verwendet werden kann, um eine Selbstorganisation, die nachstehend in Verbindung mit folgenden Verarbeitungsoperationen beschrieben wird, zu ermöglichen. Solche zusätzlichen Schichten können auch verwendet werden, um die ILD-Strecken vor einer weiteren Verarbeitung zu schützen. Außerdem kann die selektive Abscheidung eines anderen Materials über den Metallleitungen aus ähnlichen Gründen von Vorteil sein. Es wird wiederum auf die Beispiele (e) und (f) verwiesen, wo es auch möglich ist, entweder die ILD-Strecken oder die Metallleitungen mit irgendeiner Kombination aus schützenden/HM-Materialien auf einer oder auf beiden Oberflächen abzutragen. Insgesamt gibt es in diesem Stadium zahlreiche Optionen für die Vorbereitung schlussendlich unten liegender Oberflächen für einen gerichteten Selbstorganisationsprozess.
  • Wie in 12H gezeigt ist, wird eine Dreiblock-Copolymerschicht 1270 auf der Struktur von 12G ausgebildet (z.B. in der Planansicht und der entsprechenden Querschnittsstruktur (a)). Die Dreiblock-Copolymerschicht 1270 ist so aufgeteilt, dass sie Regionen 1272 aufweist, die oberhalb der ILD-Strecken 1264 ausgebildet sind, und dass sie einander abwechselnde zweite Regionen 1274 und dritte Regionen 1276 aufweist, die oberhalb der Metallleitungen 1262 ausgebildet sind.
  • In der Querschnittsansicht entlang der Achse b-b' von 12H sind die dritten Regionen 1276 oberhalb der Metallleitungen 1262 gezeigt, und die ersten Regionen 1272 sind oberhalb der ILD-Strecken 1264 gezeigt. Gemäß einer Ausführungsform gibt es außerdem eine Schicht 1280, die zwischen den ersten Regionen 1272 und den ILD-Strecken 1264 gezeigt ist, die ein Überrest einer Molekülbürstenschicht sein kann. Man beachte aber, dass die Schicht 1280 nicht vorhanden sein muss. Gemäß einer Ausführungsform sind dritte Regionen 1276 gezeigt, die direkt auf Metallleitungen 1262 ausgebildet sind. Man beachte jedoch, dass Überreste einer Molekülbürstenschicht zwischen den dritten Regionen 1276 und den Metallleitungen 1262 vorhanden sein können.
  • In der Querschnittsansicht entlang der Achse c-c' von 12H sind die zweiten Regionen 1274 oberhalb der Metallleitungen 1262 gezeigt, und die ersten Regionen 1272 sind oberhalb der ILD-Strecken 1264 gezeigt. Gemäß einer Ausführungsform gibt es außerdem eine Schicht 1280, die zwischen den ersten Regionen 1272 und den ILD-Strecken 1264 gezeigt ist, die ein Überrest einer Molekülbürstenschicht sein kann. Man beachte aber, dass die Schicht 1280 nicht vorhanden sein muss. Gemäß einer Ausführungsform gibt es außerdem eine Schicht 1282, die zwischen den zweiten Regionen 1274 und den Metallleitungen 1262 gezeigt ist, die ein Überrest einer Molekülbürstenschicht sein kann. Man beachte aber, dass die Schicht 1282 nicht vorhanden sein muss. Man beachte auch, dass Regionen 1276 lichtempfindlich ausgebildet werden können oder durch ein lichtempfindliches Material ersetzt werden können.
  • Somit wird in einer Ausführungsform ein darunterliegendes Gitter aus Metall und ILD in einem Block-Copolymer (BCP) nachgebildet. Dies kann insbesondere dann der Fall sein, wenn der BCP-Abstand mit dem Abstand des darunterliegenden Gitters übereinstimmt. Das Polymergitter ist in einer Ausführungsform unempfindlich gegenüber gewissen kleinen Abweichungen von einem sehr gut ausgerichteten Gitter. Wenn beispielsweise kleine Plugs ein Oxid oder ein ähnliches Material effektiv da platzieren, wo ein sehr gut ausgerichtetes Gitter ein Metall aufweisen würde, kann trotzdem ein im Wesentlichen sehr gut ausgerichtetes Block-Copolymergitter erreicht werden.
  • In einer Ausführungsform, für die wiederum auf 12H Bezug genommen wird, ist die Dicke der Überzugsschicht 1270 mit Dreiblock-Copolymer der endgültigen Dicke eines ILD, das schlussendlich an ihrer Stelle ausgebildet wird, gleich oder nur wenig größer als diese. Wie weiter unten ausführlicher beschrieben wird, wird in einer Ausführungsform das Polymergitter nicht als Ätzresist ausgebildet, sondern vielmehr als Gerüst für eine darum herum erfolgende schlussendliche Züchtung einer permanenten ILD-Schicht. Somit kann die Dicke der Überzugsschicht 1270 mit Dreiblock-Copolymer wichtig sein, da sie verwendet werden kann, um die endgültige Dicke einer in der Folge ausgebildeten permanenten ILD-Schicht zu definieren. Das heißt, in einer Ausführungsform wird das in 12H gezeigte Polymergitter schließlich durch ein ILD/Metallleitung-Gitter mit ungefähr der gleichen Dicke ersetzt.
  • In einer Ausführungsform ist das Molekül der Dreiblock-Copolymerschicht 1270 ein polymeres Molekül, das aus einer Kette kovalent gebundener Monomere gebildet ist. In einem Dreiblock-Copolymer gibt es drei verschiedene Arten von Monomeren, und diese verschiedenen Arten von Monomeren sind hauptsächlich innerhalb von verschiedenen Blöcken oder zusammenhängenden Folgen von Monomeren enthalten. In einer Ausführungsform wird zuerst die Dreiblock-Copolymerschicht 1270 als Schichtabschnitt aus nicht organisiertem Block-Copolymer aufgetragen, der ein Block-Copolymermaterial einschließt, das z.B. durch einen Bürsten- oder anderen Beschichtungsprozess aufgetragen wird. Der Aspekt der fehlenden Organisation betrifft Szenarios, wo das Block-Copolymer bei seiner Abscheidung keine vollständige Phasentrennung und/oder Selbstorganisation durchgemacht hat, um Nanostrukturen zu bilden. In dieser nicht organisierten Form sind die Block-Copolymermoleküle relativ hochgradig regellos, wobei die unterschiedlichen Polymerblöcke relativ hochgradig regellos ausgerichtet und angeordnet sind, was im Gegensatz zu der in Verbindung mit der resultierenden Struktur von 12H erörterten organisierten Dreiblock-Copolymerschicht 1270 steht. Für die Art der Auftragung des Schichtabschnitts aus nicht organisiertem Block-Copolymer gibt es eine Reihe verschiedener Möglichkeiten. Zum Beispiel kann das Block-Copolymer in einem Lösungsmittel gelöst und dann durch Rotationsbeschichtung über der Oberfläche aufgebracht werden. Alternativ dazu kann das nicht organisierte Block-Copolymer durch Sprühbeschichtung, Tauchbeschichtung oder anderweitig über der Oberfläche aufgebracht oder aufgetragen werden. Andere Möglichkeiten zum Auftragen von Block-Copolymeren, ebenso wie andere Möglichkeiten, die im Stand der Technik für die Auftragung ähnlicher organischer Beschichtungen bekannt sind, können möglicherweise verwendet werden. Dann kann die nicht organisierte Schicht einen Schichtabschnitt aus organisiertem Block-Copolymer bilden, z.B. durch Mikrophasentrennung und/oder Selbstorganisation des Schichtabschnitts aus nicht organisiertem Block-Copolymer. Die Mikrophasentrennung und/oder die Selbstorganisation findet bzw. finden durch Umgruppierung und/oder Neupositionierung der Block-Copolymermoleküle und insbesondere durch die Umgruppierung und/oder Neupositionierung der unterschiedlichen Polymerblöcke der Block-Copolymermoleküle statt, wodurch die Dreiblock-Copolymerschicht 1270 gebildet wird.
  • In einer solchen Ausführungsform kann eine Temperbehandlung auf das nicht organisierte Block-Copolymer angewendet werden, um die Mikrophasentrennung und/oder die Selbstorganisation zu initiieren, zu beschleunigen, ihre Qualität zu verbessern oder sie auf andere Weise zu fördern, um die Dreiblock-Copolymerschicht 1270 zu bilden. In manchen Ausführungsformen kann die Temperbehandlung eine Behandlung einschließen, die dazu dient, eine Temperatur des Block-Copolymers zu erhöhen. Ein Beispiel für eine solche Behandlung ist das Ausheizen der Schicht, das Erwärmen der Schicht in einem Ofen oder unter einer Wärmelampe, das Anwenden von Infrarotstrahlung auf die Schicht oder eine anderweitige Anwendung von Wärme auf die Schicht oder Erhöhung von deren Temperatur. Die gewünschte Temperaturerhöhung reicht im Allgemeinen aus, um die Rate der Mikrophasentrennung und/oder Selbstorganisation des Block-Copolymers deutlich zu erhöhen, ohne das Block-Copolymer oder irgendwelche anderen wichtigen Materialien oder Strukturen des Substrats der integrierten Schaltung zu schädigen. Üblicherweise kann die Erwärmung im Bereich zwischen 50 °C bis etwa 300 °C oder zwischen etwa 75 °C bis etwa 250 °C liegen, aber nicht die Grenzen für den thermischen Abbau des Block-Copolymers oder des Substrats der integrierten Schaltung überschreiten. Das Erwärmen oder Tempern kann dabei helfen, Energie an die Block-Copolymermoleküle abzugeben, um diese mobiler/flexibler zu machen, um die Rate der Mikrophasentrennung zu erhöhen und/oder die Qualität der Mikrophasentrennung zu verbessern. Diese Mikrophasentrennung oder Umgruppierung/Neupositionierung von Block-Copolymermolekülen kann zu einer Selbstorganisation führen, durch die extrem kleine Strukturen (z.B. im Größenbereich von Nanometern) gebildet werden. Die Selbstorganisation kann unter dem Einfluss von Kräften wie etwa Oberflächenspannung, Anziehung und Abstoßung zwischen Molekülen und anderen oberflächenbedingten und chemisch bedingten Kräften auftreten.
  • In jedem Fall kann in manchen Ausführungsformen eine Selbstorganisation von Block-Copolymeren, ob nun aufgrund von hydrophob-hydrophilen Unterschieden oder aus anderen Gründen, verwendet werden, um extrem kleine periodische Strukturen (z.B. präzise beabstandete Strukturen oder Strecken im Nanometer-Größenbereich) in Form der Dreiblock-Copolymerschicht 12720 zu bilden. In manchen Ausführungsformen können sie verwendet werden, um Strecken im Nanometer-Größenbereich oder andere Strukturen im Nanometer-Größenbereich zu bilden, die schließlich verwendet werden können, um Via-Öffnungen zu bilden. In manchen Ausführungsformen kann eine gerichtete Selbstorganisation von Block-Copolymeren verwendet werden, um Vias zu bilden, die sich selbst an Verbindungen ausrichten, wie weiter unten ausführlicher beschrieben wird.
  • Man beachte, dass die beiden Komponenten einer Dreiblock-Copolymerstruktur, die oberhalb von Metallleitungen gebildet werden, kein Verhältnis von 1:1 aufweisen müssen (ein Verhältnis von 1:1 wurde in den 12C und 12H) gezeigt. Zum Beispiel kann die dritte abgesonderte Blockkomponente in einer geringeren Menge vorhanden sein als die zweite Komponente und kann eine Säulenstruktur aufweisen, die von der zweiten abgesonderten Blockkomponente umgeben ist. Die 12I-12L zeigen Planansichten und entsprechende Querschnittsansichten, die verschiedene Operationen in einem Verfahren darstellen, in dem Dreiblock-Copolymere zum Ausbilden selbstjustierender Vias oder Kontakte für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung verwendet werden.
  • Wie in 121 gezeigt ist, zeigen eine Planansicht und entsprechende Querschnittsansichten entlang der Achse d-d' die dritte Komponente 1276 in einer geringeren Menge als die zweite Komponente 1274. Die dritte abgesonderte Blockkomponente 1276 weist eine Säulenstruktur auf, die von der zweiten abgesonderten Blockkomponente 1274 umgeben ist.
  • In 12J zeigt eine Planansicht, dass eine Auswahl bestimmter Einzelner 1292 aus der dritten abgesonderten Blockkomponente 1274 durch Lithographie durchgeführt wird, um schlussendlich Viaorte für eine obere Metallisierungsstruktur bereitzustellen.
  • Man beachte, dass 121 im Endeffekt eine nicht belichtete lichtempfindliche DSA-Struktur zeigt, während 12J eine belichtete lichtempfindliche DSA-Struktur zeigt. Im Gegensatz zu 12H demonstrieren die 12I und 12J ein Beispiel für eine Säulenstruktur, die ausgebildet werden kann, wenn sich viele Block-Copolymermoleküle mit ihren kürzeren Blöcken einer der polymerbildenden Säulenstrukturen ausrichten, die von einer Phase umgeben sind, welche die längeren Blöcke eines anderen Polymers aufweist. Gemäß einer Ausführungsform der vorliegenden Offenbarung sorgen die lichtaktiven Eigenschaften einer DSA-Struktur für die Fähigkeit, eine Art einer DSA-Polymerregion effektiv zu „pluggen“ oder zu „schneiden“), z.B. durch Elektronenstrahl- oder EUV-Belichtung.
  • In 12K zeigt eine Planansicht belichtete bzw. freigelegte/chemisch erweiterte Regionen 1294 in den Belichtungszonen. Durch Selektivität ist die einzige aktive Modifikation die des Materials der belichteten Abschnitte der dritten abgesonderten Blockkomponente 1276. Man beachte, dass die ausgewählten Regionen in 12K zwar bereits geräumt dargestellt sind, sie aber noch nicht geräumt sein müssen.
  • In 12L zeigen eine Planansicht und eine entsprechende Querschnittsansicht entlang der Achse e-e' eine postlithographische Entwicklung, um geräumte Regionen 1294 bereitzustellen. Die geräumten Regionen 1294 können schlussendlich für die Bildung von Vias verwendet werden.
  • Die oben beschriebene resultierende strukturierte DSA-Struktur von 12L (oder von den 12C, 12D, 12E oder 12H) kann schlussendlich als Gerüst verwendet werden, von dem aus schlussendlich permanente Schichten gebildet werden. Das heißt, es gibt Fälle, wo in einer finalen Struktur keines von den DSA-Materialien vorhanden ist, sondern diese für die direkte Herstellung einer finalisierten Verbindungsstruktur verwendet werden. In einer solchen Ausführungsform ersetzt ein permanentes ILD eine oder mehrere Regionen des DSA-Materials und eine anschließende Verarbeitung (wie etwa eine Herstellung von Metallleitungen) wird abgeschlossen. Das heißt, es ist möglich, dass alle DSA-Komponenten schlussendlich für eine finale selbstorganisierte Ausbildung von Vias und Plugs entfernt werden. In anderen Ausführungsformen kann zumindest ein Teil des DSA-Materials hinter der finalen Struktur verbleiben.
  • Es wird erneut auf die 12A-12C, 12G, 12H und 12I-12L Bezug genommen, in denen gezeigt ist, dass ein Verfahren zur Herstellung einer Verbindungsstruktur für einen Halbleiter-Die das Ausbilden einer unteren Metallisierungsschicht, die einander abwechselnde Metallleitungen und dielektrische Strecken aufweist, oberhalb eines Substrats beinhaltet. Eine Dreiblock-Copolymerschicht wird oberhalb der unteren Metallisierungsschicht ausgebildet. Die Dreiblock-Copolymerschicht wird aufgeteilt, um eine erste abgesonderte Blockkomponente über den dielektrischen Strecken der unteren Metallisierungsschicht auszubilden und um einander abwechselnde zweite und dritte abgesonderte Blockkomponenten auszubilden, die über den Metallleitungen der unteren Metallisierungsschicht angeordnet sind. Die dritte abgesonderte Blockkomponente ist lichtempfindlich. Das Verfahren beinhaltet auch eine Bestrahlung und Entwicklung ausgewählter Orte der dritten abgesonderten Blockkomponente, um Viaöffnungen über den Metallleitungen der unteren Metallisierungsschicht bereitzustellen.
  • In einer Ausführungsform weisen die einander abwechselnden zweiten und dritten abgesonderten Blockkomponenten ein Verhältnis von etwa 1:1 auf, wie in Verbindung mit den 12C und 12H beschrieben wurde. In einer anderen Ausführungsform weisen die einander abwechselnden zweiten und dritten abgesonderten Blockkomponente ein Verhältnis von X:1, zweite abgesonderte Blockkomponente zu dritte abgesonderte Blockkomponente, auf, wobei X größer ist als 1. In dieser Ausführungsform weist die dritte abgesonderte Blockkomponente eine Säulenstruktur auf, die von der zweiten abgesonderten Blockkomponente umgeben ist, wie in Verbindung mit 121 beschrieben wurde.
  • In einer Ausführungsform beinhaltet das Verfahren ferner anschließend an die Bestrahlung und Entwicklung ausgewählter Orte der dritten abgesonderten Blockkomponente für die Bereitstellung der Viaöffnungen die Verwendung der resultierenden strukturierten Dreiblock-Copolymerschicht als Gerüst, um eine zweite Ebene aus einander abwechselnden Metallleitungen und dielektrischen Strecken oberhalb von, gekoppelt mit und orthogonal zu der ersten Ebene von einander abwechselnden Metallleitungen und dielektrischen Strecken auszubilden. In einer Ausführungsform wird mindestens eine Komponente der Dreiblock-Copolymerschicht in der finalen Struktur behalten. In anderen Ausführungsformen werden jedoch alle Komponenten der Dreiblock-Copolymerschicht schlussendlich geopfert, in dem Sinne, dass keines der Materialien im finalen Produkt behalten wird. Ein Ausführungsbeispiel einer Implementierung der letztgenannten Ausführungsform wird nachstehend in Verbindung mit 13 beschrieben.
  • In einer Ausführungsform beinhaltet das Verfahren vor der Ausbildung der Dreiblock-Copolymerschicht ferner das Ausbilden einer ersten Molekülbürstenschicht auf den dielektrischen Strecken der unteren Metallisierungsschicht und das Ausbilden einer zweiten, anderen Molekülbürstenschicht auf den Metallleitungen der unteren Metallisierungsschicht, wofür Ausführungsbeispiele oben in Verbindung mit den 12A-12C beschrieben wurden. In einer Ausführungsform beinhaltet die Bestrahlung und Entwicklung der ausgewählten Orte der dritten abgesonderten Blockkomponente das Belichten der ausgewählten Orte der dritten abgesonderten Blockkomponente mit einer Extremultraviolett(EUV)-Quelle oder einer Elektronenstrahlquelle.
  • Nur als Beispiel für eine finale Struktur, die schlussendlich erhalten werden kann, zeigt 13 eine Planansicht und entsprechende Querschnittsansichten einer selbstjustierenden Viastruktur im Anschluss an die Bildung von Metallleitungen, Vias und Plugs gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen f-f' bzw. g-g' gezeigt ist, wird eine obere Ebene von Metallleitungen 1302 in einem dielektrischen Rahmen (z.B. auf der dielektrischen Schicht 1304 und angrenzend an dielektrische Strecken 1314 bereitgestellt. Die Metallleitungen 1302 sind mit darunterliegenden Metallleitungen 1262 durch die vorgegebenen Via-Orte (für die ein Beispiel 1306 in der Querschnittsansicht (a) gezeigt ist) gekoppelt und durch Plugs isoliert (wovon Beispiele Plugs 1308 und 1310 beinhalten). Die darunterliegenden Leitungen bzw. Strecken 1262 und 1264 können, wie oben in Verbindung mit 12G beschrieben, in einer Richtung ausgebildet werden, die orthogonal zu den Metallleitungen 1302 ist. Man beachte, dass in folgenden Herstellungsoperationen die dielektrischen Strecken 1314 entfernt werden können, um Luftspalte zwischen den resultierenden Metallleitungen 1302 zu bilden.
  • Eine resultierende Struktur, wie sie in Verbindung mit 13 beschrieben wurde, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 13 die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. In jedem Fall ermöglichen die resultierenden Strukturen die Herstellung von Vias, die direkt auf darunterliegenden Metallleitungen zentriert sind. Das heißt, die Vias können breiter als, schmäler als oder von gleicher Dicke sein wie die darunterliegenden Metallleitungen, z.B. wegen einer nicht-perfekten selektiven Ätzverarbeitung. Trotzdem werden in einer Ausführungsform die Mitten der Vias direkt an den Mitten der Metallleitungen ausgerichtet (damit in Übereinstimmung gebracht). Somit ist in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen. Man beachte, dass die obigen Beispiele ihren Schwerpunkt auf der Bildung von Vias/Kontakten haben. In anderen Ausführungsformen können ähnliche Methoden jedoch verwendet werden, um Regionen für einen Leitungsendabschluss (Plugs) innerhalb einer Metallleitungsschicht zu bewahren oder zu bilden.
  • Man beachte, dass hierin beschriebene Prozessflüsse als vorwiegend DSA-basiert beschrieben sein können (wie mehrere der oben beschriebenen Prozessabläufe), während andere vorwiegend auf Ätzung basieren können. Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine tief-subtraktive Methode für die BEOL-Verarbeitung implementiert. Mindestens eine hierin beschriebene Ausführungsform ist auf subtraktive Methoden für eine selbstjustierende Via- und Plugstrukturierung und eine daraus entstehende Struktur gerichtet. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen. Überdeckungsprobleme, die für eine Via- und Plug-Strukturierung der nächsten Generation zu erwarten sind, können durch eine oder mehrere der hierin beschriebenen Methoden in Angriff genommen werden. Im Allgemeinen beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen ein subtraktives Verfahren zur Vorab-Ausbildung jedes Vias und Plugs mittels der bereits geätzten Gräben. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Vias und Plugs behalten werden sollen.
  • Die 14A -14N zeigen Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung jeder beschriebenen Operation ist eine dreidimensionale Schrägschnittansicht bereitgestellt.
  • 14A zeigt eine Ausgangspunktstruktur 1400 für einen subtraktiven Via- und Plug-Prozess im Anschluss an eine Herstellung tiefer Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14A gezeigt ist, weist die Struktur 1400 Metallleitungen 1402 auf, die zwischen Zwischenschicht-Dielektrikum(ILD)-Strecken 1404 treten. Die ILD-Strecken 1404 weisen eine Plug-Abdeckschicht 1406 auf. In einer Ausführungsform, die weiter unten in Verbindung mit 14E näher beschrieben wird, wird die Plug-Abdeckschicht 1406 später strukturiert, um schlussendlich alle möglichen Orte für eine spätere Plug-Ausbildung zu definieren.
  • In einer Ausführungsform ist die Gitterstruktur, die von Metallleitungen 1402 gebildet wird, eine Gitterstruktur mit engen Abständen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur von 14A Metallleitungen aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen. Die Struktur kann durch eine Abstandshalbierungs- oder Abstandsviertelungsmethode hergestellt werden. Man beachte auch, dass manche von den Leitungen 1402 mit darunterliegenden Vias zum Koppeln mit einer vorangehenden Verbindungsschicht assoziiert sein können.
  • In einer Ausführungsform werden die Metallleitungen 1402 durch Strukturieren von Gräben in ein ILD-Material (z.B. das ILD-Material der Strecken 1404), auf dem die Plug-Abdeckschicht 1406 ausgebildet ist, ausgebildet. Die Gräben werden dann mit Metall gefüllt und, falls nötig, bis auf die Plug-Abdeckschicht 1406 planarisiert. In einer Ausführungsform beinhaltet der Metallgraben- und Füllprozess Merkmale mit großem Seitenverhältnis. Zum Beispiel liegt in einer Ausführungsform das Seitenverhältnis von Metallleitungshöhe (h) zu Metallleitungsbreite (w) ungefähr im Bereich von 5-10.
  • 14B zeigt die Struktur von 14A im Anschluss an eine Abtragung der Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14B gezeigt ist, werden die Metallleitungen 1402 selektiv abgetragen, um Metallleitungen 1408 einer ersten Ebene bereitzustellen. Die Abtragung wird selektiv in Bezug auf die ILD-Strecken 1404 und die Plug-Abdeckschicht 1406 durchgeführt. Die Abtragung kann durch Ätzen anhand von Trockenätzen, Nassätzen oder eine Kombination davon durchgeführt werden. Das Ausmaß der Abtragung kann durch die angestrebte Dicke (th) der Metallleitungen 1408 der ersten Ebene, die als geeignete leitende Verbindungsleitungen innerhalb einer Back-End-of-Line(BEOL)-Verbindungsstruktur verwendet werden sollen, bestimmt werden.
  • 14C zeigt die Struktur von 14B im Anschluss an eine Hartmaskenfüllung in den abgetragenen bzw. vertieften Regionen der abgetragenen Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14C gezeigt ist, wird eine Hartmaskenschicht 1410 in den Regionen ausgebildet, die während der Abtragung, um die Metallleitungen 1408 der ersten Ebene auszubilden, ausgebildet wurden. Die Hartmaskenschicht 1410 kann durch einen Prozess der Materialabscheidung und chemisch-mechanischen Planarisierung (CMP) bis auf die Ebene der Plug-Abdeckschicht 1406 oder durch einen nur von unten nach oben gerichteten gesteuerten Züchtungsprozess ausgebildet werden. In einer konkreten Ausführungsform umfasst die Hartmaskenschicht 1410 ein kohlenstoffreiches Material.
  • 14D zeigt die Struktur von 14C im Anschluss an eine Abscheidung und Strukturierung der Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14D gezeigt ist, wird auf oder über der Hartmaskenschicht 1410 und der Plug-Abdeckschicht 1406 eine zweite Hartmaskenschicht 1412 ausgebildet. In einer solchen Ausführungsform wird die zweite Hartmaskenschicht 1412 mit einer Gitterstruktur ausgebildet, die orthogonal zur Gitterstruktur der Metallleitungen 1408 der ersten Ebene/ILD-Strecken 1404 ist, wie in 14D bildlich dargestellt ist. In einer konkreten Ausführungsform umfasst die zweite Hartmaskenschicht 1412 ein antireflektives Überzugsmaterial auf Siliziumbasis. In einer Ausführungsform ist die Gitterstruktur, die von der zweiten Hartmaskenschicht 1412 gebildet wird, eine Gitterstruktur mit engen Abständen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden, wie dies in der Technik bekannt ist. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der zweiten Hartmaskenschicht 1412 von 14D Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen.
  • 14E zeigt die Struktur von 14D im Anschluss an eine Ausbildung von Gräben, die unter Verwendung der Struktur der Hartmaske von 14D definiert werden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14E gezeigt ist, werden die freiliegenden Regionen der Hartmaskenschicht 1410 und der Plug-Abdeckschicht 1406 (d.h. die nicht durch 1412 geschützten) geätzt, um Gräben 1414 zu bilden. Die Ätzung endet an den oberen Oberflächen der Metallleitungen 1408 der ersten Ebene und der ILD-Strecken 1404 und legt diese somit frei.
  • 14F zeigt die Struktur von 14E im Anschluss an eine ILD-Ausbildung in den Gräben von 14E und die Entfernung der Hartmaske gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14F gezeigt ist, werden in den Gräben 1414 von 14E zweite ILD-Strecken 1416 ausgebildet. In einer Ausführungsform wird fließfähiges ILD-Material verwendet, um die Gräben 1414 zu füllen. In einer Ausführungsform werden die Gräben 1414 gefüllt, und das Füllmaterial wird anschließend planarisiert. Die Planarisierung kann ferner verwendet werden, um die zweite Hartmaskenschicht 1412 zu entfernen, wodurch die Hartmaskenschicht 1410 und die Plug-Abdeckschicht 1406 erneut freigelegt werden, wie in 14F bildlich dargestellt ist.
  • In einer Ausführungsform, für die erneut auf 14F verwiesen wird, weist die resultierende Struktur eine gleichmäßige ILD-Struktur (ILD-Strecken 1404 + ILD-Strecken 1416) auf. Die Orte aller möglicher Plugs werden von den verbliebenen Abschnitten der Plug-Abdeckschicht 1406 besetzt, während alle möglichen Via-Orte von den verbliebenen Abschnitten der Hartmaskenschicht 1410 besetzt werden. In einer solchen Ausführungsform umfassen die ILD-Strecken 1404 und die ILD-Strecke 1416 das gleiche Material. In einer anderen solchen Ausführungsform umfassen die ILD-Strecken 1404 und die ILD-Strecken 1416 voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer konkreten Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien der ILD-Strecken 1404 und der ILD-Strecken 1416 in der finalen Struktur wahrnehmbar sein. Ferner gibt es in einer Ausführungsform keine eindeutige Ätzstoppschicht, wo die ILD-Strecken 1404 und die ILD-Strecken 1416 einander treffen, im Gegensatz zur herkömmlichen Einfach- oder Dual-Damascene-Strukturierung.
  • 14G zeigt die Struktur von 14F im Anschluss an eine Entfernung der verbliebenen Abschnitte der Hartmaskenschicht, die alle möglichen Via-Orte besetzen, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14G gezeigt ist, werden die verbliebenen Abschnitte der Hartmaskenschicht 1410 selektiv entfernt, um Öffnungen 1418 für alle möglichen Via-Orte zu bilden. In einer solchen Ausführungsform umfasst die Hartmaskenschicht 1410 im Wesentlichen Kohlenstoff und wird mit einem Veraschungsprozess selektiv entfernt.
  • Im Allgemeinen beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen ein subtraktives Verfahren zur Vorab-Ausbildung jedes Vias und Plugs mittels der bereits geätzten Gräben. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Vias und Plugs behalten werden sollen. Solche Operationen können unter Verwendung von „Photobuckets“ dargestellt werden, aber der Auswahlprozess kann auch unter Verwendung einer herkömmlicheren Methode durchgeführt werden, die eine Fotolackbelichtung und ILD-Wiederauffüllung beinhaltet. Es sei auch klargestellt, dass Ausführungsformen nicht auf das Konzept von Photobuckets beschränkt sind, sondern in großem Umfang auf Strukturen angewendet werden können die unter Verwendung einer von unten nach oben ablaufenden und/oder einer gerichteten Selbstorganisations(DSA)-Methode hergestellt worden sind. Zusätzliche Ausführungsformen, die auf die Herstellung und Verwendung von Photobuckets gerichtet sind, werden nachstehend in Ausführungsformen abseits der vorliegenden Ausführungsformen der 14A-14N und 15A-15D näher beschrieben-.
  • 14H zeigt die Struktur von 14G im Anschluss an eine Photobucket-Ausbildung an allen möglichen Via-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14H gezeigt ist, werden Photobuckets 1420 an allen möglichen Via-Orten oberhalb freiliegender Abschnitte der Metallleitungen 1408 der ersten Ebene ausgebildet. In einer Ausführungsform werden die Öffnungen 1418 von 14G mit einem ultraschnell wirkenden Fotolack oder Elektronenstrahlresist oder anderem lichtempfindlichen Material gefüllt. In einer solchen Ausführungsform wird ein thermisch bedingtes Rückfließen von Polymer in die Öffnungen 1418 verwendet, an das sich eine Rotationsbeschichtung anschließt. In einer Ausführungsform wird der schnell wirkende Fotolack durch Entfernen eines Quenchers von einem vorhandenen Fotolackmaterial hergestellt. In einer anderen Ausführungsformen werden die Photobuckets 1420 durch einen Rückätzprozess und/oder einen Lithographie-/Schrumpfungs-/Ätzprozess ausgebildet. Man beachte, dass die Photobuckets nicht mit wirklichem Fotolack gefüllt werden müssen, solange das Material als lichtempfindlicher Schalter wirkt.
  • 141 zeigt die Struktur von 14H im Anschluss an eine Auswahl von Via-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 141 dargestellt ist, werden die Photobuckets 1420 von 14H an ausgewählten Via-Orten entfernt. An Orten, die nicht für die Ausbildung von Vias ausgewählt worden sind, werden die Photobuckets 1420 behalten, in ein permanentes ILD-Material umgewandelt oder durch ein permanentes ILD-Material ersetzt. Als Beispiel zeigt 141 einen Via-Ort 1422, von dem ein entsprechendes Photobucket 1420 entfernt worden ist, um einen Abschnitt von einer der Metallleitungen 1408 der ersten Ebene freizulegen. Die anderen Orte, die bereits zuvor von den Photobuckets 1420 besetzt wurden, sind nun in 141 als Regionen 1424 gezeigt. Die Orte 1424 wurden nicht für die Ausbildung von Vias ausgewählt und bilden stattdessen einen Teil der finalen ILD-Struktur. In einer Ausführungsform wird das Material der Photobuckets 1420 an den Orten 1424 als finales ILD-Material behalten. In einer anderen Ausführungsform wird das Material der Photobuckets 1420 an den Orten 1424 modifiziert, z.B. durch Vernetzung, um ein finales ILD-Material zu bilden. In einer noch anderen Ausführungsform wird das Material der Photobuckets 1420 an den Orten 1424 durch ein finales ILD-Material ersetzt.
  • Es wird erneut auf 14L Bezug genommen, wo für die Ausbildung eines Via-Ortes 1422 Lithographie verwendet wird, um das entsprechende Photobucket 1420 freizulegen. Jedoch können die Anforderungen an die Lithographie gelockert werden, und eine Fehlausrichtungstoleranz kann hoch sein, da das Photobucket 1420 von nicht durch Photolyse abbaubaren Materialien umgeben ist. Ferner kann in einer Ausführungsform ein solches Photobucket statt einer Belichtung bei z.B. 30 mJ/cm2 mit z.B. 3 mJ/cm2 belichtet werden. Normalerweise würde das eine sehr schlechte CD-Steuerung und Rauigkeit zur Folge haben. Aber in diesem Fall wird die CD- und Rauigkeitssteuerung vom Photobucket 1420 definiert, das sehr gut gesteuert und definiert werden kann. Somit kann die Photobucket-Methode verwendet werden, um einen Bildgebung/Dosis-Kompromiss zu umgehen, der den Durchsatz von lithographischen Prozessen der nächsten Generation beschränkt.
  • In einer Ausführungsform, für die erneut auf 141 verwiesen wird, beinhaltet die resultierende Struktur eine gleichmäßige ILD-Struktur (ILD 1424 + ILD-Strecken 1404 + ILD-Strecken 1416). In einer solchen Ausführungsform umfassen zwei oder alle vom ILD 1424, von den ILD-Strecken 1404 und der ILD-Strecke 1416 das gleiche Material. In einer anderen solchen Ausführungsform umfassen das ILD 1424, die ILD-Strecken 1404 und die ILD-Strecken 1416 voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer konkreten Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien des ILD 1424 und den ILD-Strecken 1404 (z.B. eine Naht 1497) und/oder zwischen dem ILD 1424 und den ILD-Strecken 1416 (z.B. eine Naht 1498) in der finalen Struktur wahrnehmbar sein.
  • 14J zeigt die Struktur von 141 im Anschluss an eine Hartmaskenfüllung in den Öffnungen von 141 gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14J gezeigt ist, wird eine Hartmaskenschicht 1426 an einem Via-Ort 1422 und oberhalb von ILD-Orten 1424 ausgebildet. Die Hartmaskenschicht 1426 kann durch Abscheiden und anschließende chemisch-mechanische Planarisierung ausgebildet werden.
  • 14K zeigt die Struktur von 14J im Anschluss an eine Entfernung der Plug-Abdeckschicht und eine Ausbildung einer zweiten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14K gezeigt ist, wird die Plug-Abdeckschicht 1406 entfernt, z.B. durch einen selektiven Ätzprozess. Dann werden Photobuckets 1428 an allen möglichen Plug-Orten oberhalb der freiliegenden Abschnitte der ILD-Strecken 1404 ausgebildet. In einer Ausführungsform werden Öffnungen, die bei der Entfernung der Plug-Abdeckschicht 1406 ausgebildet werden, mit einem ultraschnell wirkenden Fotolack oder Elektronenstrahlenresist oder anderem lichtempfindlichen Material gefüllt. In einer solchen Ausführungsform wird ein thermisch bedingtes Rückfließen von Polymer in die Öffnungen verwendet, an das sich eine Rotationsbeschichtung anschließt. In einer Ausführungsform wird der schnell wirkende Fotolack durch Entfernen eines Quenchers von einem vorhandenen Fotolackmaterial hergestellt. In einer anderen Ausführungsformen werden die Photobuckets 1428 durch einen Rückätzprozess und/oder einen Lithographie-/Schrumpfungs-/Ätzprozess ausgebildet. Man beachte, dass die Photobuckets nicht mit wirklichem Fotolack gefüllt werden müssen, solange das Material als lichtempfindlicher Schalter wirkt.
  • 14L zeigt die Struktur von 14K im Anschluss an eine Auswahl von Plug-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14L dargestellt ist, werden die Photobuckets 1428 von 14K an Orten, die nicht für Plugs ausgewählt worden sind, entfernt. An Orten, die für die Ausbildung von Plugs ausgewählt worden sind, werden die Photobuckets 1428 behalten, in ein permanentes ILD-Material umgewandelt oder durch ein permanentes ILD-Material ersetzt. Als Beispiel zeigt 14L Orte 1430, die nicht für Plugs vorgesehen sind, von denen entsprechende Photobuckets 1428 entfernt werden, um einen Abschnitt der ILD-Strecken 1404 freizulegen. Der andere Ort, der bereits zuvor vom Photobucket 1428 besetzt wurde, ist nun in 14L als Region 1432 gezeigt. Die Region 1432 ist für die Plug-Ausbildung ausgewählt und bildet einen Teil der finalen ILD-Struktur. In einer Ausführungsform wird das Material des entsprechenden Photobucket 1428 in der Region 1432 als finales ILD-Material behalten. In einer anderen Ausführungsform wird das Material des Photobucket 1428 in der Region 1432 modifiziert, z.B. durch Vernetzung, um ein finales ILD-Material zu bilden. In einer noch anderen Ausführungsform wird das Material des Photobucket 1428 in der Region 1432 durch ein finales ILD-Material ersetzt. In jedem Fall kann die Region 1432 auch als Plug 1432 bezeichnet werden.
  • Es wird erneut auf 14L Bezug genommen, wo für die Ausbildung von Öffnungen 1430 Lithographie verwendet wird, um die entsprechenden Photobuckets 1428 freizulegen. Jedoch können die Anforderungen an die Lithographie gelockert werden, und eine Fehlausrichtungstoleranz kann hoch sein, da die Photobuckets 1428 von nicht durch Photolyse abbaubaren Materialien umgeben sind. Ferner können in einer Ausführungsform solche Photobuckets statt einer Belichtung bei z.B. 30 mJ/cm2 mit z.B. 3 mJ/cm2 belichtet werden. Normalerweise würde das eine sehr schlechte CD-Steuerung und Rauigkeit zur Folge haben. Aber in diesem Fall wird die CD- und Rauigkeitssteuerung von den Photobuckets 1428 definiert, die sehr gut gesteuert und definiert werden können. Somit kann die Photobucket-Methode verwendet werden, um einen Bildgebung/Dosis-Kompromiss zu umgehen, der den Durchsatz von lithographischen Prozessen der nächsten Generation beschränkt.
  • In einer Ausführungsform, für die erneut auf 14L verwiesen wird, beinhaltet die resultierende Struktur eine gleichmäßige ILD-Struktur (Plug 1432 + ILD 1424 + ILD-Strecken 1404 + ILD-Strecken 1416). In einer solchen Ausführungsform umfassen zwei oder mehr vom Plug 1432, vom ILD 1424, von den ILD-Strecken 1404 und der ILD-Strecke 1416 das gleiche Material. In einer anderen solchen Ausführungsform umfassen das Plug 1432, das ILD 1424, die ILD-Strecken 1404 und die ILD-Strecken 1416 voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer konkreten Ausführungsform eine Abgrenzung, wie etwa eine Naht, zwischen den Materialien des Plug 1432 und der ILD-Strecken 1404 (z.B. eine Naht 1499) und/oder zwischen dem Plug 1432 und den ILD-Strecken 1416 (z.B. eine Naht 1496) in der finalen Struktur wahrnehmbar sein.
  • 14M zeigt die Struktur von 14L im Anschluss an die Entfernung der Hartmaskenfüllung von 14L gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14M gezeigt ist, wird die Hartmaskenschicht 1426 selektiv entfernt, um Metallleitungs- und Viaöffnungen 1434 auszubilden. In einer solchen Ausführungsform umfasst die Hartmaskenschicht 1426 im Wesentlichen Kohlenstoff und wird mit einem Veraschungsprozess selektiv entfernt.
  • 14N zeigt die Struktur von 14M im Anschluss an eine Ausbildung von Metallleitungen und Vias gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 14N gezeigt ist, werden Metallleitungen 1434 und Vias (eines ist bei 1438 gezeigt) bei einer Metallbefüllung der Öffnungen 1434 von 14M ausgebildet. Die Metallleitungen 1436 werden durch Vias 1438 mit den darunterliegenden Metallleitungen 1408 gekoppelt und durch Plugs 1432 unterbrochen. In einer Ausführungsform werden die Öffnungen 1434 mit einer Damascene-Methode gefüllt, wo Metall verwendet wird, um die Öffnungen zu überfüllen, und dann zurückplanarisiert, um die in 14N gezeigte Struktur bereitzustellen. Somit kann der Prozess des Abscheidens und Planarisierens von Metall (z.B. von Kupfer und zugehörigen Barriere- und Keimschichten), um Metallleitungen und Vias mit der oben genannten Methode zu bilden, einer sein, der typischerweise für eine einfache oder eine duale Standard-Back-End-of-Line(BEOL)-Damascene-Verarbeitung verwendet wird. In einer Ausführungsform können in folgenden Herstellungsoperationen die ILD-Strecken 1416 entfernt werden, um Luftspalte zwischen den resultierenden Metallleitungen 1436 bereitzustellen.
  • Die Struktur von 14N kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 14N die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. In jedem Fall ermöglichen die resultierenden Strukturen die Herstellung von Vias, die direkt auf darunterliegenden Metallleitungen zentriert sind. Das heißt, die Vias können breiter als, schmäler als oder von gleicher Dicke sein wie die darunterliegenden Metallleitungen, z.B. wegen einer nicht-perfekten selektiven Ätzverarbeitung. Trotzdem werden in einer Ausführungsform die Mitten der Vias direkt an den Mitten der Metallleitungen ausgerichtet (damit in Übereinstimmung gebracht). Ferner wird das ILD, das verwendet wird, um Plugs und Vias auszuwählen, wahrscheinlich sehr verschieden sein vom primären ILD und wird in beiden Richtungen sehr stark selbstjustierend sein. Somit ist in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen. Es wird erneut auf 14N Bezug genommen, wo gezeigt ist, dass dann eine selbstjustierende Herstellung durch die subtraktive Methode in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, kann die erneute Durchführung des beschriebenen Prozesses beinhalten. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • Der oben beschriebene Prozessablauf beinhaltet die Verwendung einer tiefen Grabenätzung. In einem anderen Aspekt beinhaltet eine flachere Methode einen subtraktiven Verarbeitungsablauf, der nur selbstjustierende Plugs beinhaltet. Als Beispiel zeigen die 15A-15D Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Plug-Strukturierung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind für jede beschriebene Operation Planansichten oben gezeigt und entsprechende Querschnittsansichten sind unten gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Planansichten bezeichnet.
  • 15A zeigt eine Planansicht und entsprechende Querschnittsansichten eines Ausgangs-Plug-Gitters gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, weist eine Plug-Gitterstruktur 1500 eine ILD-Schicht 1502 auf, auf der eine erste Hartmaskenschicht 1504 angeordnet wird. Eine zweite Hartmaskenschicht 1508 wird auf der ersten Hartmaskenschicht 1504 angeordnet und so strukturiert, dass sie eine Gitterstruktur aufweist. Eine dritte Hartmaskenschicht 1506 wird auf der zweiten Hartmaskenschicht 1508 und auf der ersten Hartmaskenschicht 1504 angeordnet. Außerdem verbleiben Öffnungen 1510 zwischen der Gitterstruktur der zweiten Hartmaskenschicht 1508 und der dritten Hartmaskenschicht 1506.
  • 15B zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 15A im Anschluss an eine Photobucket-Füllung, -Belichtung und - Entwicklung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden in den Öffnungen 1510 von 15A Photobuckets 1512 ausgebildet. Anschließend werden ausgewählte Photobuckets belichtet und entfernt, um ausgewählte Plug-Orte 1514 bereitzustellen, wie in 15B bildlich dargestellt ist.
  • 15C zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 15B im Anschluss an eine Plug-Bildung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden in den Öffnungen 1514 von 15B Plugs 1516 ausgebildet. In einer Ausführungsform werden die Plugs 1516 durch eine Rotationsbeschichtungsmethode und/oder eine Abscheidungs- und Rückätzungsmethode ausgebildet.
  • 15D zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 15C im Anschluss an die Entfernung einer Hartmaskenschicht und der verbliebenen Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, wird die dritte Hartmaskenschicht 1506 entfernt, wodurch die zweite Hartmaskenschicht 1508 und die Plugs 1516 zurückbleiben. Die resultierende Struktur (zweite Hartmaskenschicht 1508 und Plugs 1516) kann anschließend verwendet werden, um die Hartmaskenschicht 1504 für die endgültige Strukturierung der ILD-Schicht 1502 zu strukturieren. In einer Ausführungsform umfasst die dritte Hartmaskenschicht 1506 im Wesentlichen Kohlenstoff und wird durch die Durchführung eines Veraschungsprozesses entfernt.
  • Somit kann die Struktur von 15D anschließend als Grundlage für die Ausbildung von ILD-Strecken- und Plug-Strukturen verwendet werden. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. In jedem Fall ermöglichen die resultierenden Strukturen die Herstellung selbstjustierender Plugs. Somit ist in einer Ausführungsform ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Methoden, die auf dielektrischen Hauben basieren, und/oder Methoden, die auf einer Hartmaskenselektivität basieren, für die Herstellung von Back-End-of-Line(BEOL)-Verbindungen und die resultierenden Strukturen beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Verfahren gerichtet, für die eine dielektrische Haube für eine gerichtete Selbstorganisation (DSA) oder eine selektive Züchtung verwendet wird, um die Herstellung selbstjustierender Verbindungen zu ermöglichen. Ausführungsformen können eine oder mehrere von der Verwendung einer dielektrischen Haube, einer gerichteten Selbstorganisation, einer selektiven Abscheidung, einer Selbstjustierung oder einer Strukturierung von Verbindungen mit engem Abstand betreffen oder implementieren. Ausführungsformen können implementiert werden, um durch Selbstjustierung mit „Färbung“ durch selektive Abscheidung und eine anschließende gerichtete Selbstorganisation einen verbesserten Via-Kurzschlussspielraum, z.B. für Sub-10-nm-Technologieknoten, bereitzustellen.
  • Um dies in einen Kontext zu stellen, so können aktuelle Lösungen für die Verbesserung eines Kurzschlussspielraums beinhalten: (1) Verwenden einer Metallvertiefung bzw. -abtragung, um einander abwechselnde Metallgräben mit unterschiedlichen Hartmasken zu füllen, (2) Verwenden von Metallabdeckungen unterschiedlicher „Farbe“ als Schablone für die gerichtete Selbstorganisation (DSA) oder selektive Züchtung oder (3) Abtragen des Metalls oder des ILD, um das Via zur Leitung bzw. Strecke von Interesse „hinzulenken“. Grundsätzlich benötigen typische Prozessflüsse für die Verbesserung eines Via-Kurzschlussspielraums eine Metallabtragung. Das Abtragen von Metall mit annehmbarer Gleichmäßigkeit hat sich in vielen derartigen Verarbeitungsabläufen jedoch als schwierig erwiesen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird mindestens eines der genannten Probleme durch Implementieren eines Verfahrens zur Abscheidung einer nicht-formfolgenden dielektrischen Abdeckung auf der Hälfte einer Population von Verbindungen angegangen. Die nicht-formfolgende dielektrische Abdeckung wird als Schablone für die selektive Züchtung oder gerichtete Selbstorganisation verwendet. In einer solchen Ausführungsform kann eine solche Methode auf jede metallene Verbindungsschicht und möglicherweise auf Gate-Kontakte angewendet werden. In einer konkreten Ausführungsform wird eine Notwendigkeit für eine Metallabtragung, wie sie in Methoden des Standes der Technik besteht, aus den hierin beschriebenen Verarbeitungsabläufen effektiv eliminiert.
  • Als allgemeinen Überblick über hierin enthaltene Ideen zeigen die 16A-16D Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
  • Wie in 16A gezeigt ist, wird eine Ausgangspunktstruktur 1600 als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 1600 beinhaltet eine Hartmaskenschicht 1604, die auf einer Zwischenschicht-Dielektrikum(ILD)-Schicht 1602 angeordnet ist. Wie oben beschrieben, kann die ILD-Schicht oberhalb eines Substrats angeordnet werden und wird in einer Ausführungsform über einer darunterliegenden Metallisierungsschicht angeordnet. Öffnungen, die in der ILD-Schicht 1602 ausgebildeten Gräben entsprechen, werden in der Hartmaskenschicht 1604 ausgebildet. Jeder zweite Graben wird mit einer leitenden Schicht gefüllt, um erste Metallleitungen 1606 (und in manchen Fällen entsprechende leitende Vias 1607) bereitzustellen. Die verbliebenen Gräben werden nicht gefüllt, wodurch offene Gräben 1608 bereitgestellt werden. In einer Ausführungsform wird die Ausgangsstruktur 1600 durch Strukturieren einer Hartmaske und ILD-Schicht und dann Metallisieren einer Hälfte der Population von Metallgräben (z.B. jedes zweiten Grabens) hergestellt, wodurch die andere Hälfte der Population offen bleibt. In einer Ausführungsform werden die Gräben im ILD unter Verwendung eines Abstandsteilungs-Strukturierungsprozessflusses strukturiert. Man beachte, dass die nachstehend beschriebene, folgende Prozessoperation zunächst eine Abstandsteilung beinhalten kann, aber nicht muss. In jedem Fall, aber insbesondere dann, wenn auch eine Abstandsteilung verwendet wird, können Ausführungsformen eine fortgesetzte Skalierung des Abstands von Metallschichten über das Auflösungsvermögen von lithographischen Geräten des Standes der Technik hinaus ermöglichen.
  • 16B zeigt die Struktur von 16A im Anschluss an die Abscheidung einer nicht-formfolgenden dielektrischen Abdeckschicht 1610 über der Struktur 1600. Die nicht-formfolgende dielektrische Abdeckschicht 1610 weist einen ersten Abschnitt 1600A auf, der freiliegende Abschnitte der Hartmaskenschicht 1604 und der Metallleitungen 1606 abdeckt. Die nicht-formfolgende dielektrische Abdeckschicht 1610 weist einen zweiten Abschnitt 1610B auf, der an den ersten Abschnitt 1610A anschließt. Der zweite Abschnitt 1610B der nicht-formfolgenden dielektrischen Abdeckschicht 1610 wird in den offenen Gräben 1608 entlang Seitenwänden 1608A und des Bodens 1608B der offenen Gräben 1608 ausgebildet. In einer Ausführungsform ist der zweite Abschnitt 1610B der nicht-formfolgenden dielektrischen Abdeckschicht 1610 wesentlich dünner als der erste Abschnitt 1610A, wie in 16B bildlich dargestellt ist. In anderen Ausführungsformen gibt es den Abschnitt 1610B nicht oder er ist nicht zusammenhängend ausgebildet. Somit wird die Abscheidung der nicht-formfolgenden dielektrischen Abdeckschicht 1610 als nicht-formfolgende Abscheidung betrachtet, da die Dicke der nicht-formfolgenden dielektrischen Abdeckschicht 1610 nicht an allen Stellen gleich ist. Die resultierende Geometrie der nicht-formfolgenden dielektrischen Abdeckschicht 1610 kann als Haubenform betrachtet werden, da sich der dickste Abschnitt der nicht-formfolgenden dielektrischen Abdeckschicht 1610 auf obersten Abschnitten der ILD-Schicht 1602 befindet und diese somit in höherem Maße geschützt sind als andere Regionen. In einer Ausführungsform ist die nicht-formfolgende dielektrische Abdeckschicht 1610 ein dielektrisches Material, wie unter anderem Siliziumnitrid oder Siliziumoxynitrid. In einer Ausführungsform wird die nicht-formfolgende dielektrische Abdeckschicht 1610 anhand eines plasmaverstärkten chemischen Dampfabscheidungs(PECVD)-Prozesses ausgebildet oder, in einer anderen Ausführungsform, durch physikalische Dampfabscheidung (PVD).
  • 16C zeigt die Struktur von 16B im Anschluss an eine Viastrukturierung, Metallisierung und Planarisierung der zweiten Hälfte der Metallleitungen. In einer Ausführungsform wird ein Metallfüllungsprozess durchgeführt, um zweite Metallleitungen 1612 bereitzustellen. In einer Ausführungsform werden jedoch vor der Metallfüllung zunächst Via-Orte ausgewählt und geöffnet. Dann werden bei der Metallfüllung Vias 1613 ausgebildet, die bestimmten von den zweiten Metallleitungen 1612 zugeordnet sind. In einer solchen Ausführungsform werden Via-Öffnungen durch Erweitern eines bestimmten von den offenen Gräben 1608 durch Ätzen durch die nicht-formfolgende dielektrische Abdeckschicht 1610 hindurch am Boden der ausgewählten Gräben 1608 und dann Erweitern des Grabens durch die dielektrische Schicht 1602 hindurch ausgebildet. Das Ergebnis ist eine Unterbrechung der Kontinuität der nicht-formfolgenden dielektrischen Abdeckschicht 1610 an den Via-Orten der zweiten Metallleitungen 1612, wie in 16C bildlich dargestellt ist.
  • In einer Ausführungsform wird ein Metallfüllungsprozess, der verwendet wird, um zweite Metallleitungen 1612 und leitende Vias 1613 zu bilden, unter Verwendung eines Verarbeitungsablaufs durchgeführt, der eine Metallabscheidung und eine anschließende Planarisierung, wie etwa einen chemisch-mechanischen Polier(CMP)-Prozess, beinhaltet. Der Planarisierungsprozess legt die nicht-formfolgende dielektrische Abdeckschicht 1610 frei, entfernt sie aber nicht, wie in 16C bildlich dargestellt ist. Man beachte, dass in einer Ausführungsform die zweiten Metallleitungen 1612 (und entsprechende leitende Vias 1613) in einem Prozess ausgebildet werden, der später kommt als der Prozess, der verwendet wird, um erste Metallleitungen 1606 (und entsprechende leitende Vias 1607) auszubilden, und dass daher die zweiten Metallleitungen 1612 unter Verwendung eines Materials ausgebildet werden können, das von dem, das verwendet wird, um die ersten Metallleitungen 1606 herzustellen, verschieden ist. In einer solchen Ausführungsform weist eine Metallisierungsschicht schlussendlich leitende Verbindungen aus einander abwechselnden, unterschiedlichen ersten und zweiten Zusammensetzungen auf. In einer anderen Ausführungsform werden die Metallleitungen 1612 und 1606 jedoch im Wesentlichen aus dem gleichen Material hergestellt.
  • In einer Ausführungsform sind die ersten Metallleitungen 1606 durch einen Abstand voneinander entfernt und die zweiten Metallleitungen 1612 sind durch den gleichen Abstand voneinander entfernt. In anderen Ausführungsformen sind die Leitungen nicht unbedingt durch einen Abstand voneinander entfernt. Durch die Einbeziehung der nicht-formfolgenden dielektrischen Abdeckschicht 1610 oder dielektrischen Haube werden jedoch nur die Oberflächen der zweiten Metallleitungen 1612 freigelegt. Infolgedessen ist der Abstand zwischen einander benachbarten ersten und zweiten Metallleitungen, die andernfalls freigelegt würden, auf lediglich den Abstand der zweiten Metallleitungen gelockert. Somit stellen einander abwechselnde dielektrische Oberflächen der nicht-formfolgenden dielektrischen Abdeckschicht 1610 und freiliegende Oberflächen der zweiten Metallleitungen 1612 eine differenzierte Oberfläche im Abstand der zweiten Metallleitungen 1612 bereit.
  • 16D zeigt die Struktur von 16C im Anschluss an eine gerichtete Selbstorganisations- oder selektive Abscheidungsmethode, um schlussendlich zwei unterschiedliche, einander abwechselnde erste und zweite Hartmaskenschichten 1614 und 1616 auszubilden. In einer Ausführungsform zeigen die Materialien der Hartmaskenschichten 1614 und 1616 voneinander verschiedene Ätzselektivitäten. Die erste Hartmaskenmaterialschicht 1614 richtet sich an freiliegenden Regionen der nicht-formfolgenden dielektrischen Abdeckschicht 1610 aus. Die zweite Hartmaskenmaterialschicht 1616 richtet sich an freiliegenden Regionen der zweiten Metallleitungen 1612 aus. Wie nachstehend ausführlicher beschrieben wird, kann eine gerichtete Selbstorganisation oder eine selektive Züchtung verwendet werden, um die erste und die zweite Hartmaskenschicht 1614 und 1616 selektiv an dielektrischen bzw. an Metalloberflächen auszurichten.
  • In einer ersten allgemeinen Ausführungsform wird zur schlussendlichen Ausbildung erster und zweiter Hartmaskenschichten 1614 und 1616 ein Prozess einer Abscheidung eines sich direkt selbstorganisierenden (DSA) Block-Copolymers und einer Polymerorganisation durchgeführt. In einer Ausführungsform wird ein DSA-Block-Copolymer auf die Oberfläche aufgebracht und getempert, um das Polymer in erste Blöcke und zweite Blöcke aufzuteilen. In einer Ausführungsform binden sich die ersten Polymerblöcke bevorzugt an die nicht-formfolgende dielektrische Abdeckschicht 1610. Die zweiten Polymerblöcke binden sich an die zweiten Metallleitungen 1612. In einer Ausführungsform ist das Block-Copolymermolekül ein polymeres Molekül, das aus einer Kette kovalent gebundener Monomere gebildet ist, für die Beispiele oben beschrieben sind.
  • Es wird erneut auf 16D Bezug genommen, wo gezeigt ist, dass im Falle eines DSA-Prozesses in einer ersten Ausführungsform die ersten und zweiten Hartmaskenschichten 1614 und 1616 die ersten bzw. zweiten Blockpolymere sind. In einer zweiten Ausführungsform werden die ersten und zweiten Blockpolymere jedoch nacheinander durch die Materialien der ersten und der zweiten Hartmaskenschichten 1614 und 1616 ersetzt. In einer solchen Ausführungsform wird ein selektiver Ätz- und Abscheidungsprozess verwendet, um die ersten und zweiten Blockpolymere durch die Materialien der ersten und der zweiten Hartmaskenschichten 1614 bzw. 1616 zu ersetzen.
  • In einer zweiten allgemeinen Ausführungsform wird anstelle einer DSA-Methode ein selektiver Züchtungsprozess verwendet, um schlussendlich erste und zweite Hartmaskenschichten 1614 und 1616 zu bilden. In einer solchen Ausführungsform wird das Material der ersten Hartmaskenschicht 1614 oberhalb freiliegender Abschnitte der darunterliegenden nicht-formfolgenden dielektrischen Abdeckschicht 1610 gezüchtet. Ein zweites, anderes Material der zweiten Hartmaskenschicht 1616 wird oberhalb freigelegter Abschnitte von darunterliegenden zweiten Metallleitungen 1612 gezüchtet. In einer Ausführungsform wird das selektive Wachstum durch eine Dep-Etch-Dep-Etch-Methode für sowohl das erste als auch das zweite Material erreicht, die eine Vielzahl von Schichten aus jedem der Materialien zum Ergebnis hat. Eine solche Methode kann gegenüber herkömmlichen selektiven Züchtungstechniken von Vorteil sein, die Dünnschichten bilden können, die wie ein „Pilzhut“ geformt sind. Die Neigung von Dünnschichten, in Form eines Pilzhuts zu wachsen, kann durch eine Methode des abwechselnden Abscheidens/Ätzens/Abscheidens (Dep-Etch-Dep-Etch) verringert werden. In einer anderen Ausführungsform wird die Dünnschicht selektiv über dem Metall abgeschieden, gefolgt von einer anderen Dünnschicht, die selektiv über dem ILD abgeschieden wird (oder umgekehrt), und dies wird oft wiederholt, wodurch ein sandwichartiger Stapel gebildet wird. In einer anderen Ausführungsform werden beide Materialien gleichzeitig in einer Reaktionskammer (z.B. anhand eines CVD-artigen Prozesses) gezüchtet, in der selektiv auf den einzelnen freiliegenden Regionen des darunterliegenden Substrats gezüchtet wird.
  • Wie nachstehend ausführlicher beschrieben wird, ermöglicht die resultierende Struktur von 16D in einer Ausführungsform verbesserte Via-Kurzschlussspielräume, wenn später Via-Schichten auf der Struktur von 16D hergestellt werden. In einer Ausführungsform wird ein verbesserter Kurzschlussspielraum erreicht, weil die Herstellung einer Struktur mit Hartmasken von einander abwechselnder „Farbe“ das Risiko eines Via-Kurzschlusses mit der falschen Metallleitung verringert. In einer Ausführungsform wird eine Selbstjustierung bzw. Selbstausrichtung erreicht, weil die Hartmasken mit einander abwechselnden Farben sich selbst an den darunterliegenden Metallgräben ausrichten. In einer Ausführungsform entfällt die Notwendigkeit für eine Metallabtragung aus dem Verarbeitungsablauf, wodurch die Prozessabweichungen verringert werden können.
  • In einem ersten ausführlicheren beispielhaften Prozessfluss zeigen die 16E-16P Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem anderen Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
  • Wie in 16E gezeigt ist, wird eine Ausgangspunktstruktur 1630 im Anschluss an einen ersten Durchgang einer Metallverarbeitung als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 1630 beinhaltet eine Hartmaskenschicht 1634 (z.B. Siliziumnitrid), die auf einer Zwischenschicht-Dielektrikum(ILD)-Schicht 1632 angeordnet ist. Wie oben beschrieben, kann die ILD-Schicht oberhalb eines Substrats angeordnet werden und wird in einer Ausführungsform über einer darunterliegenden Metallisierungsschicht angeordnet. Erste Metallleitungen 1636 (und in manchen Fällen entsprechende leitende Vias 1637) werden in der ILD-Schicht 1632 ausgebildet. Vorstehende Abschnitte 1636A der Metallleitungen 1636 weisen aneinander angrenzende dielektrische Abstandhalter 1638 auf. Eine Opfer-Hartmaskenschicht 1640 (z.B. amorphes Silizium) ist zwischen einander benachbarten dielektrischen Abstandhaltern 1638 enthalten. Auch wenn dies nicht bildlich dargestellt ist, werden die Metallleitungen 1636 in einer Ausführungsform dadurch ausgebildet, dass zuerst ein zweites Opfer-Hartmaskenmaterial zwischen dielektrischen Abstandhaltern 1638 entfernt wird und dann die Hartmaskenschicht 1634 und die ILD-Schicht 1632 geätzt werden, um Gräben zu bilden, die dann in einem Metallisierungsprozess gefüllt werden.
  • 16F zeigt die Struktur von 16E im Anschluss an einen zweiten Durchgang einer Metallverarbeitung bis einschließlich einer Grabenätzung. Wie in 16F gezeigt ist, wird die Opfer-Hartmaskenschicht 1640 entfernt, um die Hartmaskenschicht 1634 freizulegen. Freigelegte Abschnitte der Hartmaskenschicht 1634 werden entfernt, und Gräben 1642 werden in der ILD-Schicht 1632 ausgebildet.
  • 16G zeigt die Struktur von 16F im Anschluss an eine Füllung mit Opfermaterial. Ein Opfermaterial 1644 wird in den Gräben 1642 und über den Abstandhaltern 1638 und Metallleitungen 1636 ausgebildet. In einer Ausführungsform wird das Opfermaterial 1644 in einem Rotationsbeschichtungsprozess ausgebildet, der eine im Wesentlichen flache Schicht zurücklässt, wie in 16G bildlich dargestellt ist.
  • 16H zeigt die Struktur von 16G im Anschluss an einen Planarisierungsprozess, um die Hartmaskenschicht 1634 erneut freizulegen, um die dielektrischen Abstandhalter 1638 zu entfernen und um vorstehende Abschnitte 1636A der Metallleitungen 1636 zu entfernen. Außerdem begrenzt der Planarisierungsprozess das Opfermaterial 1644 auf die Gräben 1642, die in der dielektrischen Schicht 1632 ausgebildet sind. In einer Ausführungsform wird der Planarisierungsprozess unter Verwendung eines chemisch-mechanischen Polier(CMP)-Prozesses durchgeführt.
  • 161 zeigt die Struktur von 16H im Anschluss an eine Entfernung von Opfermaterial. In einer Ausführungsform wird das Opfermaterial 1644 unter Verwendung eines Nassätz- oder Trockenätzprozesses aus den Gräben 1642 entfernt.
  • 16J zeigt die Struktur von 161 im Anschluss an die Abscheidung einer nicht-formfolgenden dielektrischen Abdeckschicht 1646, die als dielektrische Haube bezeichnet werden kann. In einer Ausführungsform wird die nicht-formfolgende dielektrische Abdeckschicht 1646 unter Verwendung eines physikalischen Dampfabscheidungs(PVD)- oder chemischen Dampfabscheidungs(CVD)-Prozesses, wie etwa eines plasmaverstärkten CVD(PECVD)-Prozesses ausgebildet. Die nicht-formfolgende dielektrische Abdeckschicht 1646 kann sein wie oben in Verbindung mit der nicht-formfolgenden dielektrischen Abdeckschicht 1610 beschrieben.
  • 16K zeigt die Struktur von 16J im Anschluss an die Abscheidung einer Opfer-Abdeckschicht. Eine Opfer-Abdeckschicht 1648 wird auf oberen Oberflächen der nicht-formfolgenden dielektrischen Abdeckschicht 1646 ausgebildet und kann implementiert werden, um die nicht-formfolgende dielektrische Abdeckschicht 1646 während eines folgenden Ätz- oder CMP-Prozesses zu schützen. In einer Ausführungsform ist die Opfer-Abdeckschicht 1648 eine Titannitrid(TiN)-Schicht, die z.B. anhand einer PVD- oder CVD-Verarbeitung ausgebildet wird.
  • 16L zeigt die Struktur von 16K im Anschluss an eine Via-Lithographie- und -Ätzverarbeitung. Ausgewählte von den Gräben 1638 werden freigelegt und einem Ätzprozess unterzogen, der die nicht-formfolgende dielektrische Abdeckschicht 1646 an einem Ort 1650 durchbricht und den Graben erweitert, um einen Via-Ort 1652 bereitzustellen, wie oben beschrieben wurde.
  • 16M zeigt die Struktur von 16L im Anschluss an die Herstellung von zweiten Metallleitungen. In einer Ausführungsform werden zweite Metallleitungen 1654 (und in manchen Fällen zugehörige leitende Vias 1656) durch Durchführen eines Metallfüllungs- und Polierprozesses ausgebildet. Der Polierprozess kann ein CMP-Prozess sein, der noch mehr von der Opfer-Abdeckschicht 1648 entfernt.
  • 16N zeigt die Struktur von 16M im Anschluss an eine gerichtete Selbstorganisation (DSA) oder eine selektive Züchtung, z.B. zur Bereitstellung eines ersten und eines zweiten Platzhaltermaterials 1658 und 1660, die einander abwechseln (oder es kann sich dabei um permanente Materialien handeln, wie in Verbindung mit 16D beschrieben wurde).
  • 16O zeigt die Struktur von 16N im Anschluss an eine Ersetzung des ersten und des zweiten Platzhaltermaterials 1658 und 1660 durch permanente erste und zweite Hartmaskenschichten 1662 bzw. 1664. Die Verarbeitung für die 16N und 16O kann sein wie in Verbindung mit 16D beschrieben.
  • 16P zeigt die Struktur von 16O im Anschluss an eine Via-Strukturierung einer nächsten Schicht. Eine obere ILD-Schicht 1666 wird oberhalb der ersten und der zweiten Hartmaskenschichten 1662 und 1664 ausgebildet. Eine Öffnung 1668 wird in der oberen ILD-Schicht 1666 ausgebildet. In einer Ausführungsform wird die Öffnung 1668 breiter ausgebildet als ein Via-Merkmal groß ist. Ein ausgewählter der freiliegenden Orte der ersten und zweiten Hartmaskenschichten 1662 und 1664 wird für eine selektive Entfernung, z.B. durch einen selektiven Ätzprozess, ausgewählt. In diesem Fall wird eine Region einer ersten Hartmaske 1662 selektiv in Bezug auf freiliegende Abschnitte der zweiten Hartmaskenschicht und 1664 entfernt. Ein leitendes Via 1670 wird dann in der Öffnung 1668 und in den Regionen ausgebildet, wo die Region der ersten Hartmaske 1662 entfernt worden ist. Das leitende Via 1670 berührt eine von den ersten Metallleitungen 1636. In einer Ausführungsform berührt das leitende Via 1670 eine der ersten Metallleitungen 1636, ohne sich mit einer der angrenzenden zweiten Metallleitungen 1654 kurzuschließen. In einer konkreten Ausführungsform wird ein Abschnitt 1672 des leitenden Via 1670 auf einem Abschnitt einer Hartmaskenschicht 1664 angeordnet, ohne eine darunterliegende zweite Metallleitung 1654 zu berühren, wie in 16P bildlich dargestellt ist. In einer Ausführungsform wird dann ein verbesserter Kurzschlussspielraum verwirklicht.
  • In einer Ausführungsform wird eine Region der ersten Hartmaske 1662 für die Herstellung des Via 1670 entfernt, wie in der obigen Ausführungsform beschrieben wurde. In diesem Fall erfordert die Ausbildung der Öffnung bei der Entfernung der ausgewählten Region der ersten Hartmaske 1662 ferner ein Ätzen durch einen obersten Abschnitt der nicht-formfolgenden dielektrischen Abdeckschicht 1646 hindurch. In einer anderen Ausführungsform wird jedoch eine Region einer zweiten Hartmaske 1664 für die Herstellung des Via 1670 entfernt. In diesem Fall wird durch die Ausbildung der Öffnung bei der Entfernung einer solchen ausgewählten Region der zweiten Hartmaske 1664 die Metallleitung 1654, mit der das Via 1670 verbunden ist, direkt freigelegt.
  • In einem zweiten ausführlicheren beispielhaften Prozessfluss, der eine Via-Ätzmethode beinhaltet, zeigen die 17A-17J Querschnittsansichten von Abschnitten von Schichten integrierter Schaltungen, die verschiedene Operationen in einem anderen Verfahren darstellen, das eine Ausbildung von dielektrischen Hauben für eine Back-End-of-Line(BEOL)-Herstellung von Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet.
  • Wie in 17A gezeigt ist, wird eine Ausgangspunktstruktur 1700 im Anschluss an einen ersten Durchgang einer Metallverarbeitung als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 1700 beinhaltet eine Hartmaskenschicht 1704 (z.B. Siliziumnitrid), die auf einer Zwischenschicht-Dielektrikum(ILD)-Schicht 1702 angeordnet ist. Wie oben beschrieben, kann die ILD-Schicht oberhalb eines Substrats angeordnet werden und wird in einer Ausführungsform über einer darunterliegenden Metallisierungsschicht angeordnet. Erste Metallleitungen 1706 (und in manchen Fällen entsprechende leitende Vias 1707) werden in der ILD-Schicht 1702 ausgebildet. Vorstehende Abschnitte 1706A der Metallleitungen 1706 weisen aneinander angrenzende dielektrische Abstandhalter 1708 auf. Eine Opfer-Hartmaskenschicht 1710 (z.B. amorphes Silizium) ist zwischen einander benachbarten dielektrischen Abstandhaltern 1708 enthalten. Auch wenn dies nicht bildlich dargestellt ist, werden die Metallleitungen 1706 in einer Ausführungsform dadurch ausgebildet, dass zuerst ein zweites Opfer-Hartmaskenmaterial zwischen dielektrischen Abstandhaltern 1708 entfernt wird und dann die Hartmaskenschicht 1704 und die ILD-Schicht 1702 geätzt werden, um Gräben zu bilden, die dann in einem Metallisierungsprozess gefüllt werden.
  • 17B zeigt die Struktur von 17A im Anschluss an einen zweiten Durchgang einer Metallverarbeitung bis einschließlich einer Ätzung von Via-Orten. Wie in 17B gezeigt ist, wird die Opfer-Hartmaskenschicht 1710 entfernt, um die Hartmaskenschicht 1704 freizulegen. Freigelegte Abschnitte der Hartmaskenschicht 1704 werden entfernt, und Gräben 1712 werden in der ILD-Schicht 1702 ausgebildet. Außerdem werden in einer Ausführungsform Via-Orte 1722 an ausgewählten Stellen unter Verwendung eines Lithographie- und Ätzprozesses ausgebildet, wie in 17B bildlich dargestellt ist.
  • 17C zeigt die Struktur von 17B im Anschluss an eine Füllung mit Opfermaterial. Ein Opfermaterial 1714 wird in den Gräben 1712 und über den Abstandhaltern 1708 und Metallleitungen 1706 ausgebildet. In einer Ausführungsform wird das Opfermaterial 1714 in einem Rotationsbeschichtungsprozess ausgebildet, der eine im Wesentlichen flache Schicht zurücklässt, wie in 17C bildlich dargestellt ist
  • 17D zeigt die Struktur von 17C im Anschluss an einen Planarisierungsprozess, um die Hartmaskenschicht 1704 erneut freizulegen, um die dielektrischen Abstandhalter 1708 zu entfernen und um vorstehende Abschnitte 1706A der Metallleitungen 1706 zu entfernen. Außerdem begrenzt der Planarisierungsprozess das Opfermaterial 1714 auf die Gräben 1712, die in der dielektrischen Schicht 1702 ausgebildet sind. In einer Ausführungsform wird der Planarisierungsprozess unter Verwendung eines chemisch-mechanischen Polier(CMP)-Prozesses durchgeführt.
  • 17E zeigt die Struktur von 17D im Anschluss an die Entfernung von Teilen des Opfermaterials 1714, um ein abgetragenes bzw. in der Höhe verringertes Opfermaterial 1715 bereitzustellen. In einer Ausführungsform wird das Opfermaterial 1714 innerhalb von Gräben 1712 unter Verwendung eines Nassätz- oder Trockenätzprozesses abgetragen. Das abgetragene Opfermaterial 1715 kann an diesem Punkt bewahrt werden, um eine Metallschicht zu schützen, die unter dem Viaort 1722 liegt.
  • 17F zeigt die Struktur von 17E im Anschluss an die Abscheidung einer nicht-formfolgenden dielektrischen Abdeckschicht 1716, die als dielektrische Haube bezeichnet werden kann. In einer Ausführungsform wird die nicht-formfolgende dielektrische Abdeckschicht 1716 unter Verwendung eines physikalischen Dampfabscheidungs(PVD)-, eines selektiven Züchtungsprozesses oder eines chemischen Dampfabscheidungs(CVD)-Prozesses, wie etwa eines plasmaverstärkten CVD(PECVD)-Prozesses ausgebildet. Die nicht-formfolgende dielektrische Abdeckschicht 1716 kann sein wie oben in Verbindung mit der nicht-formfolgenden dielektrischen Abdeckschicht 1710 beschrieben. Alternativ dazu kann die nicht-formfolgende dielektrische Abdeckschicht 1716 nur obere Abschnitte 1716A aufweisen, im Wesentlichen ohne dass ein Abschnitt der nicht-formfolgenden dielektrischen Abdeckschicht 1716 in Gräben 1712 ausgebildet wird, wie in 17F dargestellt ist.
  • 17G zeigt die Struktur von 17F im Anschluss an die Herstellung von zweiten Metallleitungen. In einer Ausführungsform werden zweite Metallleitungen 1724 (und in manchen Fällen zugehörige leitfähige Vias 1726) durch Durchführen eines Metallfüllungs- und Polierprozesses im Anschluss an die Entfernung des abgetragenen Opfermaterials 1715 ausgebildet. Der Polierprozess kann ein CMP-Prozess sein.
  • 17H zeigt die Struktur von 17G im Anschluss an eine gerichtete Selbstorganisation (DSA) oder eine selektive Züchtung, z.B. zur Bereitstellung eines ersten und eines zweiten Platzhaltermaterials 1728 und 1730, die einander abwechseln (wobei es sich aber auch um permanente Materialien handeln, wie in Verbindung mit 16D beschrieben wurde).
  • 171 zeigt die Struktur von 17H im Anschluss an eine Ersetzung des ersten und des zweiten Platzhaltermaterials 1728 und 1730, die einander abwechseln, durch permanente erste und zweite Hartmaskenschichten 1732 bzw. 1734. Die Verarbeitung für die 17H und 31 kann sein wie in Verbindung mit 16D beschrieben.
  • 17J zeigt die Struktur von 171 im Anschluss an eine Via-Strukturierung einer nächsten Schicht. Eine obere ILD-Schicht 1736 wird oberhalb der ersten und der zweiten Hartmaskenschichten 1732 und 1734 ausgebildet. Eine Öffnung 1738 wird in der oberen ILD-Schicht 1736 ausgebildet. In einer Ausführungsform wird die Öffnung 1738 breiter ausgebildet als ein Via-Merkmal groß ist. Von den Orten, wo sich die freiliegenden ersten und zweiten Hartmaskenschichten 1732 und 1734 befinden, wird einer für eine selektive Entfernung, z.B. durch einen selektiven Ätzprozess, ausgewählt. In diesem Fall wird eine Region einer ersten Hartmaske 1732 selektiv in Bezug auf freiliegende Abschnitte der zweiten Hartmaskenschicht und 1734 entfernt. Ein leitfähiges Via 1740 wird dann in der Öffnung 1738 und in den Regionen ausgebildet, wo die Region der ersten Hartmaske 1732 entfernt worden ist. Das leitfähige Via 1740 berührt eine von den ersten Metallleitungen 1706. In einer Ausführungsform berührt das leitfähige Via 1740 eine der ersten Metallleitungen 1706, ohne sich mit einer der angrenzenden zweiten Metallleitungen 1724 kurzuschließen. In einer konkreten Ausführungsform wird ein Abschnitt 1742 des leitfähigen Via 1740 auf einem Abschnitt einer Hartmaskenschicht 1734 angeordnet, ohne eine darunterliegende zweite Metallleitung 1724 zu berühren, wie in 17J bildlich dargestellt ist In einer Ausführungsform wird dann ein verbesserter Kurzschlusssicherheitsabstand verwirklicht.
  • In einer Ausführungsform wird eine Region der ersten Hartmaske 1732 für die Herstellung des Via 1740 entfernt, wie in der obigen Ausführungsform beschrieben wurde. In diesem Fall erfordert die Ausbildung der Öffnung nach der Entfernung der ausgewählten Region der ersten Hartmaske 1732 ferner ein Ätzen durch einen obersten Abschnitt der nicht-formfolgenden dielektrischen Abdeckschicht 1716. In einer anderen Ausführungsform wird jedoch eine Region einer zweiten Hartmaske 1734 für die Herstellung des Via 1740 entfernt. In diesem Fall wird durch Ausbilden der Öffnung nach der Entfernung einer solchen ausgewählten Region der zweiten Hartmaske 1734 die Metallleitung 1724, mit der das Via 1740 verbunden wird, direkt freigelegt.
  • Es wird wiederum auf die 16P und 17J Bezug genommen, wo gezeigt ist, dass durch eine Querschnittsanalyse eine dielektrische Haube über der Hälfte der Metallpopulation sichtbar wird. Außerdem haben sich Hartmasken unterschiedlicher Materialien selbst an der dielektrischen Haube ausgerichtet. Solche Strukturen können eines oder mehrere von einem leitfähigen Via mit verbessertem Kurzschlusssicherheitsabstand, einander abwechselnden Hartmaskenmaterialien, dem Vorhandensein einer dielektrischen Haube aufweisen. Eine resultierende Struktur, wie sie in Verbindung mit 16P oder 17J beschrieben wurde, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu können die Strukturen von 16P oder 17J die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Strukturakkumulationsschichten für Vias und Plugs beschrieben. Eine oder mehrere hierin beschriebene Ausführungsformen sind auf Prozessabläufe für die Steuerung der kritischen Abmessung (CD) von Vias gerichtet. Ausführungsformen können Verbesserungen in Bezug auf die CD-Steuerung von Vias, die Gleichmäßigkeit der CD von Vias, einen Randplatzierungsfehler (EPE), eine Selbstausrichtung bzw. -justierung von Vias einschließen. Ausführungsformen können den Randplatzierungsfehler (EPE) bei der Halbleiterstrukturierung von Vias verbessern und können eine Selbstjustierung einer Vielzahl von Via-Lithographiedurchgängen ermöglichen. In einer Ausführungsform werden alle Viaränder mit Gittern anstelle von Standard-Fotolackrändern definiert. Ein Opfergitter wird unterhalb des Via-Fotolacks in der gleichen Richtung erzeugt wie das Metall, an dem die Vias ankommen. Vias werden mit Standard-Fotolacken strukturiert. Während anschließender Ätzungen durch das Opfergitter und das Gitter eines Metallgitters eines selbstjustierenden Via (SAV) (z.B. zwei gekreuzte Gitter) werden jedoch alle Ränder von den Gittern definiert. In einer Ausführungsform wird vom Rand des Via-Fotolacks keine Variabilität in das Substrat übertragen und die resultierende Prozessfähigkeit ermöglicht eine bessere Kontrolle von Via-CDs und verbessert die Ausbeuten und die Prozessfähigkei t.
  • Um die nachstehend beschriebenen Ausführungsformen in einen Kontext zu stellen, so beinhalten Lösungen die Verwendung eines Fotolackrands, um einen Fotolackrand zu definieren, der den Kurzschlusssicherheitsabstand in Bezug auf das darunterliegende Metall bestimmt. Jedoch ist bekannt, dass eine Strukturierung mittels Standard-Via-Fotolacken einen viel größeren Randplatzierungsfehler aufweist als eine Gitterstrukturierung. Im Gegensatz dazu wird gemäß hierin beschriebenen Ausführungsformen durch die Verwendung eines Opfergitters, um einen Via-Rand zu definieren, eine stark verbesserte Steuerung des Via-Rands ermöglicht und das Risiko eines Kurzschlusses mit dem falschen Metall wird erheblich gesenkt.
  • Gemäß Ausführungsformen, die hierin beschrieben sein, wird ein Strukturakkumulierungsfluss für eine Vielzahl von Via-Strukturen mit einem Opfergitter im Stapel, um Via-Ränder nach einer Ätzung zu definieren, beschrieben. Ein „Sieb“-Stapel wird durch Auftragen einer Hartmaske auf eine strukturierte obere Zwischenschicht-Dielektrikums(ILD)-Schicht eines Metalls (M1), wo bereits Plugs vorhanden sind, aufgebaut. Die Hartmaske planarisiert den Wafer für eine anschließende Verarbeitung. Die nächste gebildete Schicht kann als Ätzstopp verwendet werden, gefolgt von der Ausbildung einer Akkumulationsschicht. In diesem Stadium kann ein Gitter mit dem doppelten Abstand der darunterliegenden unteren Schicht aus Metall (M0) und in der gleichen Richtung wie das M0-Gitter ausgebildet werden. Durch dieses Gitter wird jede zweite darunterliegende M0-Leitung effektiv blockiert und schlussendlich die kritische Abmessung des Via nach der Ätzung definiert. Da das Gitter in einer Ausführungsform den doppelten Abstand des darunterliegenden M0 aufweist, ist zwischen den Vias eine beträchtliche Menge an Hartmaske (+/- 20 nm) enthalten, wodurch ein Randplatzierungsfehler (EPE) eines darüberliegenden Fotolackmerkmals tolerierbar wird.
  • Dann wird eine Vielzahl von Maskenstrukturen durch ein Gitter hindurch und in einer Akkumulationsschicht akkumuliert. Nach der Akkumulation wird das Gitter ohne eine extra Lithographieoperation invertiert, um andere Leitungen aus dem unteren Metall (M0) freizulegen und die Vias zu schützen, die bereits erzeugt wurden. Eine Auskleidungs- bzw. Verkleidungsschicht wird zwischen Gittern hinzugefügt, um sicherzustellen, dass sich Vias auf aneinander angrenzenden M0-Leitungen nicht vereinigen. Ein Zwischenraum zwischen Vias kann mit der Dicke der Verkleidungsschicht moduliert werden.
  • Schließlich können die Via-Strukturen einer oder einiger Masken durch das invertierte Gitter hindurch akkumuliert werden, um die Strukturierung bei der Akkumulierung aller gezeichneten Vias abzuschließen. Das Gitter wird dann entfernt und die akkumulierte Via-Struktur in der Akkumulationsschicht wird durch das Hartmaskengitter des oberen Metalls (M1) hindurch bis hinunter in das Zwischensichtdielektrikum unterhalb der M1-Leitungen und bis auf das darunterliegende M0 geätzt. Der Stapel oberhalb des M1-Gitters und die darüberliegende Hartmaskenschicht werden entfernt. Anschließend werden Gräben und Vias metallisiert und dann poliert. Das Ergebnis ist eine sehr gute CD-Steuerung der ausgebildeten Vias in beiden Richtungen und eine Selbstjustierung aller Vias in Bezug aufeinander.
  • In einem Aspekt ist mindestens eine der hierin beschriebenen Ausführungsformen auf eine Methode gerichtet, die eine unten liegende Metallgitterstruktur oder ein Paar solcher Strukturen, die orthogonal zueinander sind, als Schablone für den Aufbau darüberliegender leitfähiger Vias nutzt. Für einen beispielhaften Verarbeitungsablauf zeigen die 18A-18W Planansichten (in den oberen Abschnitten der Figuren) und entsprechende Schräg- (mittlere Abschnitte der Figuren) und Querschnittsansichten (untere Abschnitte der Figuren), die verschiedene Operationen in einem Metallviaverarbeitungsablauf für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 18A gezeigt ist, wird eine Ausgangspunktstruktur 1800 als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 1800 weist ein Feld von einander abwechselnden Metallleitungen 1802 und dielektrischen Strecken 1804 auf. Die Metallleitungen 1802 weisen obere Oberflächen auf, die mit oberen Oberflächen der dielektrischen Strecken 1804 ungefähr koplanar sind. Eine Ätzstoppschicht 1806 wird dann auf der Ausgangsstruktur 1800 ausgebildet, wie in 18B bildlich dargestellt ist.
  • Wie in 18C gezeigt ist, wird auf der Struktur von 18B eine Zwischenschicht-Dielektrikumsschicht 1808 ausgebildet. Dann wird eine strukturierte Hartmaske 1810 auf der Struktur von 18C ausgebildet, und die Struktur der strukturierten Hartmaske 1810 wird zum Teil in die Zwischenschicht-Dielektrikumsschicht 1808 übertragen, um eine strukturierte Zwischenschicht-Dielektrikumsschicht 1812 auszubilden, in der Metallleitungsregionen 1814 ausgebildet sind, wie in 18D bildlich dargestellt ist. In einer Ausführungsform weist die strukturierte Hartmaske 1810 eine gitterartige Struktur auf, wie bildlich dargestellt ist. In einer konkreten Ausführungsform umfasst die strukturierte Hartmaske 1810 Titannitrid (TiN).
  • Wie in 18E gezeigt ist, wird eine Hartmaskenschicht 1816 auf der Struktur von 18D ausgebildet. In einer Ausführungsform folgt die Bodenfläche der Hartmaskenschicht 1816 der Topographie der Struktur von 18D, während die obere Oberfläche der Hartmaskenschicht 1816 planarisiert wird. In einer konkreten Ausführungsform ist die Hartmaskenschicht 1816 eine Kohlenstoffhartmasken(CHM)-Schicht. Eine Ätzstoppschicht 1818 wird dann auf der Struktur von 18E ausgebildet, wie in 18F bildlich dargestellt ist. In einer konkreten Ausführungsform umfasst die Ätzstoppschicht 1818 Siliziumoxid (SiOx oder SiO2).
  • Wie in 18G gezeigt ist, wird eine Strukturakkumulationsschicht 1820 auf der Struktur von 18F ausgebildet. In einer Ausführungsform ist die Strukturakkumulationsschicht 1820 eine Schicht, in der schlussendlich mehr als eine Struktur akkumuliert sein wird, z.B. für eine spätere Viastrukturierung. In einer konkreten Ausführungsform umfasst die Strukturakkumulationsschicht 1820 amorphes Silizium (a-Si). Eine strukturierte Hartmaske 1822 wird dann auf der Struktur von 18G ausgebildet, wie in 18H bildlich dargestellt ist. In einer Ausführungsform weist die strukturierte Hartmaske 1822 eine gitterartige Struktur auf, wie bildlich dargestellt ist. In einer solchen Ausführungsform ist die gitterartige Struktur orthogonal zum Gitter der strukturierten Hartmaske 1810 und parallel zum Gitter der Metallleitungen 1802. In einer Ausführungsform lässt die strukturierte Hartmaske 1822, gesehen von oben nach unten, jedoch nur jede zweite von den Metallleitungen 1802 frei (z.B. die Metallleitung 1802 (A)) und blockiert die sich damit abwechselnden Metallleitungen 1802 (z.B. die Metallleitung 1802 (B)), wie in 18H bildlich dargestellt ist. In einer konkreten Ausführungsform umfasst die strukturierte Hartmaske 1822 Siliziumnitrid (SiN).
  • Wie in 181 gezeigt ist, wird dann eine Hartmaske 1824 auf der Struktur von 18H ausgebildet. In einer konkreten Ausführungsform ist die Hartmaske 1824 eine Kohlenstoffhartmaske (CHM). Die Hartmaske 1824 wird dann strukturiert (z.B. durch einen lithographischen Prozess unter Verwendung von Fotolackstrukturen mit einer oder mehreren Schichten), und die Struktur wird in Abschnitte der Strukturakkumulationsschicht 1820 übertragen, die von der strukturierten Hartmaske 1822 freigelassen wurden, um eine einmal strukturierte Gedächtnisschicht 1826 zu bilden, wie in 18J bildlich dargestellt ist. In einer Ausführungsform wird die Struktur durch einen Ätzprozess, für den eine Ätzstoppschicht 1818 als Endpunkt verwendet wird, in Abschnitte der Strukturakkumulationsschicht 1820 übertragen. In einer Ausführungsform wird im Anschluss an die Ausbildung der einmal strukturierten Gedächtnisschicht 1826 die Hartmaske 1824 entfernt, wie ebenfalls in 18J bildlich dargestellt ist. Man beachte, dass der Prozess für mehrere verschiedene Maskierungsoperationen wiederholt werden kann.
  • Wie in 18K gezeigt ist, wird dann durch Füllen der Öffnung in der strukturierten Hartmaske 1822 der Struktur von 18J mit einer Schicht aus Blockiermaterial eine Blockierstrecke 1828 ausgebildet. In einer konkreten Ausführungsform ist die Schicht aus Blockiermaterial ein fließfähiges Siliziumoxidmaterial. In anderen Ausführungsformen ist die Schicht aus Blockiermaterial irgendeines aus einer Anzahl anderer geeigneter Materialien. Die strukturierte Hartmaske 1822 wird dann von der Struktur von 18K entfernt, wodurch die Blockierstrecke 1828 zurückbleibt, wie in 18L dargestellt ist.
  • Wie in 18M gezeigt ist, wird dann eine Schicht 1830 aus einem isolierenden abstandhalterbildenden Material auf der Struktur von 18L ausgebildet, die der Form der Blockierstrecke 1828 folgt. In einer Ausführungsform umfasst die Schicht 1830 aus einem isolierenden abstandhalterbildenden Material ein dielektrisches Material. In einer Ausführungsform umfasst die Schicht 1830 aus einem isolierenden abstandhalterbildenden Material Siliziumoxid (SiOx oder SiO2). Die Schicht 1830 aus einem isolierenden abstandhalterbildenden Material wird dann strukturiert, um Abstandhalter 1832 zu bilden, die an die Seitenwände der Blockierstrecke 1828 angrenzen, wie in 18N bildlich dargestellt ist. In einer Ausführungsform wird die Schicht 1830 aus einem isolierenden abstandhalterbildenden Material anhand eines Trockenätzprozesses strukturiert, um Abstandhalter 1832 zu bilden.
  • Wie in 18O gezeigt ist, wird dann ein kollektives Muster aus der Blockierstrecke 1828, den Abstandhaltern 1832 und schützenden Regionen einer Strukturierungsmaske, die im Anschluss an die Ausbildung der Abstandhalter 1832 ausgebildet wird, in die einmal strukturierte Gedächtnisschicht 1826 übertragen, um eine zweimal strukturierte Gedächtnisschicht 1834 zu bilden. In einer Ausführungsform wird die Struktur durch einen Ätzprozess, für den eine Ätzstoppschicht 1818 als Endpunkt verwendet wird, in die einmal strukturierte Gedächtnisschicht 1826 übertragen. Die Blockierstrecke 1828, die Abstandhalter 1832 und etwaiges zusätzliches Maskenmaterial der Struktur von 18O werden kann entfernt, um die zweimal strukturierte Gedächtnisschicht 1834 freizulegen, wie in 18P bildlich dargestellt ist.
  • Wie in 18Q gezeigt ist, wird die Struktur der zweimal strukturierten Gedächtnisschicht 1834 von 18P dann in die Ätzstoppschicht 1818 übertragen, um eine strukturierte Ätzstoppschicht 1836 zu bilden und um einen Abschnitt der Hartmaskenschicht 1816 freizulegen. In einer Ausführungsform wird die Struktur der zweimal strukturierten Gedächtnisschicht 1834 anhand eines Trockenätzprozesses auf die Ätzstoppschicht 1818 übertragen. Die zweimal strukturierte Gedächtnisschicht 1834 der Struktur von 18Q wird dann entfernt, wie in 18R bildlich dargestellt ist.
  • Wie in 18S gezeigt ist, wird die Struktur der strukturierten Ätzstoppschicht 1836 der Struktur von 18R dann in die Hartmaskenschicht 1816 übertragen, um die strukturierte Hartmaskenschicht 1838 zu bilden. Die strukturierte Hartmaskenschicht 1838 lässt Abschnitte der Streckenregionen 1814 der strukturierten Zwischenschicht-Dielektrikumsschicht 1812 und Abschnitte der strukturierten Hartmaske 1810 frei. Das heißt, auch wenn die strukturierte Hartmaskenschicht 1838 Bereiche freilässt, die breiter sind als die Streckenregionen 1814 der strukturierten Zwischenschicht-Dielektrikumsschicht 1812, schützt die strukturierten Hartmaske 1810 „freiliegende“ Regionen der strukturierten Zwischenschicht-Dielektrikumsschicht 1812 außerhalb der Streckenregionen 1814. Die Struktur der strukturierten Hartmaskenschicht 1838 der Struktur von 18S wird dann in die strukturierte Zwischenschicht-Dielektrikumsschicht 1812 übertragen, um eine zweimal strukturierte Zwischenschicht-Dielektrikumsschicht 1840 zu bilden und um die Ätzstoppschicht 1806 freizulegen, wie in 18T bildlich dargestellt ist. Jedoch hemmt die strukturierte Hartmaske 1810 in einer Ausführungsform eine vollständige Übertragungsstruktur, wie ebenfalls in 18T bildlich dargestellt ist. In einer Ausführungsform wird die Struktur der strukturierten Hartmaskenschicht 1838 anhand eines Ätzprozesses, der die Ätzstoppschicht 1806 als Endpunkt verwendet, auf die strukturierte Zwischenschicht-Dielektrikumsschicht 1812 übertragen.
  • Wie in 18U gezeigt ist, werden freiliegende Abschnitte der Ätzstoppschicht 1806 der Struktur von 18T entfernt, um eine strukturierte Ätzstoppschicht 1842 auszubilden und um Viaorte 1844 für Metallleitungen 1802 freizulegen. Die strukturierte Ätzstoppschicht 1836, die strukturierte Hartmaskenschicht 1838 und die strukturierten Hartmaske 1810 der Struktur von 18U werden dann entfernt, wie in 18V bildlich dargestellt ist. Durch das Entfernen werden die zweimal strukturierte Zwischenschicht-Dielektrikumsschicht 1840 und Viaorte 1844 für Metallleitungen 1802 ebenso wie Orte 1846 für obere Metallleitungen freigelegt. In einer Ausführungsform werden die strukturierte Ätzstoppschicht 1836, die strukturierte Hartmaskenschicht 1838 und die strukturierte Hartmaske 1810 unter Verwendung eines selektiven Nassätzprozesses entfernt.
  • Wie in 18W gezeigt ist, wird eine Metallisierungsschicht für die Struktur von 18V ausgebildet. Insbesondere wird ein Metallfüllungsprozess durchgeführt, um Metallvias 1848 und Metallleitungen 1850 bereitzustellen. In einer Ausführungsform wird ein Metallfüllungsprozess unter Verwendung einer Metallabscheidung und eines anschließenden Planarisierungsverarbeitungsablaufs, wie etwa eines chemisch-mechanischen Polier(CMP)-Prozesses, durchgeführt. In einer Ausführungsform ist die Oberfläche der ausgebildeten Struktur von 18W im Wesentlichen die gleiche wie die Oberfläche der Ausgangsstruktur 1800 von 18A, wenn auch orthogonal zu dieser. Somit kann in einer Ausführungsform der in Verbindung mit den 18B-18W beschriebene Prozess auf der Struktur von 18W wiederholt werden, um eine nächste Metallisierungsschicht zu bilden, und so weiter.
  • Eine resultierende Struktur, wie sie in Verbindung mit 18W beschrieben wurde, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und IILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 18W die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Man beachte auch, dass die obigen Beispiele ihren Schwerpunkt auf der Bildung von Vias/Kontakten haben. In anderen Ausführungsformen können ähnliche Methoden jedoch verwendet werden, um Regionen für einen Leitungsendabschluss (Plugs) innerhalb einer Metallleitungsschicht zu bewahren oder zu bilden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Via- und Plug-Strukturierungsmethoden auf Gitterbasis beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Selbstjustierungs- und Super-Selbstjustierungs-Metallvia-Verarbeitungsabläufe gerichtet, die Gitter beinhalten. Hierin beschriebene Ausführungsformen können implementiert werden, um eine Selbstjustierungsmethodik für Metall-/Viaschichten bereitzustellen. Fast alle Plug- und Via-Geometrien werden durch Implementieren von hierin beschriebenen Methoden möglich gemacht. Außerdem kann die finale kritische Abmessung (CD) von Vias unabhängig sein von der Lithographie, die für die Strukturierung implementiert wird. Ferner können hierin beschriebene Methoden einen „Kreislauf“ ermöglichen, bei dem das Ende des Prozessflusses ein Schichtenstapel und ein Layout stehen, die denen des Anfangs des Prozessflusses gleich oder im Wesentlichen gleich sind. Nachdem jede Operation im Prozessfluss entwickelt wurde, kann somit der Prozessfluss so oft wie nötig wiederholt werden, um so viele Metall-/Viaschichten wie erforderlich hinzuzufügen. In mindestens einer bestimmten Ausführungsform wird eine Überlappung zwischen senkrechten Gittern verwendet, um eine Platzierung von Vias und Metallleitungen zu definieren. Die Größe des Vias kann durch die einander überlappenden Bereiche zwischen zwei Gittern bestimmt werden.
  • Um nachstehend beschriebene Ausführungsformen in einen Kontext zu stellen, so können im Vergleich zu Methoden des Standes der Technik für eine Selbstjustierung von Vias hierin beschriebene Methoden fast jede verfügbare Plug- und Viaplatzierung möglich machen. Hierin beschriebene Methoden können eine geringere Zahl an selektiven Ätzungen erfordern. Hierin beschriebene Methoden können CDs von finalen Plugs und Vias bereitstellen, die unabhängig sind von der verwendeten Lithographie. In einem Aspekt ist mindestens eine der hierin beschriebenen Ausführungsformen auf eine Methode gerichtet, die eine unten liegende Metallgitterstruktur als Schablone für den Aufbau darüberliegender leitfähiger Vias nutzt. Man beachte außerdem, dass ähnliche Methoden implementiert werden können, um nicht-leitfähige Zwischenräume oder Unterbrechungen zwischen Metallen (Plugs) herzustellen.
  • In einem beispielhaften Verarbeitungsablauf zeigen die 19A-19L Planansichten (in den oberen Abschnitten der Figuren) und entsprechende Schrägschnittansichten (untere Abschnitte der Figuren), die verschiedene Operationen in Gitter beinhaltenden selbstjustierenden Metallviaverarbeitungsabläufen für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. Man beachte, dass in den Schrägschnittansichten der Übersichtlichkeit halber unterschiedliche Metallisierungsschichten getrennt (in oben und unten) gezeigt sind, auch wenn dies nicht der Realität entspricht.
  • Wie in 19A gezeigt ist, wird eine Ausgangspunktstruktur 1900 als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 1900 weist ein Feld von einander abwechselnden Metallleitungen 1902 und dielektrischen Strecken 1904 auf. Die Metallleitungen 1902 werden bis unter die Zwischenschicht-Dielektrikumsstrecken 1904 abgetragen. Eine Hartmaskenschicht 1906 wird oberhalb der Metallleitungen 1902 und abwechselnd mit den dielektrischen Strecken 1904 angeordnet. In einer Ausführungsform umfassen die dielektrischen Strecken 1904 Siliziumnitrid (SiN), und die Hartmaskenschicht 1906 umfasst Siliziumcarbid (SiC) oder Siliziumoxid (SiO2). Dann wird eine nächste Strukturierungsschicht 1908 oberhalb der Ausgangspunktstruktur 1900 hergestellt, wie in 19B bildlich dargestellt ist. In einer Ausführungsform weist die nächste Strukturierungsschicht 1908 eine Ätzstoppschicht 1910, eine dielektrische Schicht 1912 und eine Gitterstruktur 1914 auf. In einer Ausführungsform umfasst die Ätzstoppschicht 1910 Siliziumoxid (SiO), umfasst die dielektrische Schicht 1912 Siliziumnitrid (SiN) und umfasst die Gitterstruktur 1914 Siliziumoxid (SiO). In einer Ausführungsform wird die Gitterstruktur 1914 unter Verwendung eines Abstandshalbierungs- oder Abstandsviertelungsablaufs, z.B. durch Abstandhalterstrukturierung, ausgebildet.
  • Wie in 19C gezeigt ist, wird die Struktur der Gitterstruktur 1914 auf die dielektrische Schicht 1912 übertragen, um eine strukturierte dielektrische Schicht 1916 zu bilden. In einer Ausführungsform wird die Struktur der Gitterstruktur 1914 anhand eines Ätzprozesses, der eine Ätzstoppschicht 1910 als Endpunkt für den Ätzprozess verwendet, auf die dielektrische Schicht 1912 übertragen. Dann wird eine Durchschlagätzung durchgeführt, um freiliegende Abschnitte der Ätzstoppschicht 1910 zu entfernen, um eine strukturierte Ätzstoppschicht 1918 zu bilden, wie in 19D bildlich dargestellt ist. In einer Ausführungsform legt die Durchschlagätzung alle möglichen Viaorte 1920 offen, die möglicherweise in der Struktur 1900 ausgebildet werden könnten.
  • Wie in 19E gezeigt ist, wird dann durch Ausbilden einer strukturierten Hartmaske 1922 auf der Struktur von 19D an Stellen, wo Plugs bewahrt werden sollen, eine Plugstrukturierung durchgeführt. Eine vereinheitlichte Struktur aus der strukturierten Hartmaske 1922 und der Gitterstruktur 1914 wird dann in die Struktur 1900 übertragen, um eine Struktur 1900' mit Regionen 1924 für die Ausbildung von Metallleitungen innerhalb der Struktur 1900 zu bilden, wie in 19F bildlich dargestellt ist. In einer Ausführungsform wird die vereinheitlichte Struktur aus der strukturierten Hartmaske 1922 und der Gitterstruktur 1914 anhand eines Ätzprozesses in die Struktur 1900 übertragen. Solch ein Ätzprozess kann beide Schichten 1904 und 1906 mit im Wesentlichen der gleichen Rate ätzen (oder kann in mehreren Ätzoperationen durchgeführt werden), und auf ihn kann ein Reinigungsprozess folgen, um die strukturierte Hartmaske 1922 zu entfernen, wie ebenfalls in 19F bildlich dargestellt ist.
  • Wie in 19G gezeigt ist, wird dann durch Ausbilden einer strukturierten lithographischen Maske 1926 auf der Struktur von 19F eine Strukturierung durchgeführt, wobei die strukturierte lithographische Maske 1926 Orte freilegt, wo Vias ausgebildet werden sollen (z.B. durch einen Via-Auswahlprozess). Eine vereinheitlichte Struktur aus der strukturierten lithographischen Maske 1926 und der Gitterstruktur 1914 wird dann in die Struktur 1900 übertragen, um eine Struktur 1900' mit Regionen 1928 für die Ausbildung von Metallvias innerhalb der Struktur 1900' zu bilden, wie in 19H bildlich dargestellt ist. In einer Ausführungsform wird die vereinheitlichte Struktur aus der lithographischen Maske 1926 und der Gitterstruktur 1914 anhand eines Ätzprozesses in die Struktur 1900' übertragen. Solch ein Ätzprozess kann die Schicht 1906 selektiv in Bezug auf die Schicht 1904 ätzen, und auf ihn kann ein Reinigungsprozess folgen, um die strukturierte lithographische Maske 1926 zu entfernen, wie in 19H ebenfalls bildlich dargestellt ist.
  • Wie in 191 dargestellt ist, wird an der Struktur von 191 ein Metallfüllungsprozess durchgeführt, um eine darunterliegende Struktur 1930 bereitzustellen. Durch den Metallfüllungsprozess werden Metallvias 1932 und Metallleitungen 1934 in der Struktur 1930 ausgebildet. Durch den Metallfüllungsprozess können auch Regionen zwischen der Gitterstruktur 1914 mit Metallleitungen 1936 gefüllt werden, wie in 191 bildlich dargestellt ist. In einer Ausführungsform wird der Metallfüllungsprozess unter Verwendung eines Verarbeitungsablaufs mit Metallabscheidung und anschließender Planarisierung durchgeführt. Die Struktur von 191 kann dann dickenmäßig reduziert werden, um die Gitterstruktur 1914 zu entfernen, um das strukturierte Dielektrikum 1916 freizulegen und obere Metallleitungen 1938 bereitzustellen, deren Dicke gegenüber den Metallleitungen 1936 verringert ist, wie in 19J bildlich dargestellt ist. In einer Ausführungsform kann die Struktur von 191 dann anhand eines Planarisierungsprozesses, wie etwa eines chemisch-mechanischen Planarisierungs(CMP)-Prozesses dickenmäßig reduziert werden.
  • Wie in 19K gezeigt ist, werden Metallleitungen 1938 von der 19J entfernt, wodurch eine strukturierte dielektrische Strecke 1916 und eine strukturierte Ätzstoppschicht 1918 zurückbleiben. Die Metallleitungen 1938 können durch einen selektiven Ätzprozess entfernt werden, der die Metallleitungen 1938 entfernt und außerdem sicherstellt, dass kein Metall auf einer Höhe oberhalb der Materialschicht 1904 und 1906 zurückbleibt (d.h. so, dass kein Metall oberhalb der Plugregionen der Struktur 1930 zurückbleibt). Dann wird auf der Struktur von 19K, zwischen den Strecken der strukturierten dielektrischen Schicht 1916, eine Hartmaskenschicht 1940 ausgebildet, wie in 19L bildlich dargestellt ist. In einer Ausführungsform umfasst die Hartmaskenschicht 1940 Siliziumcarbid (SiO2) und wird anhand eines Abscheidungs- und Planarisierungsverarbeitungsablaufs ausgebildet. In einer Ausführungsform umfasst die Hartmaskenschicht 1940 das gleiche Material wie die Hartmaskenschicht 1906. In einer Ausführungsform ist die Oberfläche der Struktur, die aus der strukturierten dielektrischen Schicht 1916 und der Hartmaskenschicht 1940 gebildet ist, im Wesentlichen die gleiche wie die Oberfläche der Ausgangsstruktur 1900 von 19A, wenn auch orthogonal zu dieser. Somit kann in einer Ausführungsform der in Verbindung mit den 19B-19L beschriebene Prozess auf der Struktur von 19L wiederholt werden, um eine nächste Metallisierungsschicht zu bilden, und so weiter.
  • Man beachte, dass der in Verbindung mit den 19B-19L beschriebene Prozess, wenn er auf der Struktur von 19L wiederholt wird, um eine nächste Metallisierungsschicht zu bilden, als Kreislauf bezeichnet werden kann, weil am Ende des Prozessflusses ein Schichtenstapel und ein Layout stehen, die denen zu Beginn des Prozessflusses gleich oder im Wesentlichen gleich sind. In einer Ausführungsform beinhaltet das Ausbilden einer zusätzlichen Metallisierungsschicht die Verwendung eines solchen Kreislaufs. Man beachte jedoch, dass ein Kreislauf oder ein sich wiederholender Ablauf nur für ausgewählte Metallisierungsschichten implementiert werden könnte. Andere Metallisierungsschichten in einem resultierenden Stapel (z.B. Schichten oberhalb oder unterhalb oder zwischen Schichten, die anhand der Verarbeitungsabläufe der 19B-19L hergestellt werden) könnten anhand herkömmlicher dualer Damascene- oder anderer Methoden hergestellt werden.
  • Eine resultierende Struktur, wie 1931, die in Verbindung mit 19L beschrieben wurde, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur 1931 von 19L die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte auch, dass in folgenden Herstellungsoperationen die dielektrischen Strecken entfernt werden können, um Luftspalte zwischen den resultierenden Metallleitungen zu bilden. Man beachte, dass die obigen Beispiele ihren Schwerpunkt auf der Bildung von Vias/Kontakten haben. In anderen Ausführungsformen können ähnliche Methoden jedoch verwendet werden, um Regionen für einen Leitungsendabschluss (Plugs) innerhalb einer Metallleitungsschicht zu bewahren oder zu bilden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Via- und Plug-Strukturierung auf Gitterbasis beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Plugs und Schnitte für die Ausbildung von Merkmalsenden auf Gitterbasis gerichtet. Ausführungsformen können eine oder mehrere von einer Lithographiestrukturierung, einer damit zusammenhängenden Erreichbarkeit von Streckenenden-CDs und einer auf Abstandhaltern basierenden Strukturierung beinhalten. Ausführungsformen verwenden Verfahren zur Erzeugung von Plugs und Schnitten mit einer Platzierungssteuerung und Gleichmäßigkeit eindimensionaler (1D-) Merkmale. Man beachte, dass man einen Kompromiss zwischen einer besseren Steuerung von Streckenenden(Plug)- oder Via-Platzierungen und der Implikation, dass Vias und Streckenenden an stärker beschränkten Orten platziert werden, finden muss.
  • Um die hierin beschriebenen Ausführungsformen in einen Kontext zu stellen, so werden bei der Halbleiterherstellung Gitter-und-Plug- oder Gitter-und-Schnitt-Methoden auf mehrere Schichten angewendet, um eine Strukturierung von Merkmalen mit engeren Abständen zu ermöglichen. Da die Abmessungen von Merkmalen weiter schrumpfen werden, kann die Notwendigkeit, Schnitte und Plugs auf robuste Weise zu strukturieren, die Skalierung und die Ausbeute beschränken. Schnitt- und Plug-Merkmale werden im Allgemeinen direkt durch eine lithographische Operation mit in erster Linie zweidimensionalen (2D-) Merkmalen definiert. Solche 2D-Merkmale weisen eine größere Abweichung und Ungleichmäßigkeit auf als eindimensionale (1D-) Merkmale.
  • Was die nachstehend beschriebenen 20A-20G betrifft, so wird in einer Ausführungsform ein Überblick über einen vereinfachten Strukturierungsprozess zur Erzeugung von gitterdefinierten Plugs präsentiert. Eine ID-Opferstruktur wird orthogonal zu einer primären Richtung einer aktuell gemusterten Schicht erzeugt. Dann wird eine Auswahlmaske verwendet, um die Abschnitte der ID-Struktur zu schneiden oder zu bewahren, die schlussendlich verwendet werden, um Abschnitte des primären Gitters zu schneiden oder zu bewahren. Somit werden die finalen Ränder, die durch das Schneiden/Bewahren an der primären Struktur gebildet werden, mit viel besserer Steuerung und Gleichmäßigkeit von Rändern des ID-Opfergitters definiert. Die 20A-20G zeigen Planansichten (oben) und entsprechende Querschnittsansichten (in der Mitte und unten), die verschiedene Operationen in einem Herstellungsverfahren für gitterbasierte Plugs und Schnitte für die Ausbildung von Merkmalsenden für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 20A gezeigt ist, wird eine Ausgangspunktstruktur 2000 als Anfangspunkt für die Herstellung einer neuen Metallisierungsschicht bereitgestellt. Die Ausgangspunktstruktur 2000 weist eine Zwischenschicht-Dielektrikums(ILD)-Materialschicht 2002 mit einer darauf ausgebildeten ersten Hartmaskenschicht 2004 auf. Eine zweite Hartmaskenschicht 2006 wird auf der ersten Hartmaskenschicht 2004 ausgebildet. Die zweite Hartmaskenschicht 2006 weist eine Gitterstruktur auf, die als eine in erster Linie eindimensionale (1D-) Gitterstruktur betrachtet werden kann. In einer Ausführungsform wird die Gitterstruktur der zweiten Hartmaske 2006 schlussendlich verwendet, um 1D-Orte der finalen Schicht, die strukturiert werden soll, zu definieren, aber es sind noch keine Positionen für Merkmalsenden in sie einstrukturiert. Die erste Hartmaskenschicht 2004 und/oder die zweite Hartmaskenschicht 2006 können aus einem Material wie unter anderem Siliziumnitrid (SiN), Siliziumoxid (SiO2), Titannitrid (TiN) oder Silizium (Si) hergestellt werden. In einer Ausführungsform werden die erste Hartmaskenschicht und die zweite Hartmaskenschicht 2006 aus voneinander verschiedenen Materialien hergestellt.
  • Wie in 20B gezeigt ist, wird eine dritte Hartmaskenschicht 2008 auf der Struktur von 20A ausgebildet. In einer Ausführungsform weist die dritte Hartmaskenschicht 2008 orthogonal zur 1D-Gitterstruktur der zweiten Hartmaskenschicht 2006 eine Gitterstruktur auf, die als in erster Linie eindimensionale (1D-) Gitterstruktur betrachtet werden kann. Die dritte Hartmaskenschicht 2008 kann unter anderem aus einem Material wie Siliziumnitrid (SiN), Siliziumoxid (SiO2), Titannitrid (TiN) oder Silizium (Si) hergestellt werden. In einer Ausführungsform wird die dritte Hartmaskenschicht 2008 aus einem Material hergestellt, das sich von den Materialien der ersten Hartmaskenschicht 2004 und der zweiten Hartmaskenschicht 2006 unterscheidet. Man beachte, dass jede der oben beschriebenen Hartmaskenschichten eigentlich eine Vielzahl von Unterschichten aufweisen kann, z.B. um eine verbesserte Ätzselektivität bereitzustellen.
  • In einer Ausführungsform definieren das Gittermuster der dritten Hartmaskenschicht 2008 und das Gittermuster der zweiten Hartmaskenschicht 2006 gemeinsam alle zulässigen Leitungsendorte für eine Metallleitungs-Metallisierungsschicht. In einer solchen Ausführungsform definieren das Gittermuster der dritten Hartmaskenschicht 2008 und das Gittermuster der zweiten Hartmaskenschicht 2006 gemeinsam Leitungsendorte an Stellen, wo sich die Strecken der Gittermuster gegenseitig überlappen. In einer solchen Ausführungsform definieren das Gittermuster der dritten Hartmaskenschicht 2008 und das Gittermuster der zweiten Hartmaskenschicht 2006 gemeinsam Leitungsendorte an Stellen, wo Zwischenräume zwischen Strecken des Gittermusters freiliegen.
  • Wie in 20C gezeigt ist, wird eine dritte Hartmaskenschicht 2010 auf der Struktur von 20B ausgebildet. Die Regionen der lithographischen Strukturmaske 2010 können aus einer Fotolackschicht oder aus mehreren Fotolackschichten oder einer ähnlichen lithographischen Maske gebildet werden. In einer Ausführungsform stellen die Regionen der lithographischen Strukturmaske 2010 eine Struktur aus Schnitt-/Bewahrungsregionen auf dem Opfergitter bereit, das aus der zweiten Hartmaskenschicht 2006 und der dritten Hartmaskenschicht 2008 gebildet worden ist. In einer Ausführungsform wird dann ein Lithographieprozess verwendet, um Abschnitte des Opfergitters, die schließlich die Endorte einer primären Struktur von Metallleitungen bilden, auszuwählen (zu schneiden oder zu bewahren). In einer solchen Ausführungsform wird 193nm- oder EUV-Lithographie gemeinsam mit einer Ätzübertragung der Fotolackstruktur in darunterliegende Schichten vor dem Ätzen der Opfergitterstruktur verwendet. In einer Ausführungsform beinhaltet der lithographische Prozess mehrere Belichtungen von Fotolackschichten oder eine wiederholte Abscheidungs-/Ätzungs-/Abscheidungsverarbeitung. Man beachte, dass die maskierten Regionen als Schneid- oder Bewahrungsregionen bezeichnet werden können, wo die orthogonalen Gitterüberlappungsregionen oder Zwischenräume zwischen Gittern verwendet werden, um Plug(oder möglicherweise Via)-Orte zu definieren.
  • Wie in 20D gezeigt ist, wird unter Verwendung der Regionen der lithographischen Strukturmaske 2010 der Struktur von 20C als Maske die dritte Hartmaskenschicht 2008 selektiv geätzt, um eine strukturierte Hartmaskenschicht 2012 zu bilden. Das heißt, ein Abschnitt des Opfergitters wird geätzt, um Abschnitte der Struktur der Regionen der lithographischen Strukturmaske 2010, die Abschnitte der dritten Hartmaskenschicht 2008 vor einem Ätzprozess schützen, zu übernehmen. In einer Ausführungsform sind die Abschnitte der dritten Hartmaskenschicht 2008, die in dem Ätzprozess entfernt werden, nicht Teil des finalen angestrebten Designs. In einer Ausführungsform werden die Regionen der lithographischen Strukturmaske 2010 im Anschluss an die Ausbildung der strukturierten Hartmaskenschicht 2012 entfernt, wie in 20D bildlich dargestellt ist.
  • Wie in 20E gezeigt ist, wird eine kombinierte Struktur, die aus der zweiten Hartmaskenschicht 2006 und der strukturierten Hartmaskenschicht 2012 der Struktur von 20D gebildet ist, in die erste Hartmaskenschicht 2004 und die ILD-Materialschicht 2002 übertragen, z.B. durch einen selektiven Ätzprozess. Durch die Strukturierung werden eine strukturierte ILD-Schicht 2014 und eine strukturierte Hartmaskenschicht 2016 gebildet.
  • Wie in 20F gezeigt ist, werden die strukturierte Hartmaskenschicht 2012 und die zweite Hartmaskenschicht 2006 (d.h. das Opfergitter) der Struktur von 20E dann entfernt. Die strukturierte Hartmaskenschicht 2016 kann in diesem Stadium behalten werden, wie in 20F bildlich dargestellt ist, oder kann entfernt werden. Selektive Nass- oder Trockenverarbeitungstechniken können für die Entfernung der strukturierten Hartmaskenschicht 2012 und der zweiten Hartmaskenschicht 2006 (und möglicherweise der strukturierten Hartmaskenschicht 2016) verwendet werden. Man beachte, dass die resultierende Struktur von 20F anschließend als Ausgangspunkt für eine Metallfüllung verwendet werden kann, mit der Option, dass zuerst die verbliebene Hartmaskenschicht 2016 entfernt wird. Die Endorte (Leitungsenden) von späteren Metallmerkmalen werden von den Rändern des ID-Opfergitters definiert, die in die ILD-Materialschicht 2002 übertragen werden, und werden somit gut gesteuert.
  • Wie in 20G gezeigt ist, wird ein Metallfüllungsprozess an der Struktur von 20F durchgeführt, um Metallleitungen 2018 in den Öffnungen in der strukturierten ILD-Schicht 2014 auszubilden. An den Metallleitungen sind durch die Unterbrechungen, die in der strukturierten ILD-Schicht 2014 ausgebildet sind, Leitungsenden ausgebildet. In einer Ausführungsform wird der Metallfüllungsprozess durch Abscheiden und dann Planarisieren einer oder mehrerer Metallschichten über der strukturierten ILD-Schicht 2014 durchgeführt. Die strukturierte Hartmaskenschicht 2016 kann während des Metallabscheidungsprozesses beibehalten und dann während des Planarisierungsprozesses entfernt werden, wie in den 20F und 20G bildlich dargestellt ist. In anderen Ausführungsformen wird jedoch die strukturierte Hartmaskenschicht 2016 vor dem Metallfüllungsprozess entfernt. In noch anderen Ausführungsformen wird die strukturierte Hartmaskenschicht 2016 in der finalen Struktur beibehalten. Es wird erneut auf 20G Bezug genommen und darauf verwiesen, dass die Metallleitungen 2018 über darunterliegenden Merkmalen wie etwa einem leitfähigen Via 2020, das als Beispiel gezeigt ist, ausgebildet werden können.
  • Eine resultierende Struktur, wie sie in Verbindung mit 20G beschrieben wird, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 20G die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. In einer Ausführungsform ist ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, kein Faktor für die hierin beschriebenen resultierenden Strukturen. Man beachte, dass die obigen Beispiele ihren Schwerpunkt auf der Bildung oder Bewahrung von Leitungsenden/Plugs/Schnitten haben. In anderen Ausführungsformen können jedoch ähnliche Methoden verwendet werden, um Vias/Kontakte oberhalb oder unterhalb einer Metallleitungsschicht zu bilden. Man beachte auch, dass in folgenden Herstellungsoperationen die dielektrischen Strecken entfernt werden können, um Luftspalte zwischen den resultierenden Metallleitungen zu bilden.
  • In einer Ausführungsform, für die erneut auf die 20A-20G verwiesen wird, wurde ein Strukturierungsprozess beschrieben, um gitterdefinierte Plugs zu erzeugen. Zu den Vorteilen einer solchen Ausführungsform kann eine bessere Abmessungssteuerung von End-to-End-Merkmalen gehören, wodurch die Wahrscheinlichkeit für einen End-to-End-Kurzschluss (Ertragsausfall), wie er andernfalls unter Bedingungen einer Worst-Case-Prozessabweichung zu beobachten ist, verringert wird. Eine verbesserte Abmessungssteuerung von End-to-End-Merkmalen sorgt bei einer Worst-Case-Prozessabweichung für mehr Fläche, an der die Vias ankommen können, und mehr Deckung. In einer Ausführungsform kann somit eine verbesserte elektrische Verbindung von Schicht zu Schicht mit einer erhöhten Ausbeute und Produktleistung erreicht werden. Durch eine verbesserte Abmessungssteuerung von End-to-End-Merkmalen können geringere End-to-End-Breiten und daher eine bessere Produktdichte (Kosten pro Funktionalität) erreicht werden.
  • In einer Ausführungsform ist ein Vorteil von Ausführungsformen der vorliegenden Offenbarung, dass alle Leitungsendorte von einer einzigen lithographischen Operation definiert werden. Wenn beispielsweise die Abstände von Plugs/Schnitten sehr klein werden, ist die übliche Lösung die Verwendung mehrerer Lithographiedurchgänge mit zusätzlicher Verarbeitung, um eine zusammengesetzte Plug-/Schnittstruktur zu erzeugen. Im Gegensatz dazu sind in hierin beschriebenen Ausführungsformen die Orte von Merkmalsenden eine Funktion einer Vielzahl von lithographischen Operationen und weisen somit eine größere Variation auf als wenn eine einzelne lithographische Operation verwendet wird, um das Merkmalsende zu definieren, wie im Falle von hierin beschriebenen Ausführungsformen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Methoden zum Schneiden von Leitungsenden beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Techniken zum Strukturieren von Metallleitungsenden gerichtet. Ausführungsformen können Aspekte von einer oder mehreren von einer Kontaktherstellung, einer Damascene-Verarbeitung, einer dualen Damascene-Verarbeitung, einer Verbindungsherstellung und einer Metallleitungsgrabenstrukturierung beinhalten.
  • Um dies in einen Kontext zu stellen, so werden in den hochentwickelten Knoten der Halbleiterherstellung die Verbindungen auf unteren Ebenen durch separate Strukturierungsprozesse der Streckengitter, Leitungsenden und Vias erzeugt. Die Maßhaltigkeit wird eher schlechter, je näher die Vias den Leitungsenden kommen, und umgekehrt. Hierin beschriebene Ausführungsformen stellen einen Leitungsendenprozess bereit, der auch als Plug-Prozess bezeichnet wird und der entsprechende Nahbereichsregeln außer Kraft setzt. Ausführungsformen können ermöglichen, dass ein Via am Leitungsende platziert wird und dass ein großes Via über ein Leitungsende hinaus reicht.
  • Um weiteren Kontext bereitzustellen, zeigt 21A eine Planansicht und entlang der a-a'-Achse der Planansicht eine entsprechende Querschnittsansicht einer herkömmlichen Metallisierungsschicht einer Halbleitervorrichtung. 21B zeigt eine Querschnittsansicht eines Leitungsendes oder -Plugs, das anhand eines Verarbeitungsablaufs des Standes der Technik hergestellt worden ist. 21C zeigt eine andere Querschnittsansicht eines Leitungsendes oder -Plugs, das bzw. der anhand eines Verarbeitungsablaufs des Standes der Technik hergestellt worden ist.
  • Wie in 21A gezeigt ist, weist eine Metallisierungsschicht 2100 Metallleitungen 2102 auf, die in einer dielektrischen Schicht 2104 ausgebildet sind. Die Metallleitungen 2102 können mit darunterliegenden Vias 2103 gekoppelt sein. Die dielektrische Schicht 2104 kann Leitungsend- oder Plug-Regionen 2105 aufweisen. Wie in 21B gezeigt ist, kann eine herkömmliche Leitungsend- oder Plug-Region 2105 einer dielektrischen Schicht 2104 durch Strukturieren einer Hartmaskenschicht 2110 auf der dielektrischen Schicht 2104 und dann Ätzen freiliegender Abschnitte der dielektrischen Schicht 2104 hergestellt werden. Die freigelegten Abschnitte der dielektrischen Schicht 2104 können auf eine Tiefe geätzt werden, die dafür geeignet ist, einen Leitungsgraben 2106 zu bilden, oder noch weiter auf eine Tiefe geätzt werden, die geeignet ist, um einen Viagraben 2108 zu bilden. Wie in 21C gezeigt ist, können zwei Vias, die an zwei einander entgegengesetzte Seitenwände des Leitungsendes oder Plugs 2105 angrenzen, auf einmal mit einer großflächigen Freilegungsaktion 2116 hergestellt werden, um Leitungsgräben 2112 und Viagräben 2114 zu bilden.
  • Probleme mit der Formtreue und/oder Hartmaskenerosion können jedoch zu nicht perfekten Strukturierungsverläufen führen, wofür wiederum auf die 21A-21C verwiesen wird. Im Gegensatz dazu beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen einen Prozessfluss, der eine Konstruktion eines Leitungsendendielektrikums (Plugs) nach einem Graben- und Viastrukturierungsprozess beinhaltet. Für einen beispielhaften Verarbeitungsablauf zeigen die 12D-21J Querschnittsansichten, die verschiedene Operationen in einem Prozess der Strukturierung von Metallleitungsenden für Back-End-of-Line(BEOL)-Verbindungen gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 21D gezeigt ist, beinhaltet ein Verfahren zur Herstellung einer Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die das Ausbilden eines Leitungsgrabens 2128 in einem oberen Abschnitt (oberhalb eines unteren Abschnitts 2130) einer Zwischenschicht-Dielektrikums(ILD)-Materialschicht 2126, die oberhalb einer darunterliegenden Metallisierungsschicht 2120 ausgebildet ist. Die darunterliegende Metallisierungsschicht 2120 weist Metallleitungen 2122 auf, die in einer dielektrischen Schicht 2124 angeordnet sind.
  • Wie in 21E gezeigt ist, werden Viagräben 2132A und 2132B im unteren Abschnitt 2130 der ILD-Materialschicht 2126 ausgebildet, um einen strukturierten unteren Abschnitt 2130' der ILD-Materialschicht 2126 auszubilden. Als beispielhafte Ausführungsform legt der Viagraben 2132A zwei Metallleitungen 2122 der darunterliegenden Metallisierungsschicht 2120 frei, während der Viagraben 2132B eine Metallleitung 2122 der darunterliegenden Metallisierungsschicht 2120 freilegt.
  • Wie in 21F gezeigt ist, wird ein Opfermaterial 2134, wie etwa ein Matrixmaterial, oberhalb der ILD-Materialschicht (von der in 21F Abschnitte 2130' gezeigt sind) und im Leitungsgraben 2128 und in den Via-Gräben 2132A und 2132B ausgebildet. In einer Ausführungsform wird eine gemusterte Hartmaske 2136 auf dem Opfermaterial 2134 ausgebildet, wie in 21F bildlich dargestellt ist.
  • Wie in 21G gezeigt ist, wird das Opfermaterial 2134 strukturiert, um eine Öffnung (die Öffnung auf der linken Seite von 21G) zu bilden, die einen Abschnitt der unteren Metallisierungsschicht 2120 zwischen den beiden Metallleitungen 2122 der darunterliegenden Metallisierungsschicht 2120 freilegt, die mit dem Viagraben 2132A von 21E assoziiert ist. In dem gezeigten Ausführungsbeispiel wird das Opfermaterial 2134 weiter strukturiert, um eine Öffnung (die Öffnung auf der rechten Seite von 21G) auszubilden, die einen Abschnitt des strukturierten unteren Abschnitts 2130' der ILD-Materialschicht angrenzend an den Viagraben 2132B von 2E freilegt. In einer Ausführungsform wird das Opfermaterial 2134 durch Übertragen der Struktur der strukturierten Hartmaske 2136 auf das Opfermaterial 2134 anhand eines Ätzprozesses strukturiert.
  • Wie in 21H gezeigt ist, werden die Öffnungen des Opfermaterials 2134 (das nun als strukturiertes und aufgefülltes Opfermaterial 2134' gezeigt ist) mit einem dielektrischen Material 2138 gefüllt. In einer Ausführungsform werden die Öffnungen des Opfermaterials 2134 anhand eines Abscheidungsprozesses, der ausgewählt ist aus der Gruppe bestehend aus Atomschichtabscheidung (ALD) und chemischer Dampfabscheidung (CVD), mit einem dielektrischen Material 2138 gefüllt. In einer Ausführungsform werden die Öffnungen des Opfermaterials 2134 mit dem dielektrischen Material 2138 einer ersten dielektrischen Materialzusammensetzung gefüllt. In einer solchen Ausführungsform weist die ILD-Materialschicht 2126 ein zweites dielektrisches Material auf, das ein anderes Material umfasst als die erste dielektrische Materialzusammensetzung. In einer anderen derartigen Ausführungsform umfasst die ILD-Materialschicht 2126 jedoch das erste dielektrische Material.
  • Wie in 211 gezeigt ist, wird das befüllte Opfermaterial 2134' entfernt, um dielektrische Plugs 2140A und 2140B bereitzustellen. In dem gezeigten Ausführungsbeispiel ist der dielektrische Plug 2140A auf dem Abschnitt der unteren Metallisierungsschicht 2120 zwischen den beiden Metallleitungen 2122 der darunterliegenden Metallisierungsschicht 2120 angeordnet Der dielektrische Plug 2140A grenzt an einen Viagraben 2132A und einen Leitungsgraben 2128' an und liegt in dem Fall, der in 21 gezeigt ist, zwischen im Wesentlichen symmetrischen Viagräben 2132A und Leitungsgräben 2128'. Der dielektrische Plug 2140B ist auf einem Abschnitt des strukturierten unteren Abschnitts 2130' der ILD-Materialschicht 2126 angeordnet. Der dielektrische Plug 2140B ist einem Viagraben 2142B benachbart und entspricht einem Leitungsgraben (auf der rechten Seite des dielektrischen Plug 2140B). In einer Ausführungsform wird die Struktur von 21H einem Planarisierungsprozess unterzogen, der verwendet wird, um Überladungsregionen (Regionen oberhalb von und über Oberflächen auf jeder Seite des Grabens) des dielektrischen Materials 2138 zu entfernen, um die strukturierte Hartmaske 2136 zu entfernen und um eine Höhe des Opfermaterials 2134' und der darin enthaltenen Abschnitte des dielektrischen Materials 2138 zu verringern. Dann wird das Opfermaterial 2134' unter Verwendung einer selektiven Nass- oder Trockenätztechnik entfernt.
  • Wie in 21J gezeigt ist, werden die Leitungsgräben 2128' und die Viagräben 2132A und 2132B mit einem leitfähigen Material gefüllt. In einer Ausführungsform werden durch das Füllen der Leitungsgräben 2128' und der Viagräben 2132A und 2132B mit dem leitfähigen Material Metallleitungen 2142 und leitfähige Vias 2144 in einer strukturierten dielektrischen Schicht 2130' ausgebildet. In einer beispielhaften Ausführungsform, für die auf den Plug 2140A verwiesen wird, grenzen eine erste Metallleitung 2142 und ein erstes leitfähiges Via 2144 direkt an eine linke Seitenwand des dielektrischen Plug 2140A an. Eine zweite Metallleitung 2142 und ein zweites leitfähiges Via 2144 grenzen direkt an eine rechte Seitenwand des dielektrischen Plug 2140A an. Es wird auf den Plug 2140B verwiesen, bei dem eine erste Metallleitung 2142 direkt an die rechte Seitenwand des dielektrischen Plug 2140B angrenzt und ein darunterliegender Abschnitt des strukturierten unteren Abschnitts 2130' der ILD-Schicht direkt an ein erstes leitfähiges Via 2144 angrenzt. Jedoch sind auf der linken Seite des dielektrischen Plug 2140B nur eine Metallleitung 2142, aber kein zugehöriges leitfähiges Via mit dem dielektrischen Plug 2140B assoziiert. In einer Ausführungsform wird der Metallfüllungsprozess durch Abscheiden und dann Planarisieren einer oder mehrerer Metallschichten über der Struktur von 21 durchgeführt.
  • Es wird erneut auf 21J verwiesen, anhand deren Darstellung mehrere unterschiedliche Ausführungsformen veranschaulicht werden können. Zum Beispiel stellt in einer Ausführungsform die Struktur von 21J eine finale Metallisierungsschichtstruktur dar. In einer anderen Ausführungsform werden die dielektrischen Plugs 2140A und 2140B entfernt, um eine Luftspaltstruktur bereitzustellen. In einer anderen Ausführungsform werden die dielektrischen Plugs 2140A und 2140B durch ein anderes dielektrisches Material ersetzt. In einer anderen Ausführungsform können die dielektrischen Plugs 2140A und 2140B ein Opfermuster sein, das schlussendlich auf eine andere darunterliegende Zwischenschicht aus einer Schicht aus dielektrischem Material übertragen wird.
  • In einer beispielhaften Ausführungsform, für die erneut auf 21J (und vorangehende Verarbeitungsoperationen) verwiesen wird, weist eine Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die eine Metallleitung 2142 auf, die in einem Graben 2128' einer Zwischenschicht-Dielektrikums(ILD)-Materialschicht 2126 angeordnet ist. In einer anderen derartigen Ausführungsform umfasst die ILD-Materialschicht 2126 jedoch ein erstes dielektrisches Material. Ein leitfähiges Via 2144 ist in der Materialschicht aus ILD 2126 unterhalb und elektrisch verbunden mit der Metallleitung 2142 angeordnet. Ein dielektrischer Plug 2140A (oder 2140B) grenzt direkt an die Metallleitung 2142 und das leitfähige Via 2144 an. Eine zweite Metallleitung 2142 und das leitfähige Via 2144 können auch direkt an den dielektrischen Plug (z.B. den dielektrischen Plug 2140A) angrenzen. In einer Ausführungsform umfasst der dielektrische Plug 2140A (oder 2140B) ein zweites dielektrisches Material, das vom ersten dielektrischen Material verschieden ist.
  • Man beachte, dass eine Füllung der Öffnungen des Opfermaterials 2134 mit dem dielektrischen Material zur Bildung einer Naht im dielektrischen Material ungefähr in der Mitte des resultierenden dielektrischen Plug führen kann. Als Beispiel zeigt 21K zeigt eine Querschnittsansicht einer Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die, der dielektrische Leitungsenden oder Plugs mit einer Naht darin enthält, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 21K gezeigt ist, weist eine Metalloxidschicht einer Verbindungsstruktur für ein Halbleiter-Die Metallleitungen 2140 auf, die in Gräben einer Zwischenschicht-Dielektrikums(ILD-Materialschicht (von der ein unterer Abschnitt 2130' gezeigt ist) angeordnet sind. Leitfähige Vias 2144 sind in der ILD-Materialschicht 2130' unterhalb und elektrisch verbunden mit den Metallleitungen 2142 angeordnet. Dielektrische Plugs 2152A und 2152B grenzen direkt an die Metallleitungen 2142 und die leitfähigen Vias 2144 an. Die dielektrischen Plugs 2152A und 2152B weisen jeweils eine Naht 2150 ungefähr in der Mitte des dielektrischen Plug auf, die z.B. auf die Bildung des dielektrischen Plug durch Abscheidung anhand einer chemischen Dampfabscheidung (CVD) oder Atomschichtabscheidung (ALD) zurückzuführen ist.
  • Man beachte, dass ein Leitungsende oder ein Plug mit Metallleitungen assoziiert sein kann, die keine darunterliegenden Vias aufweisen, die direkt an den dielektrischen Plug angrenzen. Zum Beispiel zeigt 21L eine Querschnittsansicht einer Metallisierungsschicht einer Verbindungsstruktur für einen Halbleiter-Die, der ein dielektrisches Leitungsende oder einen Plug aufweist, das bzw. der nicht unmittelbar an ein leitfähiges Via angrenzt, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 21L gezeigt ist, ist der dielektrische Plug 2152 mit Metallleitungen 2142 assoziiert, die keine darunterliegenden Vias (wie etwa Vias 2144) aufweisen, die unmittelbar an den dielektrischen Plug 2152 angrenzen (und über einer assoziierten strukturierten dielektrischen Schicht 2154' liegen).
  • Eine resultierende Struktur, wie sie in Verbindung mit 21J, 21K oder 21L beschrieben wird, kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 21J, 21K oder 21L die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. In einer Ausführungsform ist ein Versatz aufgrund einer herkömmlichen lithographischen/dualen Damascene-Strukturierung, der andernfalls toleriert werden müsste, bei den hierin beschriebenen resultierenden Strukturen gemildert. Man beachte auch, dass in folgenden Herstellungsoperationen die dielektrische(n) Strecke(n) entfernt werden kann (können), um Luftspalte zwischen den resultierenden Metallleitungen zu bilden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird ein selbstjustiertes Ätzen vorab ausgebildeter Vias und Plugs beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf eine selbstjustierende Via- und Plugstrukturierung gerichtet. Der Selbstjustierungsaspekt der hierin beschriebenen Prozesse kann auf einem Mechanismus einer gerichteten Selbstorganisation (DSA) basieren, wie nachstehend ausführlicher beschrieben wird. Man beachte jedoch, dass ein selektiver Züchtungsmechanismus anstelle von oder in Kombination mit DSA-basierten Methoden verwendet werden kann. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen.
  • Hierin beschriebene Ausführungsformen können auf eine selbstjustierende isotrope Ätzverarbeitung vorab ausgebildeter Vias oder Plugs oder von beidem gerichtet sein. Zum Beispiel kann ein Verarbeitungsablauf eine vorab erfolgende Ausbildung aller möglichen Vias und Plugs in einer Metallisierungsschicht, wie etwa einer Back-End-of-Line-Metallisierungsschicht einer Halbleiterstruktur, beinhalten. Dann wird eine Lithographie angewendet, um bestimmte Via- und/oder Plug-Orte zum Öffnen/Verschließen (z.B. zum Behalten/Entfernen) auszuwählen. Eine Implementierung von hierin beschriebenen Ausführungsformen kann die Verwendung eines solchen Ätzschemas beinhalten, um alle Vias/Plugs in einer Photobucket-Anordnung für jede entsprechende Via-/Metallschicht in einem Metallisierungsstapel auszubilden. Man beachte, dass Vias in einer Schicht ausgebildet werden können, die von einer Schicht verschieden ist, in der Plugs ausgebildet werden (wobei Letztere in einer Metallleitungsschicht ausgebildet werden, die vertikal zwischen Viaschichten liegt), oder dass Plugs und Vias in ein und derselben Schicht ausgebildet werden können.
  • Mindestens eine hierin beschriebene Ausführungsform bietet eine effizientere Strukturierungsmethode für die Maximierung des Überdeckungsprozessfensters, die Minimierung der Größe und Form benötigter Strukturen und die Erhöhung der Effizienz des Lithographieprozesses für die Strukturierung von Löchern oder Plugs. In einer spezielleren Ausführungsform kann eine Struktur, die nötig ist, um einen zuvor ausgebildeten Ort für ein Via oder ein Plug zu öffnen, relativ klein gestaltet werden, wodurch eine Vergrößerung des Überdeckungsspielraums eines lithographischen Prozesses ermöglicht wird. Die Strukturmerkmale können so gestaltet werden, dass sie eine einheitliche Größe aufweisen, wodurch eine Abtastzeit beim Direktschreiben mit Elektronenstrahlen verkürzt und/oder die Komplexität einer optischen Nahbereichskorrektur (OPC) mit optischer Lithographie verringert werden kann/können. Die Strukturmerkmale können auch flach gestaltet werden, wodurch die Strukturauflösung verbessert werden kann. Ein anschließend durchgeführter Ätzprozess kann eine isotrope, chemisch selektive Ätzung sein. Ein solcher Ätzprozess mildert, die andernfalls mit Profil- und kritischen Abmessungen assoziiert sind, und mildert Anisotropieprobleme, die typischerweise mit Trockenätzmethoden assoziiert sind. Solch ein Ätzprozess ist unter dem Gesichtspunkt der notwendigen Ausrüstung und des Durchsatzes auch wesentlich preisgünstiger als andere selektive Entfernungsmethoden.
  • Als beispielhaften allgemeinen Verarbeitungsablauf zeigen die 22A-22G Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren darstellen, das eine selbstjustierende isotrope Ätzung an vorab ausgebildeten Via- oder Plug-Orten beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung. In jeder Darstellung sind für jede beschriebene Operation Planansichten auf der linken Seite gezeigt und entsprechende Querschnittsansichten sind auf der rechten Seite gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Planansichten bezeichnet.
  • 22A zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse a-a') einer Ausgangsstruktur im Anschluss an eine Vorabstrukturierung von Löchern/Gräben 2204 in einem Substrat oder einer Schicht 2202. In einer Ausführungsform ist das Substrat oder die Schicht 2202 eine Schicht aus Zwischenschicht-Dielektrikums(ILD)-Material.
  • Auch wenn dies der Einfachheit halber nicht dargestellt ist, ist zu beachten, dass die Löcher/Gräben 2204 darunterliegende Merkmale, wie etwa darunterliegende Metallleitungen, freilegen können. Ferner kann in einer Ausführungsform die Ausgangsstruktur in einer gitterartigen Struktur strukturiert werden, wobei Löcher/Gräben 2204 mit einem konstanten Abstand voneinander beabstandet sind und eine konstante Breite aufweisen. Die Struktur kann beispielsweise durch eine Abstandshalbierungs- bzw. Abstandsviertelungsmethode hergestellt werden. In dem Fall, dass eine Viaschicht hergestellt wird, können manche von den Löchern/Gräben 2204 mit darunterliegenden Metallisierungsschichten einer tieferen Ebene assoziiert sein.
  • 22B zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse b-b') der Struktur von 22A im Anschluss an die Füllung von Löchern/Gräben 2204 mit einem Opfer- oder einem permanenten Platzhaltermaterial 2206. In dem Fall, dass ein permanentes Platzhaltermaterial verwendet wird, kann ein ILD-Material verwendet werden, um Löcher/Gräben 2204 zu füllen. In dem Fall, dass ein Opfer-Platzhaltermaterial verwendet wird, kann man sich mehr Flexibilität bei der Design-Auswahl leisten. Zum Beispiel kann in einer Ausführungsform ein Material verwendet werden, das andernfalls nicht geeignet sein würde, um es in einer finalen Struktur beizubehalten, wie etwa ein strukturell schwächeres Polymer oder ein weiches Fotolackmaterial. Wie in der Querschnittsansicht von 22B bildlich dargestellt ist, kann die Ausbildung einer leichten Vertiefung 2208 in dem Opfer- oder permanenten Platzhaltermaterial 2206 in den Löchern/Gräben 2204 einbezogen werden, um eine anschließende Verarbeitung zu erleichtern. In einer Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein durch Rotationsbeschichtung aufgebrachtes dielektrisches Material.
  • 22C zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse c-c') der Struktur von 22B im Anschluss an die Ausbildung einer Strukturbildungsschicht 2210. In einer Ausführungsform ist die Strukturbildungsschicht 2210 ein lichtempfindliches Material, wie etwa eine Positivton-Fotolackschicht. In einer anderen Ausführungsform ist die Strukturierungsschicht 2210 ein antireflektives Überzugsmaterial. In einer Ausführungsform weist die Strukturierungsschicht 2210 einen Stapel aus Materialschichten auf, der eine oder mehrere lichtempfindliche Materialschichten und/oder eine oder mehrere antireflektive Überzugsmaterialschichten aufweist.
  • 22D zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse d-d') der Struktur von 22C im Anschluss an die Strukturierung der Strukturbildungsschicht 2210, um Öffnungen 2212 in der Strukturierungsschicht 2210 auszubilden. Wie in 22D gezeigt ist, legen die Öffnungen 2212 darunterliegende Abschnitte des Opfer- oder des permanenten Platzhaltermaterials 2206 frei. Genauer legen die Öffnungen 2212 darunterliegende Abschnitte des Opfer- oder des permanenten Platzhaltermaterials 2206 nur an den Löchern/Gräben 2204 frei, die für die Ausbildung eines Vias oder Plugs ausgewählt worden sind. In einer Ausführungsform sind die Öffnungen 2212 in der Strukturierungsschicht 2210 wesentlich kleiner als die freigelegten Löcher/Gräben 2204. Wie oben kurz beschrieben wurde, sorgt die Ausbildung von Öffnungen 2212, die relativ kleiner sind als freigelegte Löcher/Gräben 2204 für eine deutlich erhöhte Toleranz gegenüber Fehlausrichtungsproblemen. In einer Ausführungsform ist die Strukturierungsschicht 2210 ein lichtempfindliches Material, und die Öffnungen 2212 werden durch einen lithographischen Prozess, wie etwa einen Positivton-Lithographieprozess, ausgebildet.
  • 22E zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse e-e') der Struktur von 22D im Anschluss an die Entfernung des Opfer- oder des permanenten Platzhaltermaterials 2206 an Orten, die von den Öffnungen 2212 freigelegt werden, um nochmals freigelegte Löcher/Gräben 2204 zu bilden. In einer Ausführungsform wird das Opfer- oder das permanente Platzhaltermaterial 2206 durch einen isotropen Ätzprozess entfernt. In einer solchen Ausführungsform beinhaltet der isotrope Ätzprozess die Anwendung eines Nassätzmittels. Das Nassätzmittel gelangt durch die Öffnungen 2212 zum Opfer- oder zum permanenten Platzhaltermaterial 2206 und ätzt dieses. Der Ätzprozess ist in dem Sinne isotrop, dass das Material, das nicht von den Öffnungen 2212 freigelegt wird, aber über die Öffnungen 2212 zugänglich ist, geätzt werden kann, um selektiv nochmals freigelegte Löcher/Gräben 2214 an Orten auszubilden, wo eine Via- oder Plug-Ausbildung gewünscht ist. In einer Ausführungsform ätzt der Nassätzprozess das Opfer- oder das permanente Platzhaltermaterial 2206 ohne Ätzen oder ohne nennenswertes Ätzen der Strukturierungsschicht 2210.
  • In einer Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein durch Rotationsbeschichtung aufgebrachtes Kohlenstoffhartmaskenmaterial und der Ätzprozess ist ein Ätzprozess auf TMAH-Basis. In einer anderen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein durch Rotationsbeschichtung aufgebrachtes antireflektives Unterseitenüberzugs(BARC)-Material und der Ätzprozess ist ein Ätzprozess auf TMAH-Basis. In einer anderen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein durch Rotationsbeschichtung aufgebrachtes Unterseitenglasmaterial und der Ätzprozess ist ein Nassätzprozess auf Basis eines organischen Lösungsmittels, einer Säure oder einer Base. In einer anderen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein durch Rotationsbeschichtung aufgebrachtes Metalloxidmaterial und der Ätzprozess ist ein Nassätzprozess auf Basis von im Handel erhältlichen Reinigungschemikalien. In einer anderen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein CVD-Kohlenstoffmaterial und der Ätzprozess basiert auf einer Sauerstoff-Plasmaveraschung.
  • 22F zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse f-f) der Struktur von 22E im Anschluss an die Entfernung der Strukturierungsschicht 2210. In einer Ausführungsform ist die Strukturierungsschicht 2210 eine Fotolackschicht und die Fotolackschicht wird durch einen Nassstripp- oder Plasmaveraschungsprozess entfernt. Durch die Entfernung der Strukturierungsschicht 2210 werden die nochmals freigelegten Löcher/Gräben 2214 vollständig freigelegt.
  • 22G zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse g-g') der Struktur von 22F im Anschluss an die Füllung der nochmals freigelegten Löcher/Gräben 2214 mit einer Materialschicht 2216 und eine anschließende Planarisierung. In einer Ausführungsform dient die Materialschicht 2216 der Ausbildung von Plugs und ist ein permanentes ILD-Material. In einer anderen Ausführungsform dient die Materialschicht 116 der Ausbildung leitfähiger Vias und ist eine Metallfüllschicht. In einer solchen Ausführungsform ist die Metallfüllschicht eine Schicht aus nur einem Material oder wird aus mehreren Schichten ausgebildet, einschließlich von Schichten aus leitfähigen Verkleidungsschichten und Füllschichten. Jeder geeignete Abscheidungsprozess, wie etwa Galvanisierung, chemische Dampfabscheidung oder physikalische Dampfabscheidung, kann verwendet werden, um eine solche Metallfüllschicht zu bilden. In einer Ausführungsform umfasst die Metallfüllschicht ein leitfähiges Material wie unter anderem Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au oder Legierungen davon. In dem Fall, dass die Materialschicht 116 im Anschluss an die Abscheidung planarisiert wird, kann ein chemisch-mechanischer Polierprozess verwendet werden.
  • In einer Ausführungsform ist die Materialschicht 2216 ein Material, das sich für die Ausbildung eines leitfähigen Via eignet. In einer solchen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein permanentes Platzhaltermaterial wie etwa ein permanentes ILD-Material. In einer anderen solchen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein Opfer-Platzhaltermaterial, das anschließend entfernt und durch ein Material wie etwa ein permanentes ILD-Material ersetzt wird. In einer anderen Ausführungsform ist die Materialschicht 2216 ein Material, das sich für die Ausbildung eines dielektrischen Plug eignet. In einer solchen Ausführungsform ist das Opfer- oder das permanente Platzhaltermaterial 2206 ein Opfer-Platzhaltermaterial, das anschließend entfernt oder teilweise entfernt wird, um die Ausbildung einer Metallleitung zu ermöglichen.
  • Man beachte, dass die resultierende Struktur von 22G anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden kann. Alternativ dazu kann die Struktur von 22G die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Ferner sei klargestellt, dass die obigen Beispiele in den Figuren keine Ätzstopp- oder Metallabdeckschichten aufweisen, die ansonsten für eine Strukturierung nötig sein können. Es sei jedoch klargestellt, dass solche Schichten in den Figuren nicht enthalten sind, weil sie keine Auswirkung auf das Gesamtkonzept haben.
  • In einem anderen Aspekt sind Ausführungsformen auf einen Prozessfluss gerichtet, der eine isotrope Trockenätzung zusammen mit einem Lochschrumpfungsprozess implementiert. In einer solchen Ausführungsform ermöglicht ein Strukturierungsablauf im Anschluss an das Füllen aller Viaorte mit einem organischen Polymer eine Nadellochstrukturierung in einer Maskenschicht. Als beispielhaften Verarbeitungsablauf zeigen die 22H-22J Schrägschnittansichten, die Abschnitte von Schichten integrierter Schaltungen zeigen, die verschiedene Operationen in einem Verfahren gemäß einer Ausführungsform der vorliegenden Offenbarung, das eine selbstjustierende isotrope Ätzung an vorab ausgebildeten Via-Orten beinhaltet, darstellen.
  • 22H zeigt eine Ausgangsstruktur im Anschluss an ein Füllen aller möglichen Viaorte mit einem Platzhaltermaterial. Wie in 22H gezeigt ist, wird eine Metallisierungsschicht 2252 (wie etwa eine ILD-Schicht einer Metallisierungsschicht) oberhalb eines Substrats (nicht gezeigt) ausgebildet und enthält eine Vielzahl von Metallleitungen 2254. ILD-Material(ien), wobei es sich um zwei oder mehr unterschiedliche ILD-Materialien 2256 und 2258 handeln kann, umgeben die Orte, wo Vias möglicherweise ausgebildet werden. Ein Opfer-Platzhaltermaterial 2260 besetzt alle möglichen Orte oberhalb der Metallleitungen 2252, wo Vias ausgebildet werden können. Eine Maskenschicht 2262, wie etwa eine dünne Niedertemperatur-Oxidmaskenschicht, wird auf der darunterliegenden Struktur ausgebildet. Man beachte, dass kein Opfer-Platzhaltermaterial 2260 über angrenzenden Merkmalen vorhanden ist, was durch einen Abscheidungs- und Planarisierungs- oder Abtragungsprozess erreicht werden kann.
  • 221 zeigt die Struktur von 22H im Anschluss an die Strukturierung der Hartmaskenschicht 2262, um Öffnungen 2264 in der Maskenschicht 2262 auszubilden. Wie in 221 gezeigt ist, legen die Öffnungen 2264 darunterliegende Abschnitte des Opfer-Platzhaltermaterials 2260 frei. Genauer legen die Öffnungen 2264 darunterliegende Abschnitte des Opfer-Platzhaltermaterials 2260 nur an den Orten frei, die für die Ausbildung eines Via ausgewählt worden sind. In einer Ausführungsform sind die Öffnungen 2264 in der Maskenschicht 2262 wesentlich kleiner als das freigelegte Opfer-Platzhaltermaterial 2260. Wie oben kurz beschrieben wurde, sorgt die Ausbildung von Öffnungen 2264, die relativ kleiner sind als das freigelegte Opfer-Platzhaltermaterial 2260, für eine deutlich erhöhte Toleranz gegenüber Fehlausrichtungsproblemen. Durch den Prozess werden die Viaorte in Bezug auf die Auswahl und Strukturierung der tatsächlichen Viaorte effektiv auf die Abmessung von „Nadellöchern“ geschrumpft. In einer Ausführungsform wird dadurch, dass zuerst ein lichtempfindliches Material anhand eines lithographischen Prozesses, wie etwa eines Positivton-Lithographieprozesses, auf der Maskenschicht 2262 ausgebildet und strukturiert wird und dann die Maskenschicht 2262 durch einen Ätzprozess strukturiert wird, die Maskenschicht 2262 mit Öffnungen 2262 strukturiert.
  • 22J zeigt die Struktur von 221 im Anschluss an die Entfernung des Opfer-Platzhaltermaterials 2260 an Orten, die von den Öffnungen 2264 freigelegt werden, um freiliegende Via-Orte 2266 zu bilden. In einer Ausführungsform wird das Opfer-Platzhaltermaterial 2260 an den Viaorten 2266 durch einen isotropen Ätzprozess entfernt. In einer solchen Ausführungsform ist das Opfer-Platzhaltermaterial 2260 ein organisches Polymer und der isotrope Ätzprozess ist ein isotroper Plasmaveraschungs-(Sauerstoffplasma-) oder Nassreinigungsprozess.
  • Mit erneutem Bezug auf 22J sei klargestellt, dass eine anschließende Verarbeitung eine Entfernung der Maskenschicht 2262 und eine Füllung von Löchern/Gräben 2266 mit einem leitfähigen Viamaterial beinhalten kann. Außerdem kann verbliebenes Opfer-Platzhaltermaterial 2260, das von den Öffnungen 2264 nicht freigelegt wird (d.h. nicht für Viaorte ausgewählt wird) durch ein permanentes ILD-Material ersetzt werden. Die resultierende Struktur kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die resultierende Struktur die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen.
  • Gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung können hierin beschriebene Methoden wie oben angegeben auf der Verwendung sogenannter „Photobuckets“ beruhen, bei denen jedes mögliche Merkmal, z.B. Via oder Plug, vorab in ein Substrat einstrukturiert wird. Dann wird ein Fotolack in Strukturmerkmale gefüllt und die Lithographieoperation wird lediglich verwendet, um ausgewählte Vias für die Bildung von Viaöffnungen zu wählen. Die Photobucket-Methode kann größere kritische Abmessungen (CD)s und/oder Fehler in der Überdeckung zulassen, während die Fähigkeit, das Via oder den Plug von Interesse zu wählen, beibehalten wird. Lithographiemethoden für die Auswahl bestimmter Photobuckets können unter anderem 193nm-Immersionslithographie (il93), Extremultraviolett(EUV)- und/oder direktschreibende Elektronenstrahl(EBDW)-Lithographie einschließen.
  • Insgesamt wird gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung eine DSA-Methode oder eine subtraktive Methode lichtempfindlich gemacht. In einer Ansicht wird eine Ausbildung von Photobuckets erreicht, wo Anforderungen an die Lithographie gelockert sind und eine Fehlausrichtungstoleranz hoch sein kann, da das Photobucket von nicht durch Photolyse abbaubaren Materialien umgeben ist. Ferner kann in einer Ausführungsform ein solches Photobucket statt einer Belichtung bei z.B. 30 mJ/cm2 mit z.B. 3 mJ/cm2 belichtet werden. Normalerweise würde das eine sehr schlechte CD-Steuerung und Rauigkeit zur Folge haben. Aber in diesem Fall wird die CD- und Rauigkeitssteuerung von der Photobucket-Geometrie definiert, die sehr gut gesteuert und definiert werden kann. Somit kann solch eine Photobucket-Methode verwendet werden, um einen Bild/Dosis-Kompromiss zu umgehen, der den Durchsatz von lithographischen Prozessen der nächsten Generation beschränkt. In einer Ausführungsform wird Photobucket-Material, das nicht für die Entfernung ausgewählt wird, schlussendlich als permanenter ILD-Ab schnitt in einer Halbleiterstruktur behalten. In einer anderen Ausführungsform wird Photobucket-Material, das nicht für die Entfernung ausgewählt wird, gegen einen permanenten ILD-Abschnitt in einer Halbleiterstruktur ausgetauscht.
  • In einer Ausführungsform unterscheidet sich eine Photobucket-„IILD“-Zusammensetzung typischerweise sehr stark von einem Standard-IILD, und in einer Ausführungsform ist sie in beiden Richtungen stark selbstjustierend. Allgemeiner gesagt beinhaltet der Begriff Photobucket, wie hierin verwendet, in einer Ausführungsform einen ultraschnell wirkenden Fotolack oder Elektronenstrahlresist oder ein anderes lichtempfindliches Material, das in geätzten Öffnungen ausgebildet wird. In einer solchen Ausführungsform wird ein thermisch bedingtes Rückfließen von Polymer in die Öffnungen verwendet, an das sich eine Rotationsbeschichtung anschließt. In einer Ausführungsform wird der schnell wirkende Fotolack durch Entfernen eines Quenchers von einem vorhandenen Fotolackmaterial hergestellt. In einer anderen Ausführungsformen werden die Photobuckets durch einen Rückätzprozess und/oder einen Lithographie-/Schrumpfungs-/Ätzprozess ausgebildet. Man beachte, dass die Photobuckets nicht mit eigentlichem Fotolack gefüllt werden müssen, solange das Material als lichtempfindlicher Schalter wirkt. In einer Ausführungsform wird Lithographie verwendet, um die entsprechenden Photobuckets freizulegen, die für die Entfernung ausgewählt worden sind. Jedoch können die Anforderungen an die Lithographie gelockert werden, und eine Fehlausrichtungstoleranz kann hoch sein, da die Photobuckets von nicht durch Photolyse abbaubaren Materialien umgeben sind. In einer Ausführungsform werden die Photobuckets einer Belichtung mit Extremultraviolett(EUV)-Licht unterzogen, um die Photobuckets freizulegen, wobei in einer konkreten Ausführungsform die EUV-Belichtung im Bereich von 5-15 Nanometern liegt. Auch wenn viele hierin beschriebenen Ausführungsformen ein Photobucket-Material auf Basis von Polymeren beinhalten, wird in anderen Ausführungsformen ein Photobucket-Material auf Basis von Nanopartikeln auf ähnliche Weise implementiert.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Photobucket-Methode beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf subtraktive Methoden für eine selbstjustierende Via- und Plugstrukturierung und eine daraus entstehende Struktur gerichtet. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen. Überdeckungsprobleme, die für eine Via- und Plug-Strukturierung der nächsten Generation zu erwarten sind, können durch eine oder mehrere der hierin beschriebenen Methoden entschärft werden. Genauer beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen ein subtraktives Verfahren zur Vorab-Ausbildung jedes Via und Plug mittels der bereits geätzten Gräben. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Vias und Plugs behalten werden sollen. Solche Operationen können unter Verwendung von Photobuckets dargestellt werden, aber der Auswahlprozess kann auch unter Verwendung einer konventionelleren Methode durchgeführt werden, die eine Fotolackbelichtung und ILD-Wiederauffüllung beinhaltet.
  • In einem ersten Aspekt wird eine Erst-Vias-dann-Plugs-Methode verwendet. Als Beispiel zeigen die 23A-23L Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via- und Plug-Strukturierung gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind bei jeder beschriebenen Operation Querschnitts- und/oder Schrägansichten gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Schrägansichten bezeichnet.
  • 23A zeigt eine Querschnittsansicht einer Ausgangsstruktur 2300 im Anschluss an eine Abscheidung, aber vor einer Strukturierung einer ersten Hartmaskenmaterialschicht 2304, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht 2302 ausgebildet wird, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23A gezeigt ist, weist eine strukturierte Maske 2306 Abstandhalter 2308, die entlang ihrer Seitenwände ausgebildet sind, auf oder oberhalb der ersten Hartmaskenmaterialschicht 2304 auf.
  • 23B zeigt die Struktur von 23A im Anschluss an die Strukturierung der ersten Hartmaskenschicht durch Abstandsverdoppelung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23B gezeigt ist, wird die strukturierte Maske 2306 entfernt und die resultierende Struktur der Abstandhalter 2308 wird, z.B. durch einen Ätzprozess, auf die erste Hartmaskenmaterialschicht 2304 übertragen, um eine erste strukturierte Hartmaske 2310 zu bilden. In einer solchen Ausführungsform wird die erste strukturierte Hartmaske 2310 mit einer Gitterstruktur ausgebildet, wie in 23B bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur der ersten strukturierten Hartmaske 2310 eine Gitterstruktur mit engen Abständen. In einer konkreten Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden (Maske 2306), aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden, wie in den 23A und 23B bildlich dargestellt ist. Darüber hinaus kann der ursprüngliche Abstand durch eine zweite Runde einer Abstandshaltermaskenstrukturierung, die jedoch nicht dargestellt ist, geviertelt werden. Demgemäß kann die gitterartige Struktur der ersten strukturierten Hartmaske 2310 von 23B Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen.
  • 23C zeigt die Struktur von 23B im Anschluss an die Bildung einer zweiten strukturierten Hartmaske gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23C gezeigt ist, wird eine zweite strukturierte Hartmaske 2312 so ausgebildet, dass sie mit der ersten strukturierten Hartmaske 2310 verschränkt ist. In einer solchen Ausführungsform wird die zweite strukturierte Hartmaske 2312 durch Abscheiden einer zweiten Schicht aus Hartmaskenmaterial (mit einer Zusammensetzung, die von derjenigen der ersten Hartmaskenmaterialschicht 2304 verschieden ist) ausgebildet. Dann wird die zweite Schicht aus Hartmaskenmaterial planarisiert, z.B. durch chemisch-mechanische Polierung (CMP), um die zweite strukturierte Hartmaske 2312 bereitzustellen.
  • 23D zeigt die Struktur von 23C im Anschluss an die Abscheidung einer Hartmaskenabdeckschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23D gezeigt ist, wird eine Hartmaskenabdeckschicht 2314 auf der ersten strukturierten Hartmaske 2310 und der ersten strukturierten Hartmaske 2312 ausgebildet. In einer solchen Ausführungsform sind die Materialzusammensetzung und die Ätzselektivität der Hartmaskenabdeckschicht 2314 im Vergleich zur ersten strukturierten Hartmaske 2310 und zur ersten strukturierten Hartmaske 2312 anders.
  • 23E zeigt die Struktur von 23D im Anschluss an die Strukturierung der Hartmaskenabdeckschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23E gezeigt ist, wird eine strukturierte Hartmaskenabdeckschicht 2314 auf der ersten strukturierten Hartmaske 2310 und der ersten strukturierten Hartmaske 2312 ausgebildet. In einer solchen Ausführungsform wird die strukturierte Hartmaskenabdeckschicht 2314 mit einer Gitterstruktur ausgebildet, die orthogonal zur Gitterstruktur der ersten strukturierten Hartmaske 2310 und der ersten strukturierten Hartmaske 2312 ist, wie in 23E bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur, die von der strukturierten Hartmaskenabdeckschicht 2314 gebildet wird, eine Gitterstruktur mit engen Abständen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der strukturierten Hartmaskenabdeckschicht 2314 von 23E Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen.
  • 23F zeigt die Struktur von 23E im Anschluss an eine weitere Strukturierung der ersten strukturierten Hartmaske und eine anschließende Ausbildung einer Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23F gezeigt ist, wird unter Verwendung der strukturierten Hartmaskenabdeckschicht 2314 als Maske die erste strukturierte Hartmaske 2310 weiter strukturiert, um die erste strukturierte Hartmaske 2316 zu bilden. Die zweite strukturierte Hartmaske 2312 wird in diesem Prozess nicht weiter strukturiert. Anschließend wird die strukturierte Hartmaskenabdeckschicht 2314 entfernt, und Photobuckets 2318 werden in den resultierenden Öffnungen oberhalb der ILD-Schicht 2302 ausgebildet. In diesem Stadium stellen die Photobuckets 2318 alle möglichen Viaorte in einer resultierenden Metallisierungsschicht dar.
  • 23G zeigt die Struktur von 23F im Anschluss an die Photobucket-Freilegung und -Entwicklung, um ausgewählte Viaorte zurückzulassen, und eine anschließende Viaöffnungsätzung in das darunterliegende ILD gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23G gezeigt ist, werden ausgewählte Photobuckets 2318 belichtet und entfernt, um ausgewählte Viaorte 2320 bereitzustellen. Die Viaorte 2320 werden einem selektiven Ätzprozess unterzogen, wie etwa einem selektiven Plasmaätzprozess, um die Viaöffnungen in die darunterliegende ILD-Schicht 2302 hinein zu erweitern, wodurch die strukturierte ILD-Schicht 2302' ausgebildet wird. Die Ätzung ist selektiv für die verbliebenen Photobuckets 2318, für die erste strukturierte Hartmaske 2316 und die zweite strukturierte Hartmaske 2312.
  • 23H zeigt die Struktur von 23G im Anschluss an eine Entfernung der verbliebenen Photobuckets, eine anschließende Ausbildung von Hartmaskenmaterial und eine anschließende Ausbildung einer zweiten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23H gezeigt ist, werden die verbliebenen Photobuckets entfernt, z.B. durch einen selektiven Ätzprozess. Alle ausgebildeten Öffnungen (z.B. Öffnungen, die nach der Entfernung von Photobuckets 2318 zusammen mit den Viaorten 2320 ausgebildet worden sind) werden dann mit einem Hartmaskenmaterial 2322, wie etwa einem Hartmaskenmaterial auf Kohlenstoffbasis, gefüllt. Anschließend wird die erste strukturierte Hartmaske 2316 entfernt, z.B. mit einem selektiven Ätzprozess, und die resultierenden Öffnungen werden mit einer zweiten Vielzahl von Photobuckets 2324 gefüllt. In diesem Stadium stellen die Photobuckets 2324 alle möglichen Plugorte in einer resultierenden Metallisierungsschicht dar. Man beachte, dass die zweite strukturierte Hartmaske 2312 in diesem Stadium des Prozesses nicht weiter strukturiert wird.
  • 231 zeigt die Struktur von 23H im Anschluss an eine Auswahl von Plug-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 231 gezeigt ist, werden die Photobuckets 2324 von 23H von Orten 2326 entfernt, wo keine Plugs ausgebildet werden sollen. An Orten, die für die Ausbildung von Plugs ausgewählt wurden, werden die Photobuckets 2324 behalten. Um Orte 2326 auszubilden, wo keine Plugs ausgebildet werden sollen, wird in einer Ausführungsform Lithographie verwendet, um die entsprechenden Photobuckets 2324 zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden.
  • 23J zeigt die Struktur von 23I im Anschluss an eine Entfernung der zuletzt ausgebildeten Hartmaske von Via- und Leitungsorten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23J gezeigt ist, wird das in 231 bildlich dargestellte Hartmaskenmaterial 2322 entfernt. In einer solchen Ausführungsform ist das Hartmaskenmaterial 2322 ein Hartmaskenopfermaterial auf Kohlenstoffbasis und wird mit einem Plasmaveraschungsprozess selektiv entfernt. Wie gezeigt schließen verbliebene Merkmale die strukturierte ILD-Schicht 2302', die Photobuckets 2324, die für die Plug-Ausbildung bewahrt worden sind, und die Via-Öffnungen 2328 ein. Auch wenn dies nicht dargestellt ist, sei klargestellt, dass in einer Ausführungsform die zweite Hartmaskenschicht 2312 in diesem Stadium ebenfalls behalten wird.
  • 23K zeigt die Struktur von 23J im Anschluss an eine Abtragung der strukturierten ILD-Schicht an Orten, die nicht durch Photobuckets für die Ausbildung von Plugs geschützt werden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23K gezeigt ist, werden die Abschnitte der strukturierten ILD-Schicht 2302', die nicht von Photobuckets 2324 geschützt werden, abgetragen, um zusätzlich zu den Via-Öffnungen 2328 Metallleitungsöffnungen 2330 bereitzustellen.
  • 23L zeigt die Struktur von 23K im Anschluss an eine Metallfüllung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 23L gezeigt ist, wird eine Metallisierung 2332 in den Öffnungen 2328 und 2332 ausgebildet. In einer solchen Ausführungsform wird die Metallisierung 2332 mit einem Metallfüll- und Rückpolierprozess gebildet. Im linken Abschnitt von 23L ist gezeigt, dass die Struktur einen unteren Abschnitt einschließlich einer strukturierten ILD-Schicht 2302' mit darin ausgebildeten Metallleitungen und Vias (die gemeinsam als 2332 gezeigt sind) aufweist. Eine obere Region der Struktur 2334 weist die zweite strukturierte Hartmaske 2312 ebenso wie die verbliebenen Photobuckets 2324 (Plugorte) auf. In einer Ausführungsform wird die obere Region 2334 vor der anschließenden Herstellung entfernt, z.B. durch CMP oder Rückätzung. In einer alternativen Ausführungsformen wird die obere Region 2334 jedoch in der finalen Struktur beibehalten.
  • Die Struktur von 23L kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 23L die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Es wird erneut auf 23L verwiesen, wo gezeigt ist, dass eine selbstjustierende Herstellung durch die subtraktive Methode in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, erfordert wahrscheinlich eine erneute Initiierung des gesamten Prozesses. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • In einem zweiten Aspekt wird eine Erst-Plugs-dann-Vias-Methode verwendet. Als Beispiel zeigen die 23M-23S Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Plug- und Via-Strukturierung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind für jede beschriebene Operation Planansichten oben gezeigt und entsprechende Querschnittsansichten sind unten gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten und Planansichten bezeichnet.
  • 23M zeigt eine Planansicht und entsprechende Querschnittsansichten eines orthogonalen Ausgangsgitters, das oberhalb eines Substrats 2351 ausgebildet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, weist eine Ausgangsgitterstruktur 2350 eine Gitter-ILD-Schicht 2352 auf, auf der eine erste Hartmaskenschicht 2354 angeordnet wird. Eine zweite Hartmaskenschicht 2356 wird auf der ersten Hartmaskenschicht 2354 angeordnet und so strukturiert, dass sie eine Gitterstruktur aufweist, die orthogonal zur darunterliegenden Gitterstruktur ist. Außerdem verbleiben Öffnungen 2358 zwischen der Gitterstruktur der zweiten Hartmaskenschicht 2356 und dem darunterliegenden Gitter, das von der ILD-Schicht 2352 und der ersten Hartmaskenschicht 2354 gebildet wird.
  • 23N zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 23M im Anschluss an eine Öffnungsfüllung und Rückätzung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden die Öffnungen 2358 von 23M mit einer dielektrischen Schicht 2360, wie etwa einer Siliziumoxidschicht, gefüllt. Eine solche dielektrische Schicht 2360 kann mit abgeschiedenen Oxiddünnschichten, wie etwa durch chemische Dampfabscheidung (CVD), hochdichte Plasmaabscheidung (HDP) oder Rotationsbeschichtung aufgebrachte Dielektrika, ausgebildet werden. Das abgeschiedene Material kann eine Rückätzung erfordern, um die relative Höhe zu erreichen, die in 23N gezeigt ist, wodurch obere Öffnungen 2358' zurückbleiben.
  • 23O zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 23N im Anschluss an eine Photobucket-Füllung, -Belichtung und - Entwicklung, durch die ausgewählte Plugorte zurückbleiben, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden in den oberen Öffnungen 2358' von 23N Photobuckets ausgebildet. Anschließend werden die meisten Photobuckets belichtet und entfernt. Allerdings werden ausgewählte Photobuckets 2362 nicht belichtet und somit behalten, um ausgewählte Plug-Orte bereitzustellen, wie in 23O bildlich dargestellt ist.
  • 23P zeigt eine Planansicht und eine entsprechende Querschnittsansicht der Struktur von 23O im Anschluss an die Entfernung von Abschnitten der dielektrischen Schicht 2360 gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden die Abschnitte der dielektrischen Schicht 2360, die nicht von einem Photobucket 2362 bedeckt sind, entfernt. Jedoch verbleiben die Abschnitte der dielektrischen Schicht 2360, die von einem Photobucket 2362 bedeckt sind, in der Struktur von 23P. In einer Ausführungsform werden die Abschnitte der dielektrischen Schicht 2360, die nicht von einem Photobucket 2362 bedeckt sind, durch einen Nassätzprozess entfernt.
  • 23Q zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 23P im Anschluss an eine Photobucket-Füllung, -Belichtung und - Entwicklung, durch die ausgewählte Viaorte zurückbleiben, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden Photobuckets in den Öffnungen ausgebildet, die nach dem Entfernen von Abschnitten der dielektrischen Schicht 2360 zurückgeblieben sind. Anschließend werden ausgewählte Photobuckets belichtet und entfernt, um ausgewählte Via-Orte 2364 bereitzustellen, wie in 23Q bildlich dargestellt ist.
  • 23R zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 23Q im Anschluss an eine Via-Öffnungsätzung in das darunterliegende ILD gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, wird der Viaort 2364 von 23Q einem selektiven Ätzprozess, wie etwa einem selektiven Plasmaätzprozess, unterzogen, um die Viaöffnungen 2364 zur Öffnung 2364' zu erweitern, die in der darunterliegenden ILD-Schicht 2352 ausgebildet werden.
  • 23 S zeigt eine Planansicht und entsprechende Querschnittsansichten der Struktur von 23R im Anschluss an die Entfernung der zweiten Hartmaskenschicht und des verbliebenen Photobucket-Materials gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in der Planansicht und den entsprechenden Querschnittsansichten (a) und (b) entlang der Achsen a-a' bzw. b-b' gezeigt ist, werden die zweite Hartmaskenschicht 2356 ebenso wie etwaiges verbliebenes Photobucket-Material (d.h. Photobucket-Material, das noch nicht belichtet und entwickelt, wurde) entfernt. Das Entfernen kann selektiv in Bezug auf alle anderen verbliebenen Merkmale durchgeführt werden. In einer solchen Ausführungsform ist die zweite Hartmaskenschicht 2356 ein auf Kohlenstoff basierendes Hartmaskenmaterial, und das Entfernen wird durch einen O2-Plasmaveraschungsprozess durchgeführt. Es wird erneut auf 23 S Bezug genommen, wo gezeigt ist, dass in diesem Stadium die ILD-Schicht 2352 mit darin ausgebildeten Via-Öffnungen 2364' und die Abschnitte der dielektrischen Schicht 2360, die für die Plug-Orte bewahrt worden sind (z.B. durch das darüberliegende Photobucket-Material bewahrt worden sind), verblieben sind. Somit weist die Struktur von 23 S in einer Ausführungsform eine ILD-Schicht 2352, die mit Via-Öffnungen (für eine anschließende Metallfüllung) strukturiert ist, mit Orten der dielektrischen Schicht 2360 für die Erzeugung von Plugs auf. Die verbliebenen Öffnungen 2366 können mit Metall gefüllt werden, um Metallleitungen zu bilden. Man beachte, dass die Hartmaske 2354 entfernt werden kann.
  • Nachdem die Struktur von 23 S mit Metallverbindungsmaterial gefüllt worden ist, kann sie anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur 23 S, nachdem sie mit Metallverbindungsmaterial gefüllt worden ist, die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Es wird erneut auf 23 S verwiesen, wo gezeigt ist, dass eine selbstjustierende Herstellung durch die subtraktive Methode in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, erfordert wahrscheinlich eine erneute Initiierung des gesamten Prozesses. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • Man beachte, dass die in Verbindung mit den 23A-23L und 23M-23S beschriebenen Methoden nicht unbedingt durchgeführt werden müssen, um Vias, die an einer darunterliegenden Metallisierungsschicht ausgerichtet sind, auszubilden. Somit könnten diese Prozessabläufe in manchen Zusammenhängen als solche betrachtet werden, die einen blinden Abschlag in Richtung von oben nach unten in Bezug auf etwaige darunterliegenden Metallisierungsschichten beinhalten. In einem dritten Aspekt stellt eine subtraktive Methode eine Ausrichtung an einer darunterliegenden Metallisierungsschicht bereit. Als Beispiel zeigen die 24A-24I Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via-Strukturierung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung jeder beschriebenen Operation ist eine dreidimensionale Schrägschnittansicht bereitgestellt.
  • 24A zeigt eine Ausgangspunktstruktur 2400 für einen subtraktiven Via- und Plug-Prozess im Anschluss an eine Herstellung tiefer Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24A gezeigt ist, weist die Struktur 2400 Metallleitungen 2402 auf, die zwischen Zwischenschicht-Dielektrikums(ILD)-Strecken 2404 treten. Man beachte, dass manche von den Leitungen 2402 mit darunterliegenden Vias zum Koppeln mit einer vorangehenden Verbindungsschicht assoziiert sein können. In einer Ausführungsform werden die Metallleitungen 2402 durch Strukturieren von Gräben in ein ILD-Material (z.B. das ILD-Material der Strecken 2404) ausgebildet. Die Gräben werden dann mit Metall gefüllt und, falls nötig, bis auf die Oberseite der ILD-Strecken 2404 planarisiert. In einer Ausführungsform beinhaltet der Metallgraben- und Füllprozess Merkmale mit großem Seitenverhältnis. Zum Beispiel liegt in einer Ausführungsform das Seitenverhältnis von Metallleitungshöhe (h) zu Metallleitungsbreite (w) ungefähr im Bereich von 5-10.
  • 24B zeigt die Struktur von 24A im Anschluss an eine Abtragung der Metallleitungen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24B gezeigt ist, werden die Metallleitungen 2402 selektiv abgetragen, um Metallleitungen 2406 einer ersten Ebene bereitzustellen. Das Abtragen wird selektiv in Bezug auf die ILD-Strecken 2404 durchgeführt. Die Abtragung kann durch Ätzen anhand von Trockenätzen, Nassätzen oder eine Kombination davon durchgeführt werden. Das Ausmaß der Abtragung kann durch die angestrebte Dicke der Metallleitungen 2406 der ersten Ebene, die als geeignete leitfähige Verbindungsleitungen innerhalb einer Back-End-of-Line(BEOL)-Verbindungsstruktur verwendet werden sollen, bestimmt werden.
  • 24C zeigt die Struktur von 24B im Anschluss an die Bildung einer Zwischenschicht-Dielektrikums(ILD)-Schicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24C gezeigt ist, wird eine ILD-Materialschicht 2408 oberhalb der abgetragenen Metallleitungen 2406 und der ILD-Strecken 2404 abgeschieden und falls nötig bis auf ein Niveau oberhalb davon planarisiert.
  • 24D zeigt die Struktur von 24C im Anschluss an eine Abscheidung und Strukturierung der Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24D gezeigt ist, wird eine Hartmaskenschicht 2410 auf der ILD-Schicht 2408 ausgebildet. In einer solchen Ausführungsform wird die Hartmaskenschicht 2410 mit einer Gitterstruktur ausgebildet, die orthogonal zur Gitterstruktur der Metallleitungen 2406 der ersten Ebene/ILD-Strecken 2404 ist, wie in 24D bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur, die von der Hartmaskenschicht 2410 gebildet wird, eine Gitterstruktur mit engen Abständen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der zweiten Hartmaskenschicht 2410 von 24D Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen.
  • 24E zeigt die Struktur von 24D im Anschluss an eine Ausbildung von Gräben, die unter Verwendung der Struktur der Hartmaske von 24D definiert werden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24E gezeigt ist, werden die freiliegenden (d.h. die nicht durch 2410 geschützten) Regionen der ILD-Schicht 2408 geätzt, um Gräben 2412 und eine strukturierte ILD-Schicht 2414 zu bilden. Die Ätzung endet an den oberen Oberflächen der Metallleitungen 2406 der ersten Ebene und der ILD-Strecken 2404 und legt diese somit frei.
  • 24F zeigt die Struktur von 24E im Anschluss an eine Photobucket-Ausbildung an allen möglichen Via-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24F gezeigt ist, werden Photobuckets 2416 an allen möglichen Via-Orten oberhalb freiliegender Abschnitte der abgetragenen Metallleitungen 2406 ausgebildet. In einer Ausführungsform werden die Photobuckets 2416 im Wesentlichen koplanar mit den oberen Oberflächen der ILD-Strecken 2404 ausgebildet, wie in 24F bildlich dargestellt ist. Wie in 24F gezeigt ist, kann die Hartmaskenschicht 2410 außerdem von der strukturierten ILD-Schicht 2414 entfernt werden.
  • 24G zeigt die Struktur von 24F im Anschluss an eine Auswahl von Via-Orten gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24G dargestellt ist, werden die Photobuckets 2416 von 24F an ausgewählten Via-Orten 2418 entfernt. An Orten, die für die Ausbildung von Vias nicht ausgewählt wurden, werden die Photobuckets 2416 behalten. Um Via-Orte 2418 auszubilden, wird in einer Ausführungsform eine Lithographie verwendet, um die entsprechenden Photobuckets 2416 zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden.
  • 24H zeigt die Struktur von 24G im Anschluss an die Umwandlung der verbliebenen Photobuckets in permanentes ILD-Material gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 24H gezeigt ist, wird das Material der Photobuckets 2416 an den Orten, wo ein finales ILD-Material 2420 gebildet werden soll, modifiziert, z.B. durch Vernetzen bei einer Ausheizoperation. In einer solchen Ausführungsform sorgt die Vernetzung für eine Löslichkeitsumstellung beim Ausheizen. Das finale, vernetzte Material weist inter-dielektrische Eigenschaften auf und kann somit in einer finalen Metallisierungsstruktur behalten werden.
  • Es wird erneut auf 24H Bezug genommen, wo gezeigt ist, dass die resultierende Struktur in einer Ausführungsform bis zu drei Regionen mit unterschiedlichen dielektrischen Materialien (ILD-Strecken 2404 + ILD-Strecken 2414 + vernetztes Photobucket 2420) in ein und derselben Ebene 2450 der Metallisierungsstruktur aufweist. In einer solchen Ausführungsform umfassen zwei oder alle von den ILD-Strecken 2404, den ILD-Strecken 2414 und dem vernetzten Photobucket 2420 das gleiche Material. In einer anderen solchen Ausführungsform umfassen die ILD-Strecken 2404, die ILD-Strecken 2414 und das vernetzte Photobucket 2420 jeweils voneinander verschiedene ILD-Materialien. In jedem Fall kann in einer konkreten Ausführungsform eine Abgrenzung wie etwa eine vertikale Naht zwischen den Materialien von ILD-Strecken 2404 und ILD-Strecken 2414 (z.B. die Naht 2497) und/oder zwischen ILD-Strecken 2404 und vernetztem Photobucket 2420 (z.B. die Naht 2498) und/oder zwischen IILD-Strecken 2414 und vernetztem Photobucket 2420 (z.B. die Naht 2499) in der finalen Struktur wahrgenommen werden.
  • 241 zeigt die Struktur von 24H im Anschluss an eine Ausbildung von Metallleitungen und Vias gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 241 gezeigt ist, werden Metallleitungen 2422 und Vias 2424 bei einer Metallbefüllung der Öffnungen von 24H ausgebildet. Die Metallleitungen 2422 werden durch die Vias 2424 mit den darunterliegenden Metallleitungen 2406 gekoppelt. In einer Ausführungsform werden die Öffnungen in einer Damascene-Methode oder einer von unten nach oben gerichteten Füllmethode gefüllt, um die in 241 gezeigte Struktur bereitzustellen. Somit kann die Abscheidung von Metall (z.B. Kupfer und zugehörige Barriere- und Keimleitungen) der oben genannten Methode, um Metallleitungen und Vias zu bilden, eine sein, wie sie typischerweise für eine Standard-Back-End-of-Line(BEOL)-Verarbeitung verwendet wird. In einer Ausführungsform können in folgenden Herstellungsoperationen die ILD-Strecken 2414 entfernt werden, um Luftspalte zwischen den resultierenden Metallleitungen 2424 bereitzustellen.
  • Die Struktur von 241 kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 241 die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Es wird erneut auf 241 verwiesen, wo gezeigt ist, dass eine selbstjustierende Herstellung durch die subtraktive Methode in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, erfordert wahrscheinlich eine erneute Initiierung des gesamten Prozesses. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden mehrfarbige Photobuckets beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf die Verwendung mehrfarbiger Photobuckets als Methode für die Bewältigung einer Plug- und Via-Herstellung unterhalb der Lithographie-Abstandsgrenze gerichtet. Mindestens eine hierin beschriebene Ausführungsform ist auf subtraktive Methoden für eine selbstjustierende Via- und Plugstrukturierung und daraus entstehende Strukturen gerichtet. In einer Ausführungsform ermöglichen hierin beschriebene Prozesse die Verwirklichung einer selbstjustierenden Metallisierung für die Herstellung von Back-End-of-Line-Merkmalen. Überdeckungsprobleme, die für eine Via- und Plug-Strukturierung der nächsten Generation zu erwarten sind, können durch eine oder mehrere der hierin beschriebenen Methoden entschärft werden.
  • In einer beispielhaften Ausführungsform bauen nachstehend beschriebene Methoden auf Methoden auf, die sogenannte Photobuckets verwenden, bei denen jedes mögliche Merkmal, z.B. ein Via, erneut in ein Substrat strukturiert wird. Dann wird ein Fotolack in Strukturmerkmale gefüllt und die Lithographieoperation wird lediglich verwendet, um ausgewählte Vias für die Bildung von Viaöffnungen zu wählen. In einer konkreten nachstehend beschriebenen Ausführungsform wird eine Lithographieoperation verwendet, um ein relativ großes Loch oberhalb einer Vielzahl von „mehrfarbigen Photobuckets“ zu definieren, das dann durch Flutbelichtung mit einer bestimmten Wellenlänge geöffnet werden kann. Die Methode, die mehrfarbige Photobuckets beinhaltet, lässt größere kritische Abmessungen (CD)s und/oder Fehler in der Überdeckung zu, während die Fähigkeit, das Via von Interesse zu wählen, beibehalten wird. In einer solchen Ausführungsform wird ein Graben verwendet, um den Fotolack selbst aufzunehmen, und mehrere Wellenlängen einer Flutbelichtung werden verwendet, um die Vias von Interesse selektiv zu öffnen.
  • Genauer beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen ein subtraktives Verfahren zur Vorab-Ausbildung jedes Vias oder jeder Via-Öffnung mittels der bereits geätzten Gräben. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Vias und Plugs behalten werden sollen. Solche Operationen können unter Verwendung von Photobuckets dargestellt werden, aber der Auswahlprozess kann auch unter Verwendung einer konventionelleren Methode durchgeführt werden, die eine Fotolackbelichtung und ILD-Wiederauffüllung beinhaltet.
  • In einem Beispiel kann eine Methode verwendet werden, die eine selbstjustierende Via-Öffnung beinhaltet. Als beispielhaften Verarbeitungsablauf zeigen die 25A-25H Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer subtraktiven selbstjustierenden Via-Strukturierung unter Verwendung mehrfarbiger Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind bei jeder beschriebenen Operation Querschnittsansichten gezeigt.
  • 25A zeigt eine Querschnittsansicht einer Ausgangsstruktur 2500 im Anschluss an eine Abscheidung, aber vor einer Strukturierung einer ersten Hartmaskenmaterialschicht 2504, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht 2502 ausgebildet wird, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25A gezeigt ist, weist eine strukturierte Maske 2506 Abstandhalter 2508, die entlang ihrer Seitenwände ausgebildet sind, auf oder oberhalb der ersten Hartmaskenmaterialschicht 2504 auf.
  • 25B zeigt die Struktur von 25A im Anschluss an eine erstmalige Strukturierung der ersten Hartmaskenschicht und eine anschließende Füllung mit Photobuckets einer ersten Farbe gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25B gezeigt ist, werden die strukturierte Maske 2506 und entsprechende Abstandhalter 2508 während einer Ätzung, um Gräben 2510 durch die Schicht 2504 aus erstem Hartmaskenmaterial und zum Teil in die ILD-Schicht 2502 hinein zu bilden, gemeinsam als Maske verwendet. Die Gräben 2510 werden dann mit Photobuckets 2512 einer ersten Farbe gefüllt.
  • 25C zeigt die Struktur von 25B im Anschluss an eine nochmalige Strukturierung der ersten Hartmaskenschicht und eine anschließende Füllung mit einem Photobucket einer zweiten Farbe gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25C gezeigt ist, wird die strukturierte Maske 2506 entfernt und eine zweite Vielzahl von Gräben 2514 wird zwischen Abstandhaltern 2508 durch die Schicht 2504 aus erstem Hartmaskenopfermaterial hindurch und zum Teil in die ILD-Schicht 2502 hinein geätzt. Anschließend werden die Gräben 2514 mit einer Schicht 2516 aus einem Photobucket einer zweiten Farbe gefüllt.
  • Es wird erneut auf 25C Bezug genommen, wo gezeigt ist, dass die negative Struktur der Abstandhalter 2508 somit auf die Schicht 2504 aus erstem Hartmaskenopfermaterial übertragen wird, z.B. durch zwei Ätzprozesse, in denen Gräben 2510 und 2514 ausgebildet werden. In einer solchen Ausführungsform werden die Abstandhalter 2508 und somit die Gräben 2510 und 2514 mit einer Gitterstruktur ausgebildet, wie in 25C bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur eine Gitterstruktur mit engen Abständen. In einer konkreten Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann eine Struktur auf Basis herkömmlicher Lithographie zuerst auf eine Maske 2506 beschränkt sein, aber der Abstand kann mittels negativer Abstandshaltermaskenstrukturierung halbiert werden, wie in den 25A-25C bildlich dargestellt ist. Darüber hinaus kann der ursprüngliche Abstand durch eine zweite Runde einer Abstandshaltermaskenstrukturierung, die jedoch nicht dargestellt ist, geviertelt werden. Demgemäß ist die gitterartige Struktur der Photobuckets 2512 und 2516 insgesamt mit einem konstanten Abstand beabstandet und weist eine konstante Breite auf.
  • 25D zeigt die Struktur von 25C im Anschluss an eine Planarisierung, um die Photobuckets der ersten und der zweiten Farbe voneinander zu isolieren, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25D gezeigt ist, werden die Schicht 2516 aus Photobucket-Material einer zweiten Farbe und die oberen Abschnitte der Abstandhalter 2508 planarisiert, z.B. durch chemisch-mechanisches Polieren (CMP), bis die Oberseiten der Photobuckets 2512 der ersten Farbe freiliegen, wodurch abgegrenzte Photobuckets 2518 einer zweiten Farbe aus der Photobucket-Materialschicht 2516 gebildet werden. In einer Ausführungsform stellt die Kombination aus Photobuckets 2512 einer ersten Farbe und Photobuckets 2518 einer zweiten Farbe alle möglichen Via-Orte in einer anschließend ausgebildeten Metallisierungsstruktur dar.
  • 25E zeigt die Struktur von 25D im Anschluss an die Belichtung und Entwicklung eines Photobucket einer ersten Farbe, wodurch ein ausgewählter Via-Ort zurückbleibt, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25E gezeigt ist, wird eine zweite Hartmaske 2520 auf der Struktur von 25D ausgebildet und strukturiert. Die strukturierte zweite Hartmaske 2520 legt ein ausgewähltes Photobucket 2512A einer ersten Farbe frei. Das ausgewählte Photobucket 2512A wird einer Bestrahlung mit Licht unterzogen und entfernt (d.h. entwickelt), um eine ausgewählte Via-Öffnung 2513A bereitzustellen. Man beachte, dass die hier gegebene Beschreibung, die das Ausbilden und Strukturieren einer Hartmaskenschicht betrifft, in einer Ausführungsform das Bilden einer Maske oberhalb einer Blanket-Hartmaskenschicht beinhaltet. Die Maskenbildung kann die Verwendung einer oder mehrerer Schichten beinhalten, die sich für eine lithographische Verarbeitung eignen. Beim Strukturieren der einen oder der mehreren lithographischen Schichten wird die Struktur durch einen Ätzprozess auf die Hartmaskenschicht übertragen, um eine strukturierte Hartmaskenschicht bereitzustellen.
  • Es wird erneut auf 25E Bezug genommen, wo gezeigt ist, dass es möglicherweise nicht möglich ist, nur das ausgewählte Photobucket 2512A beim Strukturieren der zweiten Hartmaskenschicht 2520 offenzulegen. Zum Beispiel kann es sein, dass auch mindestens ein angrenzendes (oder nahes) Photobucket 2518 einer zweiten Farbe offengelegt wird. Diese zusätzlich offengelegten Photobuckets sind möglicherweise keine gewünschten Orte für die schlussendliche Via-Ausbildung. Jedoch werden in einer Ausführungsform etwaige offengelegte Photobuckets 2518 einer zweiten Farbe nicht modifiziert, wenn sie einer Bestrahlung ausgesetzt werden, die verwendet wird, um die Gruppe der Photobuckets 2512 einer ersten Farbe zu strukturieren. Zum Beispiel sind in einer Ausführungsform die Photobuckets 2512 einer ersten Farbe für eine rote Flutbelichtung 2521 empfänglich und können entwickelt werden, um ausgewählte Photobuckets 2512 einer ersten Farbe zu entfernen, wie in 25E gezeigt ist. In dieser Ausführungsform sind die Photobuckets 2518 einer zweiten Farbe nicht empfänglich für die rote Flutbelichtung und können daher nicht entwickelt und entfernt werden, auch wenn sie während der roten Flutbelichtung offengelegt sind, wie in 25E gezeigt ist. In einer Ausführungsform können dadurch, dass einander benachbarte Photobuckets vorhanden sind, die für unterschiedliche Bestrahlungen empfänglich sind, größere Strukturen und/oder Versatztoleranzen hingenommen werden, wodurch die Beschränkungen gelockert werden, die andernfalls mit der Strukturierung der zweiten Hartmaskenschicht 2520 assoziiert sind.
  • 25F zeigt die Struktur von 25E im Anschluss an die Belichtung und Entwicklung eines Photobucket einer zweiten Farbe, wodurch ein zusätzlicher ausgewählter Via-Ort zurückbleibt, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25F gezeigt ist, wird eine dritte Hartmaske 2522 auf der Struktur von 25E ausgebildet und strukturiert. Die dritte Hartmaske 2522 kann auch die ausgewählte Via-Öffnung 2513A füllen, wie in 25F bildlich dargestellt ist. Die strukturierte dritte Hartmaske 2522 legt ausgewählte Photobuckets 2518A und 2518B einer zweiten Farbe frei. Die ausgewählten Photobuckets 2518A und 2518B werden einer Bestrahlung mit Licht unterzogen und entfernt (d.h. entwickelt), um ausgewählte Via-Öffnungen 2519A bzw. 2519B bereitzustellen.
  • Es wird erneut auf 25F Bezug genommen, wo gezeigt ist, dass es möglicherweise nicht möglich ist, beim Strukturieren der dritten Hartmaskenschicht 2522 nur die ausgewählten Photobuckets 2518A und 2518B offenzulegen. Zum Beispiel kann es sein, dass auch mindestens ein angrenzendes (oder nahes) Photobucket 2512 einer ersten Farbe offengelegt wird. Diese zusätzlich offengelegten Photobuckets sind möglicherweise keine gewünschten Orte für die schlussendliche Via-Ausbildung. Jedoch werden in einer Ausführungsform etwaige offengelegte Photobuckets 2512 einer ersten Farbe nicht modifiziert, wenn sie einer Bestrahlung ausgesetzt werden, die verwendet wird, um die Gruppe der Photobuckets 2518 einer zweiten Farbe zu strukturieren. Zum Beispiel sind in einer Ausführungsform die Photobuckets 2518 einer zweiten Farbe für eine grüne Flutbelichtung 2523 empfänglich und können entwickelt werden, um ausgewählte Photobuckets 2518 einer zweiten Farbe zu entfernen, wie in 25F gezeigt ist. In dieser Ausführungsform sind die Photobuckets 2512 einer ersten Farbe nicht empfänglich für die grüne Flutbelichtung und können daher nicht entwickelt und entfernt werden, auch wenn sie während der grünen Flutbelichtung offengelegt werden, wie in 25F gezeigt ist. In einer Ausführungsform können dadurch, dass einander benachbarte Photobuckets vorhanden sind, die für unterschiedliche Bestrahlungen empfänglich sind, größere Strukturen und/oder Versatztoleranzen hingenommen werden, wodurch die Beschränkungen gelockert werden, die andernfalls mit der Strukturierung der dritten Hartmaskenschicht 2522 assoziiert sind.
  • 25G zeigt die Struktur von 25F im Anschluss an die Entfernung der dritten Hartmaskenschicht und die Ätzung, um Via-Orte zu bilden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25G gezeigt ist, wird die dritte Hartmaskenschicht 2522 entfernt. In einer solchen Ausführungsform ist die dritte Hartmaskenschicht 2522 eine Hartmaskenschicht auf Kohlenstoffbasis und wird mit einem Veraschungsprozess entfernt. Dann wird die Struktur der Via-Öffnungen 2519A, 2513A und 2519B einem selektiven Ätzprozess unterzogen, wie etwa einem selektiven Plasmaätzprozess, um die Viaöffnungen in die darunterliegende ILD-Schicht 2502 hinunter zu erweitern, wodurch die strukturierte ILD-Schicht 2502' mit Via-Orten 2524 ausgebildet wird. Die Ätzung ist selektiv für die verbliebenen Photobuckets 2512 und 2518 und für die Abstandhalter 2508.
  • 25H zeigt die Struktur von 25G vor einer Metallfüllung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 25H gezeigt ist, werden alle verbliebenen Photobuckets 2512 und 2518 der ersten Farbe und der zweiten Farbe entfernt. Die verbliebenen Photobuckets 2512 und 2518 der ersten Farbe und der zweiten Farbe können direkt entfernt werden oder können zuerst belichtet und entwickelt werden, um das Entfernen zu ermöglichen. Das Entfernen der verbliebenen Photobuckets 2512 und 2518 der ersten Farbe und der zweiten Farbe stellt Metallleitungsgräben 2526 bereit, von denen manche mit Via-Orten 2524 in einer strukturierten ILD-Schicht 2502' gekoppelt sind. Ein anschließender Prozess kann eine Entfernung von Abstandhaltern 2508 und einer Hartmaskenschicht 2504 und eine Metallfüllung von Metallleitungsgräben 2526 und Via-Orten 2524 beinhalten. In einer solchen Ausführungsform wird eine Metallisierung durch einen Metallfüll- und Rückpolierprozess gebildet.
  • Die Struktur von 25H kann nach der Metallfüllung anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 25H nach der Metallfüllung die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Es wird erneut auf 25H verwiesen, wo gezeigt ist, dass eine selbstjustierende Herstellung durch die subtraktive Methode in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, erfordert wahrscheinlich eine erneute Initiierung des gesamten Prozesses. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • Es wird erneut auf die 25A-25H verwiesen, wo gezeigt ist, dass mehrere Optionen als durchführbar für die Bereitstellung von Photobuckets 2512 einer ersten Farbe und Photobuckets 2518 einer zweiten Farbe in Betracht gezogen werden können. Zum Beispiel werden in einer Ausführungsform zwei unterschiedliche organische Positivton-Fotolacke verwendet. Man beachte, dass in einer solchen Ausführungsform Materialien mit unterschiedlichen chemischen Strukturen für Photobuckets 2512 einer ersten Farbe und Photobuckets 2518 einer zweiten Farbe ausgewählt werden können, um die Verwendung unterschiedlicher Beschichtungs-, Photoaktivierungs- und Entwicklungsprozesse zu ermöglichen. Als beispielhafte Ausführungsform wird ein herkömmliches 193nm-Litho-Polymethylmethacrylat-Fotolacksystem für Photobuckets 2512 einer ersten Farbe gewählt, während ein herkömmliches 248nm-Polyhydroxystyrol-Fotolacksystem für die Photobuckets 2518 einer zweiten Farbe gewählt wird. Die erheblichen chemischen Unterschiede zwischen diesen beiden Arten von Harzen ermöglichen die Verwendung von zwei unterschiedlichen organischen Gusslösungsmitteln; dies kann deshalb notwendig sein, weil das Material der Photobuckets 2518 einer zweiten Farbe mit dem Material der bereits vorhandenen Photobuckets 2512 einer ersten Farbe bedeckt ist. Das Gusslösungsmittel für die Photobuckets 2512 einer ersten Farbe ist nicht beschränkt, während für die Photobuckets 2518 einer zweiten Farbe alkoholische Lösungsmittel verwendet werden könnten, da sie PHS-Materialien löslich machen können, genauso aber auch polare Polymethacrylate.
  • Die Kombination aus einem Polymethylmethacrylatharz als Material der Photobuckets 2512 einer ersten Farbe und eines Polyhydroxystyrolharzes als Material der Photobuckets 2518 einer zweiten Farbe kann in einer Ausführungsform die Verwendung von zwei unterschiedlichen Belichtungswellenlängen ermöglichen. Typische 193nm-Lithopolymere basieren auf Polymethylmethacrylaten mit einem 193nm-absorbierenden Photosäuregenerator (PAG), da das Polymer bei dieser Wellenlänge nicht stark absorbiert. Polyhydroxystyrole könnten andererseits nicht geeignet sein, da sie 193 nm stark absorbieren und eine Aktivierung von PAG durch die gesamte Dünnschicht hindurch verhindern. In einer Ausführungsform kann das Material der Photobuckets 2512 einer ersten Farbe dann in Anwesenheit von 193nm-Photonen selektiv aktiviert und entwickelt werden. Um Unterschiede in der Belichtungsgeschwindigkeit zwischen den Photobuckets 2512 einer ersten Farbe und den Photobuckets 2518 einer zweiten Farbe hervorzuheben, können jeweils Faktoren wie eine PAG-Absorbierung bei 193 nm, eine PAG-Beladung und eine Photosäurestärke abgestimmt werden. Außerdem können zu den Photobuckets 2518 einer zweiten Farbe starke 193nm-Absorber hinzugefügt (oder selektiv oben auf den Photobuckets 2518 einer zweiten Farbe abgeschieden) werden, um die PAG-Aktivierung innerhalb der Masse der Dünnschicht zu verringern. Nach der Belichtung wird in einer bestimmten Ausführungsform eine Entwicklung der Photobuckets 2512 einer ersten Farbe mit Standard-TMAH-Entwickler selektiv ausgeführt, wobei eine minimale Entwicklung der Photobuckets 2518 einer zweiten Farbe stattfindet.
  • In einer Ausführungsform wird für die selektive Entfernung der Photobuckets 2518 einer zweiten Farbe in Anwesenheit der Photobuckets 2512 einer ersten Farbe eine zweite Wellenlänge mit niedrigerer Energie verwendet, die PAG nur in den Photobuckets 2518 einer zweiten Farbe und nicht in den Photobuckets 2512 einer ersten Farbe aktiviert. Dies kann auf zwei Wegen erreicht werden. Erstens werden in einer Ausführungsform PAGs mit unterschiedlichen Absorbierungseigenschaften verwendet. Zum Beispiel weisen Trialkylsulfoniumsalze eine sehr geringe Absorbierung bei Wellenlängen wie etwa 248 nm auf, während Triarylsulfonium-Substanzen eine sehr hohe Absorbierung aufweisen. Somit wird durch die Verwendung von Triarlylsulfonium oder anderen 248nm-absorbierenden PAGs in den Photobuckets 2518 einer zweiten Farbe, während Trialkylsulfonium oder ein anderer nicht-248nm-absorbierender PAG in den Photobuckets 2512 einer ersten Farbe verwendet wird, eine Selektivität erreicht. Alternativ dazu kann in die Photobuckets 2518 einer zweiten Farbe ein Sensibilisator aufgenommen werden, der niederenergetische Photonen absorbiert, die Energie selektiv auf einen PAG in den Photobuckets 2518 einer zweiten Farbe übertragen, ohne dass in den Photobuckets 2512 einer ersten Farbe eine Aktivierung stattfindet, da dort kein Sensibilisator vorhanden ist.
  • In einer anderen Ausführungsform zeigt 251 einen beispielhaften Zweitonfotolack für eine Art von Photobucket und einen beispielhaften Eintonfotolack für eine andere Art von Photobucket gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 251 gezeigt ist, wird in einer Ausführungsform ein Zweitonfotolacksystem (PB-1) für das Material der Photobuckets 2512 einer ersten Farbe verwendet. Ein Einzelton- (langsames) Fotolacksystem (PB-2) wird für das Material der Photobuckets 2518 einer zweiten Farbe verwendet. Ein Zweitonfotolacksystem kann als eines beschrieben werden, dass eine Photo Response zeigt, die bei höheren Dosen aufgrund einer Aktivierung von Photobasengeneratoren, die in dem System enthalten sind, effektiv abgestellt wird. Die photogenerierte Base neutralisiert eine Photosäure und verhindert eine Polymerentschützung. In einer Ausführungsform wird eine Dosis während der Belichtung der Photobuckets 2512 einer ersten Farbe so ausgewählt, dass der Zweitonfotolack (PB-1) als schnelles Positivtonsystem arbeitet, während ein Einzeltonfotolack (PB-2) nicht ausreichend viele Photonen empfangen hat, um eine Löslichkeitsumstellung zu aktivieren. Dadurch kann PB-1 mit TMAH-Entwickler entfernt werden, ohne dass PB-2 entfernt wird. Um PB-2 selektiv zu entfernen, ohne PB-1 zu entfernen, wird für die zweite Belichtung (d.h. die Belichtung der Photobuckets 2518 einer zweiten Farbe) eine höhere Dosis verwendet. Die ausgewählte Dosis muss sowohl genügend PAG in PB-2 aktivieren, um eine Auflösung in TMAH zu ermöglichen, als auch PB-2 durch die Aktivierung von PBG in ein Negativton-Reaktionsschema bringen. In diesem Schema können die gleichen PAGs für PB-1 und PB-2 verwendet werden, und für die Belichtungen 1 und 2 können die gleichen Belichtungswellenlängen verwendet werden. Man beachte, dass PB-1 die Einbeziehung eines Photobasengenerators (PBG) erfordern kann; es ist jedoch wahrscheinlich, dass unterschiedliche Arten von Polymeren benötigt werden, um eine Beschichtung von PB-2 zu ermöglichen, nachdem PB-1 bereits beschichtet wurde. Wie oben beschrieben, könnte die Nutzung eines Fotolacks vom Typ Polymethylmethacrylat für PB-1 und eines vom Typ PHS für PB-2 diese Anforderung erfüllen.
  • Man beachte, dass die oben für die Photobuckets 2512 und 2518 einer ersten bzw. einer zweiten Farbe angegebenen Materialien gemäß einer Ausführungsform der vorliegenden Offenbarung vertauscht werden könnten. Ebenso kann die oben genannte Methode, die Photobuckets mehrerer Farben beinhaltet, als 1-D bezeichnet werden. Ähnliche Methoden könnten auf 2-D-System angewendet werden, für die gekreuzte Gitter verwendet werden, aber das Photobucket-Material müsste der Ätzung und Säuberung von dem darüberliegenden gekreuzten Gitter standhalten. Das Ergebnis wäre eine schachbrettartige Struktur mit Vias/Plugs in der senkrechten Richtung, die kleiner wären als bei der oben beschriebenen Methode. Außerdem sei darauf hingewiesen, dass die in Verbindung mit den 25A-25H beschriebenen Methoden nicht unbedingt für die Ausbildung von Vias, die an einer darunterliegenden Metallisierungsschicht ausgerichtet sind, durchgeführt werden müssen, aber natürlich als solche implementiert werden können. In anderen Zusammenhängen könnten diese Prozessabläufe als solche betrachtet werden, die einen blinden Abschlag in Richtung von oben nach unten in Bezug auf etwaige darunterliegende Metallisierungsschichten beinhalten.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden Photobuckets für leitfähige Streifen beschrieben.
  • Als Beispiel zeigt 26A eine Planansicht einer herkömmlichen Back-End-of-Line(BEOL)-Metallisierungsschicht. In 26A ist eine herkömmliche BEOL-Metallisierungsschicht 2600 gezeigt, die leitfähige Leitungen oder Passagen 2604 aufweist, die in einer Zwischenschicht-Dielektrikumsschicht 2602 angeordnet sind. Die Metallleitungen können allgemein parallel zueinander verlaufen und können Schnitte, Lücken oder Plugs 2606 in der ansonsten kontinuierlich verlaufenden mindestens einen leitfähigen Leitung 2604 enthalten. Um zwei oder mehr von den parallelen Metallleitungen elektrisch zu verkoppeln, sind obere oder untere Schichtpassagen 2608 in einer vorangehenden oder einer nächsten Metallisierungsschicht enthalten. Solche oberen oder unteren Schichtpassagen 2608 können eine leitfähige Leitung 2610 einschließen, die leitfähige Vias 2612 miteinander koppelt. Man beachte, dass die oberen oder unteren Schichtpassagen 2608 in einer vorangehenden oder einer nächsten Metallisierungsschicht enthalten sind, und die oberen oder unteren Schichtpassagen 2608 daher eine vertikale Grundfläche einer Halbleiterstruktur besetzen können, in der die Metallisierungsschichten enthalten sind.
  • Im Gegensatz dazu zeigt 26B eine Planansicht einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung. In 26B ist eine BEOL-Metallisierungsschicht 2650 gezeigt, die leitfähige Leitungen oder Passagen 2654 aufweist, die in einer Zwischenschicht-Dielektrikumsschicht 2652 angeordnet sind. Die Metallleitungen können allgemein parallel zueinander verlaufen und können Schnitte, Lücken oder Plugs 2654 in der ansonsten kontinuierlich verlaufenden mindestens einen leitfähigen Leitung 2654 enthalten. Um zwei oder mehr von den parallelen Metallleitungen elektrisch zu verkoppeln, ist ein leitfähiger Streifen 158 in der Metallisierungsschicht 2650 enthalten. Man beachte, dass der leitfähige Streifen 2658 in derselben Metallisierungsschicht enthalten ist wie die leitfähigen Leitungen 2654, und dass daher der Verbrauch einer vertikalen Grundfläche einer die Metallisierungsschicht enthaltenden Halbleiterstruktur durch den leitfähigen Streifen 2658 in Bezug auf die Struktur von 26A verringert werden kann.
  • Mindestens eine hierin beschriebene Ausführungsform ist auf Photobucket-Methoden für eine Damascene-Strukturierung von Plugs und Streifen gerichtet. Solche Strukturierungsabläufe können implementiert werden, um bidirektionale, auf Abstandhaltern basierende Verbindungen zu ermöglichen. Implementierungen können sich besonders gut für die elektrische Verbindung von zwei parallelen Leitungen einer Metallisierungsschicht eignen, wo die beiden Metallleitungen unter Verwendung einer auf Abstandhaltern basierenden Methode hergestellt worden sind, wodurch andernfalls die Einbeziehung einer leitfähigen Anbindung zwischen zwei aneinander angrenzenden Leitungen in ein und derselben Metallisierungsschicht beschränkt werden würde. Im Allgemeinen ist mindestens eine Ausführungsform auf eine Methode gerichtet, die eine Damascene-Technik nutzt, um leitfähige Streifen und nicht-leitfähige Leerräume oder Unterbrechungen zwischen Metallen (Plugs) zu bilden.
  • Genauer beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen die Verwendung eines Damascene-Verfahrens zur Ausbildung von Streifen und Plugs. Zu Anfang wird zuerst jeder mögliche Ort für einen Streifen oder Plug in eine Hartmaskenschicht einstrukturiert. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Orten für Streifen und Plugs behalten werden sollen. Die Orte werden dann in eine darunterliegende Zwischenschicht-Dielektrikumsschicht übertragen. Solche Operationen können unter Verwendung von Photobuckets dargestellt werden. In einer bestimmten Ausführungsform wird ein Verfahren für eine Damascene-Strukturierung von Vias, Plugs und Streifen unter Verwendung einer Photobucket-Methode und selektiver Hartmasken mit einer Selbstjustierung versehen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Photobucket-Strukturierung für die Herstellung von Plugs und Streifen auf selbstjustierende Weise verwendet. Ein Prozessablauf, der einen allgemeinen Überblick darstellt, kann beinhalten: (1) die Herstellung eines gekreuzten Gitters, gefolgt von (2) einer Photobucket-Anwendung zum Definieren von Plugs und einer Umwandlung des Fotolacks in ein „hartes“ Material, das einer folgenden Verarbeitung standhalten kann, gefolgt von (3) einer Gittertonumkehrung durch Wiederauffüllen mit einem einfüllbaren Material, einer Abtragung des einfüllbaren Materials und einem Entfernen des ursprünglichen gekreuzten Gitters, gefolgt von (4) einer Photobucket-Anwendung zum Definieren von „Streifen“, gefolgt von (5) einer Ätzübertragung der Struktur in eine darunterliegende Zwischenschicht-Dielektrikums(ILD)-Schicht und einem Wegpolieren der zusätzlichen Hartmaskenmaterialien. Man beachte, dass der allgemeine Prozessablauf zwar keine Vias beinhaltet, aber dass in einer Ausführungsform hierin beschriebene Methoden implementiert werden können, um sie auf mehrere Durchgänge von Plugs, Vias und Streifen unter Verwendung ein und desselben selbstjustierenden Gitters zu erweitern.
  • Als Beispiel zeigen die 27A-27K Schrägschnittansichten, die verschiedene Operationen in einem Verfahren zur Herstellung einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 27A gezeigt ist, wird eine erste Operation in einem Kreuzgitterstrukturierungsablauf oberhalb einer Zwischenschicht-Dielektrikums(ILD)-Schicht 2702 durchgeführt, die oberhalb eines Substrats 2700 ausgebildet ist. Zuerst wird eine Blanket-Hartmaske 2704 auf der ILD-Schicht 2702 ausgebildet. Eine erste Gitterhartmaske 2706 wird entlang einer ersten Richtung oberhalb der Blanket-Hartmaske 2704 ausgebildet. In einer Ausführungsform wird die erste Gitterhartmaske 2706 mit einem Gittermuster ausgebildet, wie in 27A bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur der ersten Gitterhartmaske 2706 eine Gitterstruktur mit engen Abständen. In einer konkreten Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der ersten Gitterhartmaske 2706 von 27A Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand eng beabstandet sind und die eine konstante Breite aufweisen.
  • Wie in 27B gezeigt ist, wird eine zweite Operation in einem Kreuzgitterstrukturierungsablauf oberhalb der Zwischenschicht-Dielektrikums(ILD)-Schicht 2702 durchgeführt. Eine zweite Gitterhartmaske 2708 wird entlang einer zweiten Richtung oberhalb der Blanket-Hartmaske 2704 ausgebildet. Die zweite Richtung ist orthogonal zur ersten Richtung. Die zweite Gitterhartmaske 2708 weist eine auf ihr liegende Hartmaske 2710 auf. In einer Ausführungsform wird die zweite Gitterhartmaske 2710 in einem Strukturierungsprozess unter Verwendung der darüberliegenden Hartmaske 2710 hergestellt. Die Kontinuität der zweiten Gitterhartmaske 2708 wird durch Strecken der ersten Gitterhartmaske 2706 unterbrochen, und somit erstrecken sich Abschnitte der ersten Gitterhartmaske 2706 unterhalb der darüberliegenden Hartmaske 2710. In einer Ausführungsform wird die zweite Gitterhartmaske 2708 verschränkt mit der ersten Gitterhartmaske 2706 ausgebildet. In einer solchen Ausführungsform wird die zweite Gitterhartmaske 2708 durch Abscheiden einer zweiten Schicht aus Hartmaskenmaterial mit einer Zusammensetzung, die von derjenigen der ersten Gitterhartmaske 2706 verschieden ist, ausgebildet. Dann wird die zweite Schicht aus Hartmaskenmaterial planarisiert, z.B. durch chemisch-mechanische Polierung (CMP), und dann unter Verwendung der darüberliegenden Hartmaske 2710 strukturiert, um die zweite Gitterhartmaske 2708 bereitzustellen. Wie es der Fall mit der ersten Gitterhartmaske 2706 war, ist die Gitterstruktur der zweiten Gitterhartmaske 2708 in einer Ausführungsform eine Gitterstruktur mit engen Abständen. In einer konkreten Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der zweiten Gitterhartmaske 2708 von 27A Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand eng beabstandet sind und die eine konstante Breite aufweisen.
  • Wie in 27C gezeigt ist, wird ein Photobucket-Plug-Strukturierungsablauf als erster Photobucket-Prozess durchgeführt. In einer Ausführungsform werden Photobuckets 2712 auf sämtlichen freiliegenden Öffnungen zwischen der ersten Gitterhartmaske 2706 und der zweiten Gitterhartmaske 2708 ausgebildet. In einer Ausführungsform wird ein Via-Strukturierungsprozess optional vor dem Plug-Photobucket-Strukturierungsprozess durchgeführt. Die Via-Strukturierung kann eine direkte Strukturierung sein oder kann einen separaten Photobucket-Prozess beinhalten.
  • Wie in 27D gezeigt ist, werden ausgewählte von den Photobuckets 2712 entfernt, während andere Photobuckets 2712 behalten werden, z.B. dadurch, dass ein ausgewähltes Photobucket 2712 nicht einem Lithographie- und Entwicklungsprozess unterzogen wird, der verwendet wird, um alle anderen Photobuckets 2712 zu öffnen. Die freiliegenden Abschnitte der Blanket-Hartmaske 2704 von 27A werden dann geätzt, um eine ein erstes Mal strukturierte Hartmaske 2714 bereitzustellen. Die in diesem Stadium bewahrten Photobuckets 2712 stellen die Plugorte in einer finalen Metallisierungsschicht dar. Das heißt, im ersten Photobucket-Prozess werden Photobuckets von Orten entfernt, wo keine Plugs ausgebildet werden. Um Orte auszubilden, wo keine Plugs ausgebildet werden sollen, wird in einer Ausführungsform Lithographie verwendet, um die entsprechenden Photobuckets zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden.
  • Wie in 27E gezeigt ist, wird ein Gittertonumkehrungsprozess durchgeführt. In einer Ausführungsform werden dielektrische Regionen 2716 in sämtlichen belichteten Regionen der Struktur von 27D ausgebildet. In einer Ausführungsform werden die dielektrischen Regionen 2716 durch Abscheiden einer dielektrischen Schicht und Rückätzung, um dielektrische Regionen 2716 auszubilden, ausgebildet.
  • Wie in 27F gezeigt ist, werden dann die Abschnitte der ersten Gitterhartmaske 2706, die nicht durch die darüberliegende Hartmaske 2710 bedeckt sind, entfernt, wodurch nur Abschnitte 2706' der ersten Gitterhartmaske 2706 zurückbleiben, die unter der darüberliegenden Hartmaske 2710 verbleiben.
  • Wie in 27G gezeigt ist, wird als zweiter Photobucket-Prozess ein Photobucket-Streifen-Strukturierungsablauf durchgeführt. In einer Ausführungsform werden Photobuckets 2718 auf sämtlichen freiliegenden Öffnungen ausgebildet, die beim Entfernen der belichteten Abschnitte der ersten Gitterhartmaske 2706 ausgebildet wurden.
  • Wie in 27H gezeigt ist, werden ausgewählte von den Photobuckets 2718 entfernt, während andere Photobuckets 2718 behalten werden, z.B. dadurch, dass ein ausgewähltes Photobucket 2718 nicht einem Lithographie- und Entwicklungsprozess unterzogen wird, der verwendet wird, um alle anderen Photobuckets zu öffnen. Die freiliegenden Abschnitte der ein erstes Mal strukturierten Hartmaske 2714 der 27D-27G werden dann geätzt, um eine ein zweites Mal strukturierte Hartmaske 2715 zu bilden. Die in diesem Stadium bewahrten Photobuckets 2718 stellen die Orte dar, wo in einer finalen Metallisierungsschicht keine leitfähigen Streifen sein werden. Das heißt, im zweiten Photobucket-Prozess werden Photobuckets von Orten entfernt, wo schlussendlich keine leitfähigen Streifen ausgebildet werden. Um Orte auszubilden, wo leitfähige Streifen ausgebildet werden sollen, wird in einer Ausführungsform Lithographie verwendet, um die entsprechenden Photobuckets zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden.
  • Wie in 271 gezeigt ist, werden die darüberliegende Hartmaske 2710, die zweite Gitterhartmaske 2708 und die dielektrischen Regionen 2716 entfernt. Anschließend werden Abschnitte der ein zweites Mal strukturierten Hartmaske 2715, die bei der Entfernung der darüberliegenden Hartmaske 2710 freigelegt worden sind, entfernt, um eine ein drittes Mal strukturierte Hartmaske 2720 bereitzustellen, die zweite Gitterhartmaske 2708 und die dielektrischen Regionen 2716 werden entfernt. In einer Ausführungsform werden diejenigen von den Photobuckets 2712 und 2718, die behalten wurden, zuerst gehärtet (z.B. durch einen Ausheizprozess), bevor die darüberliegende Hartmaske 2710, die zweite Gitterhartmaske 2708 und die dielektrischen Regionen 2716 entfernt werden. In diesem Stadium verbleiben ausgewählte Photobuckets 2712, ausgewählte Photobuckets 2718 und behaltene Abschnitte 2706' der ersten Gitterhartmaske 2706 oberhalb der ein drittes Mal strukturierten Hartmaske 2720. In einer Ausführungsform werden die darüberliegende Hartmaske 2710, die zweite Gitterhartmaske 2708 und die dielektrischen Regionen 2716 unter Verwendung eines selektiven Nassätzprozesses entfernt, während die Abschnitte der ein zweites Mal strukturierten Hartmaske 2715, die bei der Entfernung der darüberliegenden Hartmaske 2710 freigelegt werden, unter Verwendung eines Trockenätzprozesses entfernt werden, um eine ein drittes Mal strukturierte Hartmaske 2720 bereitzustellen.
  • Wie in 27J gezeigt ist, wird die Struktur der ein drittes Mal strukturierten Hartmaske 2720 auf einen oberen Abschnitt der ILD-Schicht 2702 übertragen, um eine strukturierte ILD-Schicht 2722 zu bilden. In einer Ausführungsform wird dann eine Plug- und Streifenstruktur der ein drittes Mal strukturierten Hartmaske 2720 auf die ILD-Schicht 2702 übertragen, um eine strukturierte ILD-Schicht 2722 zu bilden. In einer Ausführungsform wird ein Ätzprozess verwendet, um die Struktur in die ILD-Schicht 2702 zu übertragen. In einer solchen Ausführungsform werden die ausgewählten Photobuckets 2712, die ausgewählten Photobuckets 2718 und die behaltenen Abschnitte 2706' der ersten Gitterhartmaske 2706, die oberhalb der ein drittes Mal strukturierten Hartmaske 2720 verblieben sind, während der Ätzung, die verwendet wird, um die strukturierte ILD-Schicht 2722 zu bilden, entfernt oder verbraucht. In einer anderen Ausführungsform werden die ausgewählten Photobuckets 2712, die ausgewählten Photobuckets 2718 und die behaltenen Abschnitte 2706' der ersten Gitterhartmaske 2706, die oberhalb der ein drittes Mal strukturierten Hartmaske 2720 verblieben sind, vor oder nach der Ätzung, die verwendet wird, um die strukturierte ILD-Schicht 2722 zu bilden, entfernt.
  • Wie in 27K gezeigt ist, werden im Anschluss an die Ausbildung der strukturierten ILD-Schicht 2732 leitfähige Leitungen 2724 ausgebildet. In einer Ausführungsform werden die leitfähigen Leitungen 2724 anhand eines Metallfüll- und Rückpolierprozess gebildet. Während der Ausbildung leitfähiger Leitungen 2724 wird auch ein leitfähiger Streifen 2728 gebildet, der zwei Metallleitungen 2724 miteinander koppelt. Somit wird in einer Ausführungsform eine leitfähige Kopplung (der Streifen 2728) zwischen leitfähigen Leitungen 2724 gleichzeitig mit den leitfähigen Leitungen 2724 in ein und derselben ILD-Schicht 2722 und in ein und derselben Ebene wie die leitfähigen Leitungen 2724 ausgebildet. Außerdem können Plugs 2726 als Lücke oder Unterbrechung in mindestens einer von den leitfähigen Leitungen 2724 ausgebildet werden, wie in 27K bildlich dargestellt ist. In einer solchen Ausführungsform ist der Plug 2726 eine Region der ILD-Schicht 2702, die während einer Strukturübertragung, um die strukturierte ILD-Schicht 2722 zu bilden, bewahrt wird. In einer Ausführungsform wird die ein drittes Mal strukturierte Hartmaske 2720 entfernt, wie in 27K bildlich dargestellt ist. In einer solchen Ausführungsform wird die ein drittes Mal strukturierte Hartmaske 2720 im Anschluss an die Ausbildung der leitfähigen Leitungen 2724 und des Streifens 2728, z.B. unter Verwendung eines nach der Metallisierung stattfindenden chemisch-mechanischen Planarisierungs(CMP)-Prozesses, entfernt.
  • Es wird erneut auf 27K Bezug genommen, wo gezeigt ist, dass in einer Ausführungsform eine Back-End-of-Line(BEOL)-Metallisierungsschicht für eine Halbleiterstruktur eine Zwischenschicht-Dielektrikums(ILD)-Schicht 2722 aufweist, die oberhalb eines Substrats 2700 angeordnet ist. Eine Mehrzahl leitfähiger Leitungen 2724 ist entlang einer ersten Richtung in der ILD-Schicht 2722 angeordnet. Ein leitfähiger Streifen 2728 ist in der ILD-Schicht 2722 angeordnet. Der leitfähige Streifen verbindet zwei von der Vielzahl von leitfähigen Leitungen 2724 entlang einer zweiten Richtung, die orthogonal zur ersten Richtung ist.
  • Eine solche Anordnung, wie sie in 27K bildlich dargestellt ist, mit einem geringen Abstand, einer geringen Breite oder beidem ist andernfalls durch herkömmliche lithographische Verarbeitung wohl nicht zu erreichen. Ebenso ist eine Selbstjustierung mit herkömmlichen Prozessen wohl nicht erreichbar. Ferner ist eine Anordnung, wie sie in 27K bildlich dargestellt ist, in Fällen, wo ein Abstandsteilungsablauf verwendet wird, um schlussendlich eine Struktur für die leitfähigen Leitungen 2724 bereitzustellen, auf anderem Wege wohl nicht erreichbar.
  • In einer Ausführungsform ist der leitfähige Streifen 2728 zusammenhängend mit den zwei von der Vielzahl leitfähiger Leitungen ausgebildet, und nicht überall anliegend, wie in 27K bildlich dargestellt ist. In einer Ausführungsform ist der leitfähige Streifen 2728 koplanar zu den zwei von der Vielzahl leitfähiger Leitungen 2724, wie in 27K bildlich dargestellt ist. In einer Ausführungsform weist die BEOL-Metallisierungsschicht ferner einen dielektrischen Plug 2726 auf, der an einem Ende von einer von der Vielzahl leitfähiger Leitungen 2724 angeordnet ist, wie in 27K bildlich dargestellt ist. In einer Ausführungsform ist der leitfähige Plug 2726 zusammenhängend mit der ILD-Schicht ausgebildet, und nicht überall anliegend, wie in 27K bildlich dargestellt ist. In einer Ausführungsform, die jedoch nicht dargestellt ist, weist die BEOL-Metallisierungsschicht ferner ein leitfähiges Via auf, das unterhalb von einer von der Vielzahl leitfähiger Leitungen 2724 angeordnet und elektrisch mit dieser gekoppelt ist.
  • Die Struktur von 27K kann anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 3K die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Es wird erneut auf 27K Bezug genommen, wo gezeigt ist, dass eine solche selbstjustierende Herstellung anhand einer Damascene-Photobucket-Methode fortgeführt werden kann, um eine nächste Metallisierungsschicht herzustellen. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden. Man beachte außerdem, dass mindestens eine der leitfähigen Leitungen 2724, auch wenn dies nicht dargestellt ist, mit einem darunterliegenden leitfähigen Via gekoppelt sein kann, das anhand einer zusätzlichen Photobucket-Operation ausgebildet werden kann. In einer Ausführungsform kann als Alternative zur oben beschriebenen zweidimensionalen Methode auch eine eindimensionale Gitterbildungsmethode für die Strukturierung von Plugs und Streifen (und möglicherweise Vias) implementiert werden. Eine solche eindimensionale Methode ermöglicht eine Begrenzung in nur einer einzigen Richtung. Somit kann der Abstand in einer Richtung „eng“ und in einer Richtung „locker“ sein.
  • Mindestens eine hierin beschriebene Ausführungsform ist auf Photobucket-Methoden für eine subtraktive Strukturierung von Plugs und Streifen gerichtet. Solche Strukturierungsabläufe können implementiert werden, um bidirektionale, auf Abstandhaltern basierende Verbindungen zu ermöglichen. Implementierungen können sich besonders gut für die elektrische Verbindung von zwei parallelen Leitungen einer Metallisierungsschicht eignen, wo die beiden Metallleitungen unter Verwendung einer auf Abstandhaltern basierenden Methode hergestellt worden sind, wodurch andernfalls die Einbeziehung einer leitfähigen Verbindung zwischen zwei aneinander angrenzenden Leitungen in ein und derselben Metallisierungsschicht beschränkt werden würde. Im Allgemeinen ist mindestens eine Ausführungsform auf eine Methode gerichtet, die eine subtraktive Technik nutzt, um leitfähige Streifen und nicht-leitfähige Leerräume oder Unterbrechungen zwischen Metallen (Plugs) zu bilden.
  • Mindestens eine hierin beschriebene Ausführungsform gibt eine Methode für die subtraktive Strukturierung von Vias, Schnitten und/oder Streifen mit einer Selbstjustierung anhand einer Photobucket-Methode und selektiver Hartmasken an. Ausführungsformen können die Verwendung einer sogenannten Webmuster-Strukturierungsmethode für subtraktiv strukturierte selbstjustierende Verbindungen, Plugs und Vias beinhalten. Eine Webmustermethode kann die Implementierung einer Webmusterstruktur aus Hartmasken mit Ätzselektivität für die einzelnen Hartmaskenmaterialien beinhalten. In bestimmten hierin beschriebenen Ausführungsformen wird ein Webmuster-Verarbeitungsablauf implementiert, um Verbindungen, Schnitte und Vias subtraktiv zu strukturieren.
  • Als Überblick über eine oder mehrere hierin beschriebene Ausführungsformen kann ein Prozessfluss, der einen allgemeinen Überblick bietet, die folgende Prozessabfolge beinhalten: (1) Herstellung anhand eines Webmuster-Prozessflusses mit vier „farbigen“ Hartmasken, die gegenseitig ätzselektiv sind, (2) Entfernen einer ersten von den Hartmaskenarten für die die Bildung von Photobuckets für Vias, (3) Wiedereinfüllen des ersten Hartmaskenmaterials, (4) Entfernen einer zweiten von den Hartmaskenarten für die die Bildung von Photobuckets für Schnitte (oder Plugs), (5) Wiedereinfüllen des zweiten Hartmaskenmaterials, (6) Entfernen einer dritten von den Hartmaskenarten für die Bildung von Photobuckets für leitfähige Streifen, (7) subtraktives Ätzen von Metall für Schnitte und Streifen und (8) Hartmaskenentfernung und anschließende Wiederauffüllung mit permanentem ILD-Material und Rückpolieren.
  • Die 28A-28T zeigen Schrägschnittansichten, die verschiedene Operationen in einem Verfahren zur Herstellung einer Back-End-of-Line(BEOL)-Metallisierungsschicht mit einem leitfähigen Streifen, der Metallleitungen der Metallisierungsschicht koppelt, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 28A gezeigt ist, wird oberhalb einer Blanket-Hartmaskenschicht 2802, die oberhalb einer Metallschicht 2800, die oberhalb eines Substrats (nicht gezeigt) ausgebildet ist, ein Gitterbildungsablauf durchgeführt. Eine erste Gitterhartmaske 2804 wird entlang einer ersten Richtung oberhalb der Blanket-Hartmaske 2802 ausgebildet. Eine zweite Gitterhartmaske 2806 wird entlang der ersten Richtung und abwechselnd mit der ersten Gitterhartmaske 2804 ausgebildet. In einer Ausführungsform wird die erste Gitterhartmaske 2804 aus einem Material mit einer anderen Ätzselektivität als das Material der zweiten Gitterhartmaske 2806 ausgebildet.
  • In einer Ausführungsform werden die erste und die zweite Gitterhartmaske 2804 und 2806 mit einem Gittermuster ausgebildet, wie in 28A bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur der ersten und der zweiten Gitterhartmaske 2804 und 2806 eine Gitterstruktur mit engen Abständen. In einer bestimmten derartigen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der ersten und der zweiten Gitterhartmaske 2804 und 2806 von 28A Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand eng beabstandet sind und die eine konstante Breite aufweisen.
  • Wie in 28B gezeigt ist, wird ein Opferkreuzgitterstrukturierungsprozess durchgeführt. Eine oben liegende Hartmaske 2808 wird mit einer Gitterstruktur entlang einer zweiten Richtung, orthogonal zur ersten Richtung, d.h. orthogonal zur ersten und zur zweiten Gitterhartmaske 2804 und 2806, ausgebildet.
  • In einer Ausführungsform wird die oben liegende Hartmaske 2808 mit einer Gitterstruktur mit engen Abständen ausgebildet. In einer bestimmten derartigen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der oben liegenden Hartmaske 2808 von 28B Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand eng beabstandet sind und die eine konstante Breite aufweisen.
  • Wie in 28C gezeigt ist, wird eine Ausbildung einer Webmusterstruktur durchgeführt. Regionen der ersten Hartmaske 2804, die zwischen dem Gitter der oben liegenden Hartmaske 2808 freiliegen, werden selektiv geätzt und durch Regionen einer dritten Hartmaske 2810 ersetzt. Regionen der zweiten Hartmaske 2806, die zwischen dem Gitter der oben liegenden Hartmaske 2808 freiliegen, werden selektiv geätzt und durch Regionen einer vierten Hartmaske 2812 ersetzt. In einer Ausführungsform wird die dritte Gitterhartmaske 2810 aus einem Material mit einer anderen Ätzselektivität als das Material der ersten Hartmaske 2804 und der zweiten Hartmaske 2806 ausgebildet. In einer weiteren Ausführungsform wird die vierte Gitterhartmaske 2812 aus einem Material mit einer anderen Ätzselektivität als das Material der ersten Hartmaske 2804, der zweiten Hartmaske 2806 und der dritten Hartmaske 2810 ausgebildet.
  • Wie in 28D gezeigt ist, wird die oben liegende Hartmaske 2808 entfernt. In einer Ausführungsform wird die oben liegende Hartmaske 2808 anhand eines Ätz-, Veraschungs- oder Reinigungsprozesses, der für die erste Hartmaske 2804, die zweite Hartmaske 2806, die dritte Hartmaske 2810 und die vierte Hartmaske 2812 selektiv ist, entfernt, wodurch eine Webmusterstruktur zurückbleibt, wie in 28D gezeigt ist.
  • Die 28E-28H gehören zu einem Via-Strukturierungsprozess. Wie in 28E gezeigt ist, wird die dritte Hartmaske 2810 selektiv in Bezug auf die erste Hartmaske 2804, selektiv in Bezug auf die zweite Hartmaske 2806 und selektiv in Bezug auf die vierte Hartmaske 2812 entfernt, um Öffnungen 2814 bereitzustellen, die Abschnitte der Blanket-Hartmaske 2802 freilegen. In einer Ausführungsform wird die dritte Hartmaske 2810 selektiv in Bezug auf die erste Hartmaske 2804, selektiv in Bezug auf die zweite Hartmaske 2806 und selektiv in Bezug auf die vierte Hartmaske 2812 anhand eines selektiven Ätz- oder Reinigungsprozesses entfernt.
  • Wie in 28F gezeigt ist, wird ein Photobucket-Strukturierungsablauf als erster Prozess zum Bilden von Photobuckets durchgeführt. In einer Ausführungsform werden Photobuckets in sämtlichen freiliegenden Öffnungen 2814 von 28E gebildet. Ausgewählte von den Photobuckets werden entfernt, um Öffnungen 2814 erneut freizulegen, während andere Photobuckets 2816 behalten werden, z.B. dadurch, dass die Photobuckets 2816 keinem Lithographie- und Entwicklungsprozess unterzogen werden, der angewendet wird, um alle anderen von den ersten Photobuckets zu öffnen (in dem konkret dargestellten Fall werden drei Photobuckets behalten, während eines entfernt wird).
  • Wie in 28G gezeigt ist, wird der freiliegende Abschnitt der Blanket-Hartmaske 2802 dann geätzt, um eine ein erstes Mal strukturierte Hartmaske 2820 bereitzustellen. Außerdem wird die Metallschicht 2800 durch die Öffnung hindurch geätzt, um einen Ätzgraben 2818 in einer ein erstes Mal strukturierten Metallschicht 2822 bereitzustellen. Die ein erstes Mal strukturierte Metallschicht 2822 weist ein leitfähiges Via 2824 auf. Im Anschluss an die subtraktive Metallätzung werden die verbliebenen Photobuckets 2816 entfernt, um mit ihnen assoziierte Öffnungen 2814 erneut freizulegen.
  • Wie in 28H gezeigt ist, werden der Graben 2818 und die Öffnungen 2814 mit Hartmaskenmaterial wiederaufgefüllt. In einer Ausführungsform wird ein Material, das dem Material der dritten Hartmaske 2810 ähnelt oder gleich ist, auf der Struktur von 28G ausgebildet und planarisiert oder rückgeätzt, um eine tiefe Hartmaskenregion 2826 und eine flache Hartmaskenregion 2828 bereitzustellen. In einer Ausführungsform sind die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 von der dritten Materialart (z.B. der Materialart der dritten Hartmaske 2810).
  • Die 28I-28L gehören zu einem Metallleitungsschnitt- oder Plugbildungs-Strukturierungsprozess. Wie in 281 gezeigt ist, wird die erste Hartmaske 2804 selektiv in Bezug auf die zweite Hartmaske 2806, selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die vierte Hartmaske 2812 entfernt, um Öffnungen 2830 bereitzustellen, die Abschnitte der ein erstes Mal strukturierten Hartmaske 2820 freilegen. In einer Ausführungsform wird die erste Hartmaske 2804 selektiv in Bezug auf die zweite Hartmaske 2806, selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die vierte Hartmaske 2812 anhand eines selektiven Ätz- oder Reinigungsprozesses entfernt.
  • Wie in 28J gezeigt ist, wird als zweiter Photobucket-Prozess ein Photobucket-Schnitt- oder Plug-Strukturierungsablauf durchgeführt. In einer Ausführungsform werden Photobuckets in sämtlichen freiliegenden Öffnungen 2830 von 281 gebildet. Ausgewählte von den Photobuckets werden entfernt, um Öffnungen 2830 erneut freizulegen, während andere Photobuckets 2832 behalten werden, z.B. dadurch, dass die Photobuckets 2832 keinem Lithographie- und Entwicklungsprozess unterzogen werden, der angewendet wird, um alle anderen von den ersten Photobuckets zu öffnen (in dem konkret dargestellten Fall werden drei Photobuckets behalten, während eines entfernt wird). Die in diesem Stadium bewahrten Photobuckets stellen die Orte dar, wo in einer finalen Metallisierungsschicht Schnitte oder Plugs sein werden. Das heißt, im zweiten Photobucket-Prozess werden Photobuckets von Orten entfernt, wo schlussendlich Plugs oder Schnitte ausgebildet werden.
  • Wie in 28K gezeigt ist, wird der freiliegende Abschnitt der ein erstes Mal strukturierten Hartmaske 2820 dann geätzt, um eine ein erstes Mal strukturierte Hartmaske 2834 bereitzustellen, in der ein Graben 2836 ausgebildet ist. Im Anschluss an die Ätzung werden die verbliebenen Photobuckets 2832 entfernt, um mit ihnen assoziierte Öffnungen 2830 erneut freizulegen.
  • Wie in 28L gezeigt ist, werden der Graben 2834 und die Öffnungen 2830 mit einem Hartmaskenmaterial wiederaufgefüllt. In einer Ausführungsform wird ein Material, das dem Material der ersten Hartmaske 2804 ähnelt oder gleich ist, auf der Struktur von 28K ausgebildet und planarisiert oder rückgeätzt, um eine tiefe Hartmaskenregion 2838 und eine flache Hartmaskenregion 2840 bereitzustellen. In einer Ausführungsform sind die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 von der ersten Materialart (z.B. der Materialart der ersten Hartmaske 2804).
  • Wie in 28M gezeigt ist, wird die vierte Hartmaske 2812 selektiv in Bezug auf die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der ersten Materialart, selektiv in Bezug auf die zweite Hartmaske 2806 und selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart entfernt. In einer Ausführungsform wird die vierte Hartmaske 2812 selektiv in Bezug auf die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der ersten Materialart, selektiv in Bezug auf die zweite Hartmaske 2806 und selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart anhand eines Ätz- oder Reinigungsprozesses entfernt. Ein Tiefätzprozess wird durch die resultierenden Öffnungen und vollständig durch die ein zweites Mal strukturierte Hartmaske 2834 hindurch durchgeführt, um eine ein drittes Mal strukturierte Hartmaske 2842 zu bilden, und vollständig durch die ein erstes Mal strukturierte Metallschicht 2842 hindurch durchgeführt, um eine ein zweites Mal strukturierte Metallschicht 2844 zu bilden. Auch wenn dies nicht dargestellt ist, kann in diesem Stadium ein zweiter Schnitt- oder Plug-Strukturierungsprozess durchgeführt werden.
  • Wie in 28N gezeigt ist, werden die tiefen Öffnungen, die entsprechend der 28M gefüllt worden sind, mit einem Hartmaskenmaterial wiederaufgefüllt. In einer Ausführungsform wird ein Material, das dem Material der vierten Hartmaske 2812 ähnlich oder gleich ist, auf der Struktur von 28M ausgebildet und planarisiert oder zurückgeätzt, um tiefe Hartmaskenregionen 2846 bereitzustellen. In einer Ausführungsform sind die tiefen Hartmaskenregionen 2846 von der vierten Materialart (z.B. der Materialart der vierten Hartmaske 2812). In einer optionalen Ausführungsform, die in Verbindung mit 2899 der nachstehend beschriebenen 28S dargestellt wird, kann zuerst eine ILD-Schicht (wie etwa eine Schicht mit niedriger dielektrischer Konstante) eingefüllt und auf ein Niveau der ein zweites Mal strukturierten Metallschicht 2844 zurückgeätzt werden. Das Hartmaskenmaterial der vierten Art (d.h. eine flache Version von 2846) wird dann auf der ILD-Schicht ausgebildet.
  • Die 28O-28R betreffen einen Strukturierungsprozess zur Ausbildung eines leitfähigen Streifens. Wie in 28O gezeigt ist, wird die zweite Hartmaske 2806 selektiv in Bezug auf die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der ersten Materialart, selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die tiefen Hartmaskenregionen 2846 der vierten Materialart entfernt, um Öffnungen 2848 bereitzustellen, die Abschnitte der ein drittes Mal strukturierten Hartmaske 2842 freilegen. In einer Ausführungsform wird die zweite Hartmaske 2806 selektiv in Bezug auf die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der ersten Materialart, selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die tiefen Hartmaskenregionen 2846 der vierten Materialart anhand eines Ätz- oder Reinigungsprozesses entfernt.
  • Wie in 28P gezeigt ist, wird als dritter Photobucket-Ausbildungsprozess ein Photobucket-Prozess für einen leitfähigen Streifen durchgeführt. In einer Ausführungsform werden Photobuckets in sämtlichen freiliegenden Öffnungen 2848 von 28O gebildet. Ausgewählte von den Photobuckets werden entfernt, um Öffnungen 2848 erneut freizulegen, während andere Photobuckets 2850 behalten werden, z.B. dadurch, dass die Photobuckets 2850 keinem Lithographie- und Entwicklungsprozess unterzogen werden, der angewendet wird, um alle anderen von den dritten Photobuckets zu öffnen (in dem konkret dargestellten Fall wird ein Photobucket 2850 behalten, während drei entfernt werden). Die in diesem Stadium entfernten Photobuckets stellen die Orte dar, wo in einer finalen Metallisierungsschicht keine leitfähigen Streifen ausgebildet werden. Das heißt, im dritten Photobucket-Prozess werden Photobuckets 2850 an Orten behalten, wo schlussendlich leitfähige Streifen ausgebildet werden.
  • Wie in 28Q gezeigt ist, wird der freiliegende Abschnitt der ein drittes Mal strukturierten Hartmaske 2842 dann durch Öffnungen 2848 hindurch geätzt, um eine ein viertes Mal strukturierte Hartmaske 2852 bereitzustellen, in der Gräben 2854 ausgebildet sind. Im Anschluss an das Ätzen wird das verbliebene Photobucket 2850 entfernt.
  • Wie in 28R gezeigt ist, werden die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der ersten Materialart, selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die tiefen Hartmaskenregionen 2846 der vierten Materialart entfernt, um Abschnitte der ein viertes Mal strukturierten Hartmaske 2852 noch weiter freizulegen. In einer Ausführungsform werden die tiefe Hartmaskenregion 2838 und die flache Hartmaskenregion 2840 der vierten Materialart selektiv in Bezug auf die tiefe Hartmaskenregion 2826 und die flache Hartmaskenregion 2828 der dritten Materialart und selektiv in Bezug auf die tiefen Hartmaskenregionen 2848 der vierten Materialart anhand eines selektiven Ätz- oder Reinigungsprozesses entfernt.
  • Wie in 28S gezeigt ist, wird ein Tiefätzprozess durch die resultierenden Öffnungen hindurch und vollständig durch die ein zweites Mal strukturierte Materialschicht 2844 hindurch durchgeführt, um eine ein drittes Mal strukturierte Metallschicht 2856 zu bilden. In diesem Stadium sind in dem Fall, dass bei der Operation, die mit 28N assoziiert ist, wie oben in einer optionalen Ausführungsform beschrieben, eine ILD-Schicht 2899 gebildet wird, Abschnitte einer solchen ILD-Schicht 2899 in der Struktur von 28S sichtbar.
  • Wie in Teil (a) von 28T gezeigt ist, wird in einer Ausführungsform eine Hartmaskenentfernung verbliebener Hartmaskenabschnitte 2828, 2846, 2852 von 28S durchgeführt, und die Struktur wird anschließend planarisiert. In einer Ausführungsform wird die Höhe der tiefen Hartmaskenregion 2826 verringert, aber die Region wird nicht insgesamt entfernt, um eine Via-Abdeckung 2858 und ein ILD 2860 auszubilden. Außerdem wird eine Plug-Region 2862 ausgebildet. In einer Ausführungsform wird das ILD 2899 entsprechend 28N ausgebildet, und in einer solchen Ausführungsform weist die Plug-Region 2862 ein anderes Material als das ILD 2899 auf. In einer anderen Ausführungsform wird kein ILD 2899 entsprechend 28N ausgebildet, und die Abschnitte des ILD 2860 und des Plug 2862 werden alle zusammen gleichzeitig und aus dem gleichen Material ausgebildet, z.B. anhand eines ILD-Wiederauffüllprozesses. In einer Ausführungsform weist der Metallisierungsabschnitt der Struktur Metallleitungen 2864, ein leitfähiges Via 2824 (mit einer Via-Abdeckung 2858 darauf) und einen leitfähigen Streifen 2866 auf, wie in Teil (a) von 28T bildlich dargestellt ist.
  • Wie in Teil (a) von 28T gezeigt ist, wird in einer Ausführungsform eine ILD-Wiederauffüllung 2861 an der Struktur von 28S ausgebildet. In einer solchen Ausführungsform wird eine ILD-Schicht abgeschieden und dann zurückgeätzt, um die Struktur von Teil (b) von 28T bereitzustellen. In einer Ausführungsform kann dadurch, dass die Hartmasken von 28S an Ort und Stelle belassen werden, eine Schablonisierung einer nächsten Metallisierungsschicht durchgeführt werden. Das heißt, die Topographie mit den zurückgelassenen Hartmasken kann verwendet werden, um den Strukturierungsprozess der nächsten Schicht zu schablonisieren.
  • In jedem Fall, egal ob in Teil (a) oder (b) von 28T, umfassen hierin beschriebene Ausführungsformen ein zurückgelassenes Hartmaskenmaterial (2858 oder 2826) oberhalb eines leitfähigen Via 2824 einer finalen Metallisierungsschicht in einer Halbleiterstruktur. Es wird erneut auf 28A-28T verwiesen und außerdem darauf hingewiesen, dass die Reihenfolge für die Schnitt-, Via- und Streifenstrukturierung umstellbar ist. Auch wenn der beispielhafte Prozessablauf nur einen Schnitt-, nur einen Via- und nur einen Streifen-Durchgang zeigt, können mehrere Durchgänge jeder Art von Strukturierung durchgeführt werden.
  • Es wird erneut auf Teil (a) von 28T Bezug genommen, wo gezeigt ist, dass in einer Ausführungsform eine Back-End-of-Line(BEOL)-Metallisierungsschicht für eine Halbleiterstruktur eine Zwischenschicht-Dielektrikums(ILD)-Schicht 2860 aufweist. Eine Mehrzahl leitfähiger Leitungen 2864 ist entlang einer ersten Richtung in der ILD-Schicht 2860 angeordnet. Ein leitfähiger Streifen 2866 verbindet zwei von der Vielzahl von leitfähigen Leitungen 2864 entlang einer zweiten Richtung, die orthogonal zur ersten Richtung ist.
  • Eine solche Anordnung, wie sie in 28T bildlich dargestellt ist, mit einem geringen Abstand, einer geringen Breite oder beidem ist andernfalls durch herkömmliche lithographische Verarbeitung wohl nicht zu erreichen. Ebenso ist eine Selbstjustierung mit einem herkömmlichen Prozessablauf wohl nicht erreichbar. Ferner sind Anordnungen, wie sie in 28T bildlich dargestellt sind, in Fällen, wo ein Abstandsteilungsablauf verwendet wird, um schlussendlich eine Struktur für die leitfähigen Leitungen 2864 bereitzustellen, auf andere Weise wohl nicht erreichbar.
  • In einer Ausführungsform ist der leitfähige Streifen 2866 zusammenhängend mit den zwei von der Vielzahl leitfähiger Leitungen 2864 ausgebildet, aber nicht überall an diesen anliegend. In einer Ausführungsform ist der leitfähige Streifen 2866 koplanar zu den zwei von der Vielzahl leitfähiger Leitungen 2866. In einer Ausführungsform weist die BEOL-Metallisierungsschicht ferner einen Plug aus einem dielektrischen Material 2862 auf, der an einem Ende von einer von der Vielzahl leitfähiger Leitungen 2866 angeordnet ist. In einer Ausführungsform weist die BEOL-Metallisierungsschicht ferner ein leitfähiges Via auf.
  • Die Strukturen von 28T können anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu können die Strukturen von 28T die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Es wird erneut auf 28T Bezug genommen, wo gezeigt ist, dass eine solche selbstjustierende Herstellung anhand einer subtraktiven Photobucket-Methode fortgeführt werden kann, um eine nächste Metallisierungsschicht herzustellen. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Fotolackzurichtung für eine Toleranz gegenüber einem Belichtungsversatz beschrieben. Die Fotolackzurichtung kann einen oder mehrere von einem internen Quench, einem Pfropfschicht-Quench oder einem Quench in Form einer obersten Schicht umfassen. Eine oder mehrere hierin beschriebene Ausführungsformen sind auf in zwei Stufen ausgeheizte Fotolacke mit freisetzbaren Quenchern gerichtet. Anwendungen können auf eine oder mehrere von Extremultraviolett(EUV)-Lithographie, allgemeinen Lithographieanwendungen, Lösungen für Überdeckungsprobleme und allgemeine Fotolacktechnologien gerichtet sein. In einer Ausführungsform werden Materialien beschrieben, die sich für die Verbesserung der Leistung von auf Photobuckets basierenden Methoden eignen. In einer solchen Methode wird ein Resistmaterial auf eine vorstrukturierte Hartmaske beschränkt. Dann werden ausgewählte von den Photobuckets anhand eines hochauflösenden Lithographiewerkzeugs, z.B. eines EUV-Lithographiewerkzeugs, entfernt. Konkrete Ausführungsformen können implementiert werden, um die Gleichmäßigkeit der Reaktion des Fotolackmaterials über einem bestimmten Photobucket zu verbessern.
  • Um dies in einen Kontext zu stellen, so kann ein Ziel einer Photobucket-Methode die Fähigkeit sein, zuerst etwaige durch EUV freigesetzte Säuren über einem belichteten Photobucket zu diffundieren, um die Gleichmäßigkeit der Resistreaktion über dem ausgewählten Photobucket zu verbessern. In früheren Methoden wurde dies durch die Verwendung spezieller Materialien erreicht, die es der Säure ermöglichen, über dem Photobucket bei einer Temperatur zu diffundieren, die niedrig genug ist, um eine Löslichkeitsumstellungsreaktion, die von diesen Säuren hervorgerufen wird, zu vermeiden. Jedoch kann die Wirkung einer anderen Resistkomponente, das heißt des Quenchers, verhindern, dass ein solcher Vorteil voll zum Tragen kommt. Genauer kann der Quencher die Säuren neutralisieren, bevor sie in der Lage sind, über einem bestimmten Photobucket zu diffundieren oder sich zu verteilen. Zur Lösung solcher Probleme wird gemäß einer oder mehreren hierin beschriebener Ausführungsformen ein Standard-Quencher durch einen Quencher ersetzt, der durch eine Ultraviolett(UV)-Belichtung oder dergleichen freigesetzt werden kann, wodurch eine vorzeitige Säureneutralisierung vermieden werden kann.
  • Genauer wird gemäß einer oder mehreren hierin beschriebenen Ausführungsformen ein Photobucket-Resistmaterial, das einen durch UV freigesetzten Quencher aufweist, implementiert, um effektiv ein „2-Stufen-PEB“ bereitzustellen, wo die Wirkung einer EUV-Belichtung über einem bestimmten Photobucket effektiv überall auf einen Durchschnitt gleich gemacht wird. Solche Ausführungsformen können eine „digitale“ Bucket-Reaktion ermöglichen, bei der das gesamte Photobucket entweder verschwindet oder nicht. In bestimmten Ausführungsformen ist eine solche Reaktion toleranter gegenüber Randplatzierungsfehlern, bei denen ein Bild von oben nicht perfekt am Photobucket-Gitter ausgerichtet ist.
  • Um eines oder mehrere der hierin beteiligten Konzepte zu erläutern, zeigen die 29A-29C Querschnittsansichten und entsprechende Planansichten von verschiedenen Operationen in einem Strukturierungsverfahren, in dem Photobuckets verwendet werden, die einen in zwei Stufen ausgeheizten Fotolack einschließen, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 29A gezeigt ist, wird eine vorstrukturierte Hartmaske 2904 oberhalb eines Substrats 2902 angeordnet. Die vorstrukturierte Hartmaske 2904 weist Öffnungen auf, die mit einem in zwei Stufen ausgeheizten Fotolack 2906 gefüllt sind. Der in zwei Stufen ausgeheizte Fotolack 2906 ist auf die Öffnungen in der vorstrukturierte Hartmaske 2904 beschränkt, z.B. um ein Gitter aus möglichen Via-Orten bereitzustellen.
  • Wie in 29B gezeigt ist, werden ausgewählte von den Photobuckets einer Belichtung 2907 mit einem Lithographiewerkzeug unterzogen. Der in zwei Stufen ausgeheizte Fotolack 2906 wird mit einem Lithographiewerkzeug, z.B. einem EUV-Lithographiewerkzeug, belichtet, um auszuwählen, welche Vias geöffnet werden sollen. In einer Ausführungsform ist eine Ausrichtung bzw. Übereinstimmung zwischen dem Lithographiewerkzeug und dem Gitter der vor strukturierten Hartmaske 2904 nicht perfekt, was zu einer Asymmetrie der Belichtung im Ziel-Bucket und/oder einer Teilbelichtung des benachbarten Bucket führt. Wie in der Planansicht zu sehen ist, ist die Belichtung 2907 ein versetztes Bild von oben 2908.
  • Wie in 29C gezeigt ist, kann die Belichtung von 29B zwar einen Versatz und eine Teilbelichtung nicht-ausgewählter Photobuckets mit sich bringen, aber nur die ausgewählten Photobuckets werden zum Verschwinden gebracht, um Öffnungen 2920 auszubilden, wodurch nicht-ausgewählte Photobuckes als geschlossene Photobuckets 2912 zurückbleiben. In einer Ausführungsform wird in dem Prozess, der verwendet wird, um sicherzustellen, dass nur ausgewählte Photobuckets schlussendlich geöffnet werden, im Anschluss an die Belichtung 2907 ausgewählter Regionen des in zwei Stufen ausgeheizten Fotolacks 2906 der gesamte in zwei Stufen ausgeheizte Fotolack 2906 zuerst für eine Säurediffusion ausgeheizt. Dann wird eine Ultraviolett(UV)-Quenchfreisetzung für eine Säureneutralisierung durchgeführt. Dann wird eine zweite Ausheizung für eine Löslichkeitsumstellung durchgeführt, wie weiter unten ausführlicher beschrieben wird. In einer bestimmten Ausführungsform werden die Photosäuren, die bei der ersten Ausheizoperation freigesetzt werden, über dem gesamten Photobucket diffundiert. Durch die UV-Flutbelichtung werden Quencher freigesetzt, und dann wird die finale Ausheizung mit Löslichkeitsumstellung durchgeführt. Der Prozess wird nachstehend in Verbindung mit den 30A-30E erklärt.
  • Infolgedessen werden die ausgewählten Orte, die eine stärkere Belichtung empfangen, im Anschluss an die Entwicklung schließlich frei gemacht, um offene Photobucket-Orte 2920 bereitzustellen. Die nicht-ausgewählten Orte, die keine Belichtung empfangen, oder nur eine Teilbelichtung, aber in geringerem Maße wie im Falle einer Fehlausrichtung, bleiben im Anschluss an die Entwicklung als geschlossene Photobucket-Orte 2912 zurück.
  • Um ein kontrastierendes Szenario zu erläutern, wo ein herkömmlicher Fotolack verwendet wird, zeigt 1D eine Querschnittsansicht einer herkömmlichen Fotolack-Photobucket-Struktur im Anschluss an die Photobucket-Entwicklung nach einer fehlausgerichteten Belichtung. Es ist gezeigt, dass eine Photobucket-Region 2954 nur teilweise freigemacht worden ist, 2950, wobei etwas restlicher Fotolack 2952 verblieben ist. In dem Fall, dass das Photobucket 2954 ein ausgewähltes Photobucket ist, wird durch die fehlausgerichtete Belichtung 2907 das Photobucket nur zum Teil zum Verschwinden gebracht, was zu einer schlechten Qualität anschließend hergestellter leitfähiger Strukturen an solchen Orten führen kann. In dem Fall, dass das Photobucket 2954 ein nicht-ausgewähltes Photobucket ist, erscheinen manche nicht gewollte Öffnungen 2950, was möglicherweise zu einer anschließenden Bildung leitfähiger Strukturen an Orten führt, wo dies nicht gewollt ist.
  • In einer ausführlichen Beschreibung des Ablaufs zeigen die 30A-30E schematische Ansichten verschiedener Operationen in einem Strukturierungsverfahren unter Verwendung von Photobuckets, das einen in zwei Stufen ausgeheizten Fotolack beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 30A gezeigt ist, weisen ein erstes und ein zweites Photobucket 3002 und 3004 jeweils eine photolysierbare Zusammensetzung auf, die ein durch Säure entschützbares Fotolackmaterial, eine Photosäure-erzeugende (PAG-) Komponente 3010 und eine Photobase-erzeugende Komponente 3012 aufweist. Eine fehlausgerichtete EUV- oder Elektronenstrahlbelichtung 3006 wird an einem ausgewählten Photobucket 3002 und einem nicht-ausgewählten Photobucket 3004 durchgeführt, wodurch das ausgewählte Photobucket 3002 stark belichtet wird und das nicht-ausgewählte Photobucket 3004 zum Teil, aber in geringerem Maße belichtet wird. In einer bestimmten Ausführungsform ist die Photobase-erzeugende Komponente 3012 ein durch UV freisetzbarer Quencher.
  • Wie in 30B gezeigt ist, wird eine erste Ausheizung durchgeführt. In einer Ausführungsform wird die erste Ausheizung bei einer Temperatur durchgeführt, die zu niedrig ist, um eine Löslichkeitsumstellung zu bewirken. In einer solchen Ausführungsform ist die Ausheizung eine Ausheizung, die nur eine Diffusion beinhaltet und die zu diffundierten Materialien 3020 und 3022 der Photobuckets 3002 bzw. 3004 führt.
  • Wie in 30C gezeigt ist, werden Quencher 3014 freigesetzt, um Materialien 3024 und 3026 für Photobuckets 3002 bzw. 3004 zu bilden. In einer Ausführungsform sind die Quencher 3014 durch UV freigesetzte Quencher. In einer bestimmten derartigen Ausführungsform werden die durch UV freigesetzten Quencher durch UV-Flutbelichtung, z.B. eine 365nm-Belichtung, freigesetzt. In einer Ausführungsform werden die Photobuckets 3002 und 3004 beide der Flutbelichtung im gleichen Maße ausgesetzt.
  • Wie in 30D gezeigt ist, wird eine zweite Ausheizung durchgeführt, um Materialien 3028 und 3030 von Photobuckets 3002 bzw. 3004 zu bilden. In einer Ausführungsform erzeugt die zweite Ausheizung eine Löslichkeitsumstellung, bei der eine subkritische Säurekonzentration gequencht wird. Auf diese Weise gibt es im Wesentlichen keine lokalen Säurekonzentrationen. Das heißt es kommt nicht zu einer Entschützung eines Teils eines nur teilweise, unbeabsichtigt belichteten Photobucket.
  • Wie in 30E gezeigt ist, werden die Photobuckets 3002 und 3004 einem Entwicklungsprozess unterzogen. Das ausgewählte Photobucket 3002 verschwindet beim Entwickeln, wodurch ein verschwundenes Photobucket 3032 bereitgestellt wird. Das nicht-ausgewählte Photobucket 3004 verschwindet beim Entwickeln nicht und bleibt als blockiertes Photobucket 3034 zurück. Auch im Falle einer fehlausgerichteten Belichtung wird auf diese Weise eine digitale Photobucket-Reaktion (nur offen oder geschlossen, nicht teilweise offen) erreicht.
  • Man beachte, dass nicht alle Ausführungsformen eine einzige Zusammensetzung erfordern, um einen in zwei Stufen ausgeheizten Fotolack zu erreichen. In einem ersten alternativen Beispiel zeigt 30A' eine schematische Ansicht einer Operation in einem anderen Strukturierungsverfahren, in dem Photobuckets verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 30A' gezeigt ist, weisen ein erstes und ein zweites Photobucket 3002' und 3004' entlang eines Bodens und entlang von Seitenwänden des ersten und des zweiten Photobucket 3002' und 3004' jeweils eine Pfropf-Photobaseerzeugungskomponente 3050 auf, Eine photolysierbare Zusammensetzung wird innerhalb der Pfropf-Photobaseerzeugungskomponente 3050 erzeugt. Die photolysierbare Zusammensetzung weist ein durch eine Säure entschützbares Fotolackmaterial und eine Photosäureerzeugungs(PAG)-Komponente 3010' auf. Ein Prozess, der eine Belichtung 3006' und eine mehrstufige Entwicklung beinhaltet, kann dann ähnlich wie in den oben beschriebenen Methoden durchgeführt werden.
  • In einem zweiten alternativen Beispiel zeigt 30A" eine schematische Ansicht einer Operation in einem anderen Strukturierungsverfahren, in dem Photobuckets verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 30A" gezeigt ist, weisen ein erstes und ein zweites Photobucket 3002" und 3004" jeweils eine photolysierbare Zusammensetzung auf, die ein durch Säure entschützbares Fotolackmaterial und eine Photosäureerzeugungs(PAG)-Komponente 3010" aufweist. Im Anschluss an die Durchführung einer ersten Ausheizung wird eine Schicht 3060, die eine Baseerzeugungskomponente aufweist, am ersten 3002" und am zweiten 3004" ausgebildet. Die Photobuckets 3002" und 3004" werden dann einer Ultraviolett(UV)-Strahlung ausgesetzt. In diesem Fall muss die Basenkomponente nicht über einen Photobasengenerator eingeführt werden, sondern kann statt dessen in einer späteren Operation des Prozesses abgeschieden werden, z.B. durch Dampfabscheidung einer Basenschicht oder Einwirkenlassen einer NMP in basischer Atmosphäre.
  • Anwendungen der oben beschriebenen Fotolackzusammensetzungen und Methoden können implementiert werden, um regelmäßige Strukturen zu schaffen, die alle möglichen Orte für Vias (oder Plugs) abdecken, gefolgt von einer selektiven Strukturierung von nur den gewünschten Merkmalen. Um näher auf die Materialien einzugehen, so beinhalten in einer Ausführungsform, für die erneut auf die 30A-30E verwiesen wird, Photobuckes 3002 und 3004 eine photolysierbare Zusammensetzung. Die photolysierbare Zusammensetzung weist ein durch Säure entschützbares Fotolackmaterial mit weitgehender Transparenz bei einer Wellenlänge auf. Die photolysierbare Zusammensetzung weist außerdem eine Photosäureerzeugungs(PAG)-Komponente auf, die bei der Wellenlänge weitgehend transparent ist. Die photolysierbare Zusammensetzung weist eine Baseerzeugungskomponente auf, die bei der Wellenlänge weitgehend absorbierend ist. In alternativen Ausführungsformen ist das durch Säure entschützbare Fotolackmaterial bei der Wellenlänge nicht weitgehend transparent.
  • In einer Ausführungsform wird die Baseerzeugungskomponente ausgewählt aus der Gruppe bestehend aus einer Photo-Baseerzeugungskomponente, einer Elektronen-Baseerzeugungskomponente, einer chemischen Baseerzeugungskomponente und einer UV-Baseerzeugungskomponente. In einer Ausführungsform ist die Baseerzeugungskomponente eine Schall-Baseerzeugungskomponente. In einer Ausführungsform ist die Baseerzeugungskomponente UV-absorbierend. In einer Ausführungsform weist die Baseerzeugungskomponente einen niederenergetischen UV-Chromophor auf. In einer bestimmten Ausführungsform wird der niederenergetische UV-Chromophor ausgewählt aus der Gruppe bestehend aus Anthracenylcarbamaten, Naphthalenylcarbamaten, 2-Nitrophenylcarbamaten, Arylcarbamaten, Coumarinen, Phenylglyoxalsäure, substituierten Acetophenonen und Benzophenonen. In einer Ausführungsform ist der niederenergetische UV-Chromophor ein durch Licht freigesetztes Amin. In einer Ausführungsform weist die Baseerzeugungskomponente ein Material auf, das ausgewählt ist aus der Gruppe bestehend aus N,N-Dicyclohexyl-2-nitrophenylcarbamat, N,N-disubstituierten Carbamaten und monosubstituierten Carbamaten.
  • In einer Ausführungsform weist die PAG-Komponente ein Material auf, das ausgewählt ist aus der Gruppe bestehend aus Triethyl, Trimethyl und anderen Trialkylsulfonaten, wo die Sulfonatgruppe ausgewählt ist aus der Gruppe bestehend aus Trifluormethylsulfonat, Nonanfluorbutansulfonat und p-Tolylsulfonat, oder anderen Beispielen, die ein -SO3-Sulfonatanion aufweisen, das an eine organische Gruppe gebunden ist. In einer Ausführungsform ist das durch eine Säure entschützbare Fotolackmaterial ein durch eine Säure entschützbares Material, das ausgewählt ist aus der Gruppe bestehend aus einem Polymer, einem molekularen Glas, einem Carbosilan und einem Metalloxid. In einer Ausführungsform wird ein Metalloxid verwendet und eine Freisetzungsbase ist nicht notwendig. In einer Ausführungsform schließt das durch eine Säure entschützbare Fotolackmaterial ein Material ein, das ausgewählt ist aus der Gruppe bestehend aus einem Polyhydroxystyrol, einem Polymethacrylat, Versionen eines molekularen Glases eines Polyhydroxystyrols mit geringem Molekulargewicht oder einem Polymethacrylat, das eine Esterfunktionalität aufweist, die gegenüber einer durch Säure katalysierte Entschützung von Carbonsäure empfindlich ist, einem Carbosilan und einem Metalloxid, das eine Funktionalität aufweist, die empfindlich ist gegenüber einer durch Säure katalysierte Entschützung oder Vernetzung.
  • In einer Ausführungsform beträgt die Wellenlänge ungefähr 365 nm. In einer Ausführungsform absorbiert das durch eine Säure entschützbare Fotolackmaterial bei einer Wellenlänge von ungefähr 13,5 Nanometer weitgehend. In einer Ausführungsform absorbiert das durch eine Säure entschützbare Fotolackmaterial bei einer Energie, die ungefähr im Bereich von 5-150 keV liegt, weitgehend. In einer Ausführungsform beträgt das Molverhältnis der PAG-Komponente zur Baseerzeugungskomponente mindestens 50:1.
  • Es wird erneut auf die 30A-30E, 30A' und 30A" Bezug genommen, wo gemäß einer Ausführungsform der vorliegenden Offenbarung ein Verfahren zum Auswählen eines Photobuckets für eine Halbleiterverarbeitung gezeigt ist, welches das Bereitstellen einer Struktur beinhaltet, die ein erstes Photobucket 3002 aufweist, das einem zweiten Photobucket 3004 benachbart ist. Die Struktur wird mit Extremultraviolett(EUV)- oder Elektronenstrahlen 3006 belichtet, wobei das erste Photobucket 3002 den EUV- oder Elektronenstrahlen 3006 in einem höheren Maße ausgesetzt wird als das zweite Photobucket 3004. Im Anschluss an die Belichtung der Struktur mit EUV- oder Elektronenstrahlen 3006 wird eine erste Ausheizung des ersten und des zweiten Photobucket durchgeführt wie in Verbindung mit 30B beschrieben ist. Im Anschluss an die Durchführung der ersten Ausheizung wird die Struktur mit Ultraviolett (UV) bestrahlt, wobei das erste Photobucket der UV-Strahlung ungefähr in dem gleichen Maß ausgesetzt wird wie das zweite Photobucket, wie in Verbindung mit 30C beschrieben ist. Im Anschluss an die Belichtung der Struktur mit UV-Strahlen wird eine zweite Ausheizung des ersten und des zweiten Photobucket durchgeführt wie in Verbindung mit 30D beschrieben ist. Im Anschluss an die Durchführung der zweiten Ausheizung wird die Struktur entwickelt. Durch die Entwicklung wird das erste Photobucket geöffnet und das zweite Photobucket wird geschlossen gelassen, wie in Verbindung mit der 30E beschrieben ist.
  • In einer Ausführungsform beinhaltet die Belichtung der Struktur mit Extremultraviolett(EUV)- oder Elektronenstrahlen das Belichten der Struktur mit Energie mit einer Wellenlänge von ungefähr 13,5 Nanometern. In einer anderen Ausführungsform beinhaltet die Belichtung der Struktur mit Extremultraviolett(EUV)- oder Elektronenstrahlen das Belichten der Struktur mit Energie im Bereich von 5-150 keV. In einer Ausführungsform beinhaltet die Belichtung der Struktur mit UV-Strahlen das Belichten der Struktur mit Energie mit einer Wellenlänge von ungefähr 365 Nanometern. In einer Ausführungsform wird die erste Ausheizung bei einer Temperatur, die ungefähr im Bereich von 50-120 Grad Celsius liegt, für eine Dauer, die ungefähr im Bereich von 0,5-5 Minuten liegt, durchgeführt. In einer Ausführungsform wird die zweite Ausheizung bei einer Temperatur, die ungefähr im Bereich von 100-180 Grad Celsius liegt, für eine Dauer, die ungefähr im Bereich von 0,5-5 Minuten liegt, durchgeführt.
  • In einer Ausführungsform, für die konkret auf 30A verwiesen wird, weisen das erste und das zweite Photobucket jeweils eine photolysierbare Zusammensetzung auf, die ein durch Säure entschützbares Fotolackmaterial, eine Photosäureerzeugungs(PAG)-Komponente und eine Photobaseerzeugungskomponente aufweist. In einer solchen Ausführungsform beinhaltet eine Belichtung der Struktur mit Extremultraviolett(EUV)- oder Elektronenstrahlen eine Aktivierung der PAG-Komponente. Durch die erste Ausheizung wird eine Säure, die wegen der Aktivierung der PAG-Komponente gebildet wird, über dem gesamten ersten und zweiten Photobucket diffundiert. Die Belichtung der Struktur mit UV-Strahlen beinhaltet die Aktivierung der Photobaseerzeugungskomponente. Durch die zweite Ausheizung wird die gesamte Menge an Säure, die im zweiten Photobucket gebildet wird, mit einer Base gequencht, die aus der Photobaseerzeugungskomponente erzeugt wird, aber es wird nicht die gesamte Menge an Säure gequencht, die im ersten Photobucket gebildet wird.
  • In einer Ausführungsform, für die konkret auf 30A' verwiesen wird, weisen das erste und das zweite Photobucket beide eine Pfropf-Photobaseerzeugungskomponente entlang eines Bodens und entlang von Seitenwänden des ersten und des zweiten Photobucket und eine photolysierbare Zusammensetzung, die innerhalb der Pfropf-Photobaseerzeugungskomponenten gebildet wird, auf. Die photolysierbare Zusammensetzung weist ein durch Säure entschützbares Fotolackmaterial und eine Photosäureerzeugungs(PAG)-Komponente auf. In einer solchen Ausführungsform beinhaltet eine Belichtung der Struktur mit Extremultraviolett(EUV)- oder Elektronenstrahlen eine Aktivierung der PAG-Komponente. Durch die erste Ausheizung wird eine Säure, die wegen der Aktivierung der PAG-Komponente gebildet wird, über dem gesamten ersten und zweiten Photobucket diffundiert. Die Belichtung der Struktur mit UV-Strahlen beinhaltet die Aktivierung der Photobaseerzeugungskomponente. Durch die zweite Ausheizung wird die gesamte Menge an Säure, die im zweiten Photobucket gebildet wird, mit einer Base gequencht, die aus der Photobaseerzeugungskomponente erzeugt wird, aber es wird nicht die gesamte Menge an Säure gequencht, die im ersten Photobucket gebildet wird.
  • In einer weiteren Ausführungsform, für die konkret auf 30A" verwiesen wird, weisen das erste und das zweite Photobucket jeweils eine photolysierbare Zusammensetzung auf, die ein durch Säure entschützbares Fotolackmaterial und eine Photosäureerzeugungs(PAG)-Komponente aufweist. Das Verfahren umfasst ferner im Anschluss an die Durchführung der ersten Ausheizung und vor der Belichtung der Struktur mit Ultraviolett(UV)-Strahlen das Ausbilden einer Schicht, die eine Baseerzeugungskomponente umfasst, auf dem ersten und dem zweiten Photobucket. In einer solchen Ausführungsform beinhaltet eine Belichtung der Struktur mit Extremultraviolett(EUV)- oder Elektronenstrahlen eine Aktivierung der PAG-Komponente. Durch die erste Ausheizung wird eine Säure, die wegen der Aktivierung der PAG-Komponente gebildet wird, über dem gesamten ersten und zweiten Photobucket diffundiert. Die Belichtung der Struktur mit UV-Strahlen beinhaltet die Aktivierung der Baseerzeugungskomponente. Durch die zweite Ausheizung wird die gesamte Menge an Säure, die im zweiten Photobucket gebildet wird, mit einer Base gequencht, die aus der Baseerzeugungskomponente erzeugt wird, aber es wird nicht die gesamte Menge an Säure gequencht, die im ersten Photobucket gebildet wird.
  • In jedem der oben beschriebenen Fälle umfasst die Entwicklung der Struktur in einer Ausführungsform im Falle einer Positivtonentwicklung ein Tauchen oder eine Beschichtung mit wässrigem Standard-TMAH-Entwickler (z.B. in einem Konzentrationsbereich von 0,1M-1M) oder einem anderen wässrigen oder alkoholischen Entwickler auf Basis von Tetraalkylammoniumhydroxiden für 30-120 Sekunden, gefolgt von einer Spülung mit DI-Wasser. In einer anderen Ausführungsform umfasst die Entwicklung der Struktur im Falle einer Negativtonentwicklung ein Tauchen oder eine Beschichtung mit organischen Lösungsmitteln wie etwa Cyclohexanon, 2-Heptanon, Propylenglycolmethylethylacetat oder anderen, gefolgt von einer Spülung mit einem anderen organischen Lösungsmittel wie etwa Hexan, Heptan, Cyclohexan oder dergleichen.
  • In einer beispielhaften Ausführungsform bauen oben beschriebene Methoden auf Methoden auf, die sogenannte Photobuckets verwenden, bei denen jedes mögliche Merkmal, z.B. ein Via, vorab in ein Substrat einstrukturiert wird. Dann wird ein Fotolack in Strukturmerkmale gefüllt und die Lithographieoperation wird lediglich verwendet, um ausgewählte Vias für die Bildung von Viaöffnungen zu wählen. In einer bestimmten Ausführungsform wird eine Lithographieoperation verwendet, um ein relativ großes Loch oberhalb einer Vielzahl von Photobuckets zu definieren, die einen in zwei Stufen ausgeheizten Fotolack umfassen, wie oben beschrieben. Die Methode, die einen in zwei Stufen ausgeheizten Fotolack beinhaltet, lässt größere kritische Abmessungen (CDs) und/oder Fehler in der Überdeckung zu, während die Fähigkeit, das Via von Interesse zu wählen, beibehalten wird.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine Bildtonumkehr eines Fotolacks, z.B. für Photobuckets, beschrieben. Eine oder mehrere der hierin beschriebenen Ausführungsformen sind auf eine Materialklasse mit speziellen Eigenschaften, die eine Strukturumkehrung ermöglichen (z.B. dass Löcher in Säulchen umgewandelt werden), und damit in Beziehung stehende Methoden und die daraus entstehenden Strukturen gerichtet. Die Materialklasse kann eine Klasse weicher Materialien, z.B. fotolackähnlicher Materialien, sein. Als allgemeine Methode wird ein resistähnliches Material in einer vorstrukturierten Hartmaske abgeschieden. Das resistähnliche Material kann dann mit einem hochauflösenden Lithographiewerkzeug, z.B. einem Extremultraviolett(EUV)-Verarbeitungswerkzeug, ausselektiert werden. Dagegen kann stattdessen ein resistähnliches Material stehengelassen werden, so dass es in einer schlussendlich hergestellten Struktur permanent bleibt, z.B. als Zwischenschicht-Dielektrikums(ILD)-Material oder -Struktur („Plugs“), wodurch Lücken zwischen Metallleitungen gebildet werden. Überdeckungs(Randplatzierungs)-Probleme, die für eine Plug-Strukturierung der nächsten Generation zu erwarten sind, können durch eine oder mehrere der hierin beschriebenen Methoden entschärft werden.
  • Genauer ist mindestens eine der hierin beschriebenen Ausführungsformen auf die Verwendung eines durch Rotationsbeschichtung aufgebrachten Dielektrikums (z.B. ILD) mit bestimmten Eigenschaften gerichtet, die eine Füllung von Löchern („Buckets“) in einer strukturierten Fotolackschicht ohne eine Zerstörung der Struktur der Fotolackschicht ermöglichen. Zuerst wird das für die Rotationsbeschichtung bestimmte dielektrische Material in ein Lösungsmittel eingebracht, das den Fotolack nicht auflöst und das keine Vermischung des Fotolacks mit dem dielektrischen Material bewirkt. Man beachte, dass eine gute Füllbarkeit von Löchern erforderlich ist. Ein anfängliche Vernetzung (oder Aushärtung) der durch Rotationsbeschichtung aufgebrachten dielektrischen Dünnschicht wird unter Bedingungen bewerkstelligt, wo der Fotolack und das durch Rotationsbeschichtung aufgebrachte Dielektrikum sich nicht mischen und keine Strukturinformationen verloren gehen. Nachdem die Struktur umgekehrt wurde, wird das Material innerhalb des Bucket dann durch Ausheizen/Härten zu einem Dielektrikum mit gewünschten Eigenschaften, wie etwa einem k-Wert, einem Modul, einer Ätzselektivität usw. umgewandelt. Auch wenn es nicht auf ein solches Material beschränkt ist, kann ein durch Rotationsbeschichtung aufgebrachtes Material auf Basis eines 1,3,5-Trisilacycyclohexan-Blocks implementiert werden, um die oben genannten Kriterien zu erfüllen. Eine mit Verlust der Löslichkeit einhergehende Vernetzung eines solchen Materials (oder anderer auf Silizium basierender Dielektrika) kann entweder thermisch oder bei niedrigeren Temperaturen anhand von Säure-, Base- oder Lewis-Säure-Katalysatorprozessen initiiert werden. In einer Ausführungsform ist eine solche Niedertemperaturkatalyse für die Implementierung von hierin beschriebenen Methoden ausschlaggebend.
  • In einer Ausführungsform beinhalten hierin beschriebene Methoden die Erbringung einer bestmöglichen Bilderzeugungsleistung (die z.B. von Positivtonmaterialien kommt), um ein Negativtonbild zu erzeugen, in dem die finale Dünnschicht die angestrebten Materialeigenschaften aufweist. Die finalen Materialeigenschaften können denen eines Hochleistungs-Low-k-Dielektrikums/ILD-Materials ähneln. Im Gegensatz dazu sind Optionen des Standes der Technik für eine direkte Strukturierung dielektrischer Dünnschichten beschränkt und lassen nicht erwarten, dass sie eine notwendige Lithographieleistung zeigen werden, um sich für Herstellungsprozesse künftiger verkleinerter Technologien zu eignen.
  • Wie nachstehend ausführlicher in Verbindung mit den 31 und 32A-32H beschrieben wird, werden gemäß hierin beschriebenen Ausführungsformen Gräben, die in ein ILD-Material vorstrukturiert worden sind, mit chemisch verstärktem Fotolack gefüllt. Anhand von hochauflösender Lithographie (z.B. EUV) werden ausgewählte Löcher innerhalb der Gräben belichtet und anhand von herkömmlicher Positivtonverarbeitung entfernt. In diesem Stadium werden die leeren Löcher mit einer Vorkatalysatorschicht behandelt. In einer solchen Ausführungsform ist die Vorkatalysatorschicht eine haftende Katalysatorschicht, die eine selbstorganisierte Monoschicht (SAM) enthält. Die resultierenden beschichteten Löcher werden dann mit einem dielektrischen Vorläufer gefüllt, was mit einer Überladung einhergeht. Die Lokalisierung (oder die große Nähe) des Katalysators in (zu) den Löchern führt zu einer selektiven Vernetzung und Aushärtung des Dielektrikums nur in den Löchern. Die Überladung und der Fotolack werden entfernt, gefolgt von einer finalen Härtung des Dielektrikums (falls nötig) und Metallisierungsprozessen.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung beinhaltet ein Schlüsselmerkmal von hierin beschriebenen Methoden die Bewältigung einer variierenden Strukturdichte mit einer variierenden Überladungsdicke. In einer Ausführungsform wird eine solche Bewältigung dadurch ermöglicht, dass eine Vernetzung nur in/nahe an dem Loch stattfindet und eine Überladung schlussendlich durch Planarisierung (z.B. durch chemisch-mechanische Planarisierung) entfernt wird. In einer Ausführungsform wird eine selektive Vernetzung von dielektrischem Material in einem Loch bewirkt, ohne dass sich dies auf eine solche in Überladungsregionen auswirkt. In einer bestimmten Ausführungsform wird im Anschluss an eine lithographische Positivtonstrukturierung und -entwicklung eine hydrophile Oberfläche mit Si-OH-Endgruppen in den Löchern und überall dort, wo der Fotolack entfernt worden ist, freigelegt. Die hydrophile Oberfläche kann schon vor der Fotolackbeschichtung vorhanden sein oder während einer Entwicklung, z.B. mit Tetramethylammoniumhydroxid (TMAH), oder anschließenden Spülungen erzeugt werden. Man beachte, dass ein Fotolack, der nicht belichtet und entwickelt worden ist, seine ihm eigene schwach oder stark hydrophobe Natur behält und somit der Strukturierungsprozess effektiv hydrophile und hydrophobe Domänen erzeugt.
  • In einer Ausführungsform wird die freiliegende hydrophile Oberfläche mit einem Oberflächenpfropfungsmittel funktionalisiert, das entweder einen Katalysator oder einen Vorkatalysator trägt, der nötig ist, um ein dielektrisches Material zu vernetzen. Eine anschließende Beschichtung mit Dielektrikum führt zu einer Überfüllung der Löcher, wie oben beschrieben und wie weiter unten ausführlicher dargestellt wird. Bei der Aktivierung und gesteuerten Diffundierung eines Vorkatalysators mit z.B. einer Niedertemperaturausheizung wird das dielektrische Material in dem Loch selektiv vernetzt, wobei nur eine minimale Vernetzung in der Überladung, d.h. direkt oberhalb des Loches, stattfindet. Das überladene dielektrische Material kann dann unter Verwendung eines Gusslösungsmittels oder durch Auflösung in einem anderen Lösungsmittel entfernt werden. Man beachte, dass der Entfernungsprozess auch Fotolack entfernen kann oder dass Fotolack mit einem anderen Lösungsmittel oder durch einen Veraschungsprozess entfernt werden kann. In einer Ausführungsform kann das dielektrische Material nach der Tonumkehrung vor einer Metallisierungs- oder anderen Verarbeitung bei einer relativ höheren Temperatur ausgeheizt/gehärtet werden.
  • Gemäß einer oder mehreren hierin beschriebenen Ausführungsformen gibt es verschiedene Methoden für die Installierung eines Katalysators oder Vorkatalysators in einem Loch. Für manche dielektrischen Materialien sind starke Brønsted-Säuren nötig. In anderen Fällen können starke Lewis-Säuren verwendet werden. Um die vorliegende Beschreibung zu vereinfachen, wird der Begriff „Säure“ verwendet, um auf beide Szenarios Bezug zu nehmen. In einer Ausführungsform wird eine direkte Absorption eines Katalysators oder Vorkatalysators angewendet. In diesem Szenario wird der Katalysator auf eine hydrophile Oberfläche geschichtet und über eine H-Bindungs- oder andere elektrostatische Interaktion sehr fest gehalten. Eine folgende Beschichtung mit einem dielektrischen Material führt dazu, dass Säure und dielektrischer Vorläufer in dem Loch lokalisiert sind, wo eine thermische oder anderweitige Aktivierung die gewünschte chemische Vernetzung einleitet. In einer beispielhaften Ausführungsform führt die Reaktion einer Si-OH-reichen Oberfläche mit der starken Lewis-Säure B(C6F5)3 zur Bildung von Si-O-B(C6F5)3H+. Diese resultierende Lewis-Säure wird verwendet, um die Vernetzung von Hydrosilanvorläufermolekülen bei relativ niedrigeren Temperaturen als in nicht-katalysierten Prozessen zu katalysieren. In einer Ausführungsform minimiert die größere Größe des verwendeten Katalysators eine Diffusion in die Überladungsregionen.
  • In einer anderen Ausführungsform beinhalten Methoden eine kovalente Adhäsion eines Katalysators oder Vorkatalysators über einen Silanchemismus, wie etwa durch Chlor-, Alkoxy- und Aminosilane oder andere Oberflächenpfropfungsgruppen, die Siloxane, Silylchloride, Alkene, Alkyne, Amine, Phosphine, Thiole, Phosphonsäuren oder Carbonsäuren umfassen können. In diesem Szenario wird ein Katalysator oder Vorkatalysator kovalent an ein Pfropfungsmittel geknüpft. Zum Beispiel können bekannte Säureerzeuger (z.B. Photo- oder Thermo-) auf Basis von Oniumsalzen an Siloxane gebunden werden (z.B. [(MeO)3SiCH2CH2CH2SR2][X], wobei R = Alkyl- oder Arylgruppen und X = schwach koordinierende Anionen wie etwa Triflat, Nonaflat, H-B(C6F5)3, BF4 usw.). Der Katalysator oder Vorkatalysator kann entweder selektiv an das ILD von Interesse gebunden werden oder kann unter Verwendung von thermischen, Trockenätz- oder Nassätzprozessen selektiv von dem Resist entfernt werden. In einer noch anderen Ausführungsform wird der Katalysator oder Vorkatalysator vor der Fotolackbeschichtung anhand von ähnlichen Techniken eingebracht. Damit dies effektiv ist, darf in diesem Szenario das gepfropfte Material die Lithographie nicht stören und muss eine folgende Verarbeitung überstehen.
  • Als beispielhaftes Hilfsmittel zur Erläuterung der hierin beschriebenen Konzepte zeigt 31 eine Schrägansicht einer alternierenden Struktur aus Zwischenschicht-Dielektrikums(ILD)-Strecken und Fotolackstrecken, wo ein Loch in einer der Fotolackstrecken ausgebildet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 31 gezeigt ist, weist eine Struktur 3100 einander abwechselnde ILD-Strecken 3102 und Fotolackstrecken 3104 auf. Ein Loch 3106 ist in einer der Fotolackstrecken 3104 ausgebildet, z.B. durch herkömmliche Lithographie. Wie nachstehend in Verbindung mit den 32A-32H beschrieben wird, kann eine Struktur wie beispielsweise die Struktur 3100 einer Tonumkehrung unterzogen werden.
  • Für einen beispielhaften Prozessfluss zeigen die 32A-32H Querschnittsansichten eines Herstellungsprozesses, der eine Bildtonumkehrung mit einem Dielektrikum unter Verwendung einer Vernetzung von unten nach oben beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 32A zeigt eine Querschnittsansicht einer Ausgangsstruktur im Anschluss an eine Vorstrukturierung von Gräben 3204 in einem ILD-Material 3202. Ausgewählte von den Gräben 3204 werden mit einem chemisch verstärkten Fotolack 3206 gefüllt, während andere verarbeitet wurden, um ungefüllte Gräben (oder ungefüllte Grabenabschnitte, wie in 31 gezeigt) bereitzustellen. Zum Beispiel werden in einer Ausführungsform ausgewählte Löcher innerhalb der Gräben 3204 anhand von hochauflösender Lithographie (z.B. Extremultraviolett(EUV)-Lithographie) belichtet und anhand von herkömmlicher Positivtonverarbeitung entfernt.
  • Auch wenn dies der Einfachheit halber nicht dargestellt ist, ist zu beachten, dass die ungefüllten Gräben (oder Löcher, die innerhalb gefüllter Gräben ausgebildet sind) darunterliegende Merkmale, wie etwa darunterliegende Metallleitungen, in einer Region 3208 freilegen können. Ferner kann in einer Ausführungsform die Ausgangsstruktur in einer gitterartigen Struktur strukturiert werden, in der Gräben mit einem konstanten Abstand voneinander beabstandet sind und eine konstante Breite aufweisen. Die Struktur kann beispielsweise durch eine Abstandshalbierungs- oder Abstandsviertelungsmethode hergestellt werden. Manche der Gräben können mit darunterliegenden Vias oder tiefer liegenden Metallisierungsstrecken assoziiert sein.
  • 32B zeigt eine Querschnittsansicht der Struktur von 32A im Anschluss an eine Behandlung leerer Gräben oder Löcher mit einer Vorkatalysatorschicht 3210, die in einer Ausführungsform ein Katalysatormaterial ist, das eine selbstorganisierte Monoschicht (SAM) enthält. In einer solchen Ausführungsform wird die Vorkatalysatorschicht 3210, wie bildlich dargestellt, auf freiliegenden Abschnitten des ILD 3202, aber nicht auf freiliegenden Abschnitten des Fotolacks 3206 oder irgendeines freiliegenden Metalls, wie etwa in den Regionen 3208, ausgebildet. In einer Ausführungsform wird die Vorkatalysatorschicht 3210 durch Einwirkenlassen von vorkatalysatorbildenden Molekülen in der Dampfphase oder von Molekülen, die in einem Lösungsmittel gelöst sind, auf die Struktur von 32A ausgebildet. In einer Ausführungsform ist die Vorkatalysatorschicht eine Schicht aus Katalysator oder Vorkatalysator, die durch direkte Adsorption gebildet wird, wie oben beschrieben. In einer anderen Ausführungsform ist die Vorkatalysatorschicht 3210 eine Schicht aus Katalysator oder Vorkatalysator, die durch kovalente Adhäsion gebildet wird.
  • 32C zeigt eine Querschnittsansicht der Struktur von 32B im Anschluss an eine Füllung der resultierenden beschichteten Löcher mit einem dielektrischen Material 3212. Man beachte, dass das dielektrische Material 3212 einen Abschnitt 3212A, der die Gräben oder die Löcher füllt, und einen Abschnitt 3212B oberhalb der Gräben oder Löcher aufweist. Die Abschnitte 3212B werden hierin als Überladung bezeichnet. In einer Ausführungsform ist das dielektrische Material 3212 ein durch Rotationsbeschichtung aufgebrachtes dielektrisches Material.
  • In einer Ausführungsform wird das dielektrische Material 3212 aus einer Materialklasse auf Basis von Hydrosilanvorläufermolekülen ausgewählt, wo ein Katalysator eine Reaktion von Si-H-Bindungen mit Vernetzern wie etwa Wasser, Tetraethoxyorthosilikat (TEOS), Hexaethoxytrisilacyclohexan oder ähnlichen multifunktionalen Vernetzern vermittelt. In einer solchen Ausführungsform weist das dielektrische Material 3212 Trisilacycyclohexane auf, die anschließend durch O-Gruppen miteinander verknüpft werden können. In anderen Ausführungsformen werden dielektrische Vorläufer auf Alkoxysilanbasis oder Silsesquioxan (SSQ) für das dielektrische Material 3212 verwendet.
  • 32D zeigt eine Querschnittsansicht der Struktur von 32C im Anschluss an eine Vernetzung der Abschnitte 3212A des dielektrischen Materials 3212. In einer Ausführungsform führt die Lokalisierung (oder die große Nähe) des Katalysators (z.B. der Vorkatalysatorschicht 3210) in (zu) den ungefüllten Gräben oder Löchern zu einer selektiven Vernetzung, wodurch vernetzte Regionen 3214 gebildet werden, und zu einer Verfestigung der Abschnitte 3212A des dielektrischen Materials 3212 nur in den Löchern. Das heißt, in einer Ausführungsform werden die Abschnitte 3212B des dielektrischen Materials 3212 nicht vernetzt. In einer Ausführungsform wird die Vernetzung, die verwendet wird, um Regionen 3214 zu bilden, durch einen thermischen Härtungsprozess, d.h. durch Erwärmung bewirkt.
  • In einer Ausführungsform umfasst das dielektrische Material 3212 Trisilacyclohexane, und die Vernetzung, die verwendet wird, um Regionen 3214 zu bilden, umfasst eine Verknüpfung von Trisilacyclohexanen durch O-Gruppen. In 33A ist ein Trisilacyclohexan 3300 gezeigt. Wie in 33B gezeigt ist, bilden zwei vernetzte (XL-) Trisilacyclohexanmoleküle 3300 ein vernetztes Material 3320. 33C zeigt eine idealisierte Darstellung einer vernetzten Trisilacyclohexanstruktur 3340. Man beachte, dass die Struktur 3340 eigentlich verwendet wird, um eine komplexe Mischung aus Oligomeren darzustellen, aber ihre Gemeinsamkeit sind die H-verkappten Trisilacyclohexanringe.
  • 32E zeigt eine Querschnittsansicht der Struktur von 32D im Anschluss an die Entfernung der Überladungsregion 3212B des dielektrischen Materials 3212. 32F zeigt eine Querschnittsansicht der Struktur von 32E im Anschluss an die Entfernung des Fotolacks 3206, die selektiv ist gegenüber den vernetzten Regionen 3214. In einer Ausführungsform wird der Fotolack 3206, wie bildlich dargestellt, in einer folgenden und von der Verarbeitungsoperation, die verwendet wird, um die Überladungsregionen 3212B des dielektrischen Materials 3212 zu entfernen (wie etwa einer ersten nasschemischen Entwicklungsoperation), verschiedenen Verarbeitungsoperation (wie etwa einer zweiten nasschemischen Entwicklungsoperation) entfernt. In einer anderen Ausführungsform wird jedoch der Fotolack 3206 in der gleichen Verarbeitungsoperation entfernt, die auch verwendet wird, um die Überladungsregionen 3212B des dielektrischen Materials 3212 zu entfernen (wie etwa in einer nasschemischen Entwicklungsoperation). In einer Ausführungsform werden die verbliebenen vernetzten Regionen 3214 einem zusätzlichen Härtungsprozess (z.B. einer zusätzlichen Erwärmung, gefolgt vom Vernetzungs-Härtungsprozess) unterzogen. In einer Ausführungsform wird die zusätzliche Härtung im Anschluss an die Entfernung des Fotolacks 3206 und der Überladungsregionen 3212B durchgeführt.
  • 32G zeigt eine Querschnittsansicht der Struktur von 32F im Anschluss an die Bildung einer Metallfüllschicht 3216. Die Metallfüllschicht 3216 kann in den offenen Gräben (oder Löchern) von 32F und in Überladungsregionen ausgebildet werden. Die Metallfüllschicht kann eine Schicht aus nur einem Material sein oder kann aus mehreren Schichten gebildet sein, einschließlich von leitfähigen Verkleidungsschichten und Füllschichten. Jeder geeignete Abscheidungsprozess, wie etwa Galvanisierung, chemische Dampfabscheidung oder physikalische Dampfabscheidung, kann verwendet werden, um die Metallfüllschicht 3216 zu bilden. In einer Ausführungsform umfasst die Metallfüllschicht 3216 ein leitfähiges Material, wie unter anderem Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au oder Legierungen davon.
  • 32H zeigt eine Querschnittsansicht der Struktur von 32G im Anschluss an eine Planarisierung der Metallfüllschicht, um Metallmerkmale 3218 (z.B. Metallleitungen oder Vias) zu bilden. In einer Ausführungsform wird die Planarisierung der Metallfüllschicht 3216, um Metallmerkmale 3218 auszubilden, anhand eines chemisch-mechanischen Polierprozesses durchgeführt. Eine beispielhafte resultierende Struktur ist in 32H gezeigt, wo sich Metallmerkmale 3218 mit vernetzten (dielektrischen) Regionen 3214 in einem ILD-Material 3202 abwechseln.
  • Man beachte, dass die resultierende Struktur von 32H anschließend als Grundlage für die Ausbildung folgender Metallleitungs-/Via- und ILD-Schichten verwendet werden kann. Alternativ dazu kann die Struktur von 32H die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen. Ferner sei klargestellt, dass die obigen Beispiele in den Figuren keine Ätzstopp- oder Metallabdeckschichten aufweisen, die ansonsten für eine Strukturierung nötig sein können. Es sei jedoch klargestellt, dass solche Schichten in den Figuren nicht enthalten sind, weil sie keine Auswirkung auf das Gesamtkonzept der Füllung von unten nach oben haben.
  • Es wird erneut auf die 32A-32H Bezug genommen, wo gezeigt ist, dass ein solches Strukturierungsschema als integrierte Strukturierungsmethode implementiert werden kann, die eine Erzeugung regelmäßiger Strukturen, die alle möglichen Orte abdecken, gefolgt von einer selektiven Strukturierung nur der gewünschten Merkmale umfasst. Die vernetzten Regionen 3214 stellen ein Material dar, das in einer finalen Struktur als ILD zwischen den Enden der Metallleitungen verbleiben kann (z.B. als Plugs).
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine diagonale Maskenstrukturierung beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf eine diagonale Hartmaskenstrukturierung für die Verbesserung von Überdeckungen, insbesondere bei der Herstellung von Back-End-of-Line(BEOL)-Merkmalen für integrierte Halbleiterschaltungen gerichtet. Anwendungen einer Strukturierung, die auf diagonalen Hartmasken basiert, können unter anderem eine Implementierung in 193nm-Immersionslithographie, Extremultraviolett(EUV)-Lithographie, die Herstellung von Verbindungen, Überdeckungsverbesserungen, Überdeckungsspielräume, Plug-Strukturierung, Via-Strukturierung umfassen. Ausführungsformen können besonders geeignet sein für die selbstjustierende Herstellung von BEOL-Strukturen.
  • In einer Ausführungsform beinhalten hierin beschriebene Methoden ein Integrationsschema, das einen gegenüber heutigen Methoden relativ größeren Via- und Plug-Überdeckungsspielraum toleriert. In einer solchen Ausführungsform werden alle möglichen Vias und Plugs vorstrukturiert und mit Fotolack gefüllt, um eine Vielzahl von Photobuckets zu bilden. Im Anschluss daran wird in einer bestimmten Ausführungsform EUV- oder 193nm-Lithographie verwendet, um bestimmte von den Via- und Plug-Orten für die tatsächliche, schlussendliche Via- und Plug-Herstellung auszuwählen. In einer Ausführungsform wird eine diagonale Streckenstrukturierung verwendet, um Nearest-Neighbor-Abstände zu vergrößern, was zu einer Vergrößerung des Überdeckungsspielraums um einen Faktor der Quadratwurzel aus zwei führt. Genauer beinhaltet mindestens eine der hierin beschriebenen Ausführungsformen ein subtraktives Verfahren zur Vorab-Ausbildung jedes Via und Plug mittels der bereits geätzten Gräben. Dann wird eine zusätzliche Operation verwendet, um auszuwählen, welche von den Vias und Plugs behalten werden sollen. Solche Operationen sind unter Verwendung von Photobuckets dargestellt, aber der Auswahlprozess kann auch unter Verwendung einer konventionelleren Methode durchgeführt werden, die eine Fotolackbelichtung und ILD-Wiederauffüllung beinhaltet.
  • In einem Aspekt kann eine Methode implementiert werden, die eine diagonale Hartmaske beinhaltet. Als Beispiel zeigen die 34A-34X Abschnitte von Schichten integrierter Schaltungen, die verschiedene Operationen in einem Verfahren einer selbstjustierenden Via- und Plug-Strukturierung unter Verwendung diagonaler Hartmasken gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In jeder Darstellung sind bei jeder beschriebenen Operation Querschnitts- und/oder Plan- und/oder Schrägansichten gezeigt. Diese Ansichten werden hierin als entsprechende Querschnittsansichten, Planansichten und Schrägansichten bezeichnet.
  • 34A zeigt eine Querschnittsansicht einer Ausgangsstruktur 3400 im Anschluss an eine Abscheidung, aber vor einer Strukturierung einer ersten Hartmaskenmaterialschicht 3404, die auf einer Zwischenschicht-Dielektrikums(ILD)-Schicht 3402 ausgebildet wird, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34A gezeigt ist, weist eine strukturierte Maske 3406 Abstandhalter 3408, die entlang ihrer Seitenwände ausgebildet sind, auf oder oberhalb der ersten Hartmaskenmaterialschicht 3404 auf.
  • 34B zeigt eine Querschnittsansicht der Struktur von 34A im Anschluss an die Strukturierung der ersten Hartmaskenschicht durch Abstandsverdoppelung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34B gezeigt ist, wird die strukturierte Maske 3406 entfernt und die resultierende Struktur der Abstandhalter 3408 wird, z.B. durch einen Ätzprozess, auf die erste Hartmaskenmaterialschicht 3404 übertragen, um eine erste strukturierte Hartmaske 3410 zu bilden. In einer solchen Ausführungsform wird die erste strukturierte Hartmaske 3410 mit einer Gitterstruktur ausgebildet, wie in 34B bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur der ersten strukturierten Hartmaske 3410 eine Gitterstruktur mit engen Abständen. In einer bestimmten derartigen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden (Maske 3406), aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden, wie in den 34A und 34B bildlich dargestellt ist. Darüber hinaus kann der ursprüngliche Abstand durch eine zweite Runde einer Abstandshaltermaskenstrukturierung, die jedoch nicht dargestellt ist, geviertelt werden. Demgemäß kann die gitterartige Struktur der ersten strukturierten Hartmaske 3410 von 34B Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen.
  • 34C zeigt eine Querschnittsansicht der Struktur von 34B im Anschluss an die Bildung einer zweiten strukturierten Hartmaske gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34C gezeigt ist, wird eine zweite strukturierte Hartmaske 3412 so ausgebildet, dass sie mit der ersten strukturierten Hartmaske 3410 verschränkt ist. In einer solchen Ausführungsform wird die zweite strukturierte Hartmaske 3412 durch Abscheiden einer zweiten Schicht aus Hartmaskenmaterial (z.B. mit einer Zusammensetzung, die von derjenigen der ersten Hartmaskenmaterialschicht 3404 verschieden ist) ausgebildet. Dann wird die zweite Schicht aus Hartmaskenmaterial planarisiert, z.B. durch chemisch-mechanische Polierung (CMP), um die zweite strukturierte Hartmaske 3412 bereitzustellen.
  • 34D zeigt eine Querschnittsansicht der Struktur von 34C im Anschluss an die Abscheidung einer Hartmaskenabdeckschicht (einer dritten Hartmaskenschicht) gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34D gezeigt ist, wird eine Hartmaskenabdeckschicht 3414 auf der ersten strukturierten Hartmaske 3410 und der ersten strukturierten Hartmaske 3412 ausgebildet. In einer solchen Ausführungsform sind die Materialzusammensetzung und die Ätzselektivität der Hartmaskenabdeckschicht 3414 im Vergleich zur ersten strukturierten Hartmaske 3410 und zur ersten strukturierten Hartmaske 3412 anders.
  • 34E zeigt eine Schrägansicht der Struktur von 34D im Anschluss an die Strukturierung der Hartmaskenabdeckschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34E gezeigt ist, wird eine strukturierte Hartmaskenabdeckschicht 3414 auf der ersten strukturierten Hartmaske 3410 und der ersten strukturierten Hartmaske 3412 ausgebildet. In einer solchen Ausführungsform wird die strukturierte Hartmaskenabdeckschicht 3414 mit einer Gitterstruktur ausgebildet, die orthogonal zur Gitterstruktur der ersten strukturierten Hartmaske 3410 und der ersten strukturierten Hartmaske 3412 ist, wie in 34E bildlich dargestellt ist. In einer Ausführungsform ist die Gitterstruktur, die von der strukturierten Hartmaskenabdeckschicht 3414 gebildet wird, eine Gitterstruktur mit engen Abständen. In einer solchen Ausführungsform ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie ausgebildet werden, aber der Abstand kann mittels Abstandshaltermaskenstrukturierung halbiert werden. Darüber hinaus kann der ursprüngliche Abstand durch einen zweiten Durchlauf einer Abstandshaltermaskenstrukturierung geviertelt werden. Demgemäß kann die gitterartige Struktur der strukturierten Hartmaskenabdeckschicht 3414 von 34E Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen. Man beachte, dass die hier gegebene Beschreibung, die das Ausbilden und Strukturieren einer Hartmaskenschicht (oder einer Hartmaskenabdeckschicht, wie etwa der Hartmaskenabdeckschicht 3414) betrifft, in einer Ausführungsform das Bilden einer Maske oberhalb einer Blanket-Hartmaskenschicht oder Hartmaskenabdeckschicht beinhaltet. Die Maskenbildung kann die Verwendung einer oder mehrerer Schichten beinhalten, die sich für eine lithographische Verarbeitung eignen. Beim Strukturieren der einen oder der mehreren lithographischen Schichten wird die Struktur durch einen Ätzprozess auf die Hartmasken- oder Hartmaskenabdeckschicht übertragen, um eine strukturierte Hartmasken- oder Hartmaskenabdeckschicht bereitzustellen.
  • 34F zeigt eine Schrägansicht und eine entsprechende Planansicht der Struktur von 34E im Anschluss an eine weitere Strukturierung der ersten strukturierten Hartmaske gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34F gezeigt ist, wird unter Verwendung der strukturierten Hartmaskenabdeckschicht 3414 als Maske die erste strukturierte Hartmaske 3410 weiter strukturiert, um die erste strukturierte Hartmaske 3416 zu bilden. Die zweite strukturierte Hartmaske 3412 wird in diesem Prozess nicht weiter strukturiert. I einer Ausführungsform wird die erste strukturierte Hartmaske 3410 auf eine Tiefe strukturiert, die ausreicht, um Regionen der ILD-Schicht 3402 freizulegen, wie in 34F bildlich dargestellt ist.
  • 34G zeigt eine Planansicht der Struktur von 34F im Anschluss an die Entfernung der Hartmaskenabdeckschicht und die Bildung einer vierten Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34G gezeigt ist, wird die Hartmaskenabdeckschicht (die dritte Hartmaskenschicht) 3414 entfernt, z.B. durch einen Nassätzprozess, einen Trockenätzprozess oder einen CMP-Prozess. Eine vierte Hartmaskenschicht 3418 wird auf der resultierenden Struktur ausgebildet, und zwar in einer Ausführungsform durch einen Abscheidungs- und CMP-Prozess. In einer solchen Ausführungsform wird die vierte Hartmaskenschicht 3418 durch Abscheiden einer Materialschicht ausgebildet, die sich von dem Material der zweiten strukturierten Hartmaskenschicht 3412 und der ersten strukturierten Hartmaskenschicht 3416 unterscheidet.
  • 34H zeigt eine Planansicht der Struktur von 34G im Anschluss an eine Abscheidung und Strukturierung der ersten diagonalen Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34H gezeigt ist, wird eine erste diagonale Hartmaskenschicht 3420 auf der Anordnung aus der vierten Hartmaskenschicht 3418, der zweiten strukturierten Hartmaskenschicht 3412 und der ersten strukturierten Hartmaskenschicht 3416 von 34G ausgebildet. In einer Ausführungsform weist die erste diagonale Hartmaskenschicht 3420 eine Struktur auf, die weitgehend oder stark symmetrisch diagonal ist, z.B. bei 45 Grad in Bezug auf die Gitterstruktur der zweiten strukturierten Hartmaskenschicht 3412, um einander abwechselnde Strecken der vierten Hartmaskenschicht 3418 zu bedecken. In einer Ausführungsform wird die diagonale Struktur der ersten diagonalen Hartmaskenschicht 3420 mit einer minimalen kritischen Abmessung (CD), d.h. ohne die Verwendung einer Abstandshalbierung oder Abstandsviertelung, gedruckt. Man beachte, dass die einzelnen Strecken auch größer als die minimale CD gedruckt werden können, solange eine gewisse Fläche angrenzender Reihen der vierten Hartmaskenschicht 3418 offenliegend bleiben. Dessen ungeachtet kann die gitterartige Struktur der ersten diagonalen Hartmaskenschicht 3420 von 34H Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen. Man beachte, dass die hier gegebene Beschreibung, die das Ausbilden und Strukturieren einer diagonalen Hartmaskenschicht (wie etwa der ersten diagonalen Hartmaskenschicht 3420) betrifft, in einer Ausführungsform das Bilden einer Maske oberhalb einer Blanket-Hartmaskenschicht beinhaltet. Die Maskenbildung kann die Verwendung einer oder mehrerer Schichten beinhalten, die sich für eine lithographische Verarbeitung eignen. Beim Strukturieren der einen oder der mehreren lithographischen Schichten wird die Struktur durch einen Ätzprozess auf die Hartmaskenschicht übertragen, um eine diagonal strukturierte Hartmaskenschicht bereitzustellen. In einer bestimmten Ausführungsform ist die erste diagonale Hartmaskenschicht eine Hartmaskenschicht auf Kohlenstoffbasis.
  • 341 zeigt eine Planansicht der Struktur von 34H im Anschluss an die Entfernung offengelegter Regionen der vierten Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 341 gezeigt ist, werden unter Verwendung der ersten diagonalen Hartmaskenschicht 3420 als Maske offengelegte Regionen der vierten Hartmaskenschicht 3418 entfernt. In einer solchen Ausführungsform werden die offengelegten Regionen der vierten Hartmaskenschicht 3418 durch einen isotropen Ätzprozess (z.B. einen Nassätzprozess oder einen nicht-anisotropen Plasmaätzprozess) entfernt, so dass eine etwaige Teiloffenlegung zu einer vollständigen Entfernung des teilweise offengelegten Blocks aus viertem Hartmaskenmaterial führt. In einer Ausführungsform sind in Regionen, wo die vierte Hartmaskenschicht 3418 entfernt wurde, Abschnitte der ILD-Schicht 3402 offengelegt, wie in 341 bildlich dargestellt ist.
  • 34J zeigt eine Planansicht der Struktur von 341 im Anschluss an eine Entfernung der ersten diagonalen Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34J gezeigt ist, wird die erste diagonale Hartmaskenschicht 3420 entfernt, um die erste strukturierte Hartmaskenschicht 3416 und die zweite strukturierte Hartmaskenschicht 3412 offenzulegen. Ebenso werden Abschnitte der vierten Hartmaskenschicht 3418 offengelegt, die durch die erste strukturierte Hartmaskenschicht 3420 vor einer isotropen Ätzung geschützt wurden. Somit wechselt sich entlang jeder zweiten Reihe oder nach unten entlang jeder zweiten Kolonne der resultierenden gitterartigen Struktur von 34J eine Region der vierten Hartmaskenschicht 3418 mit einer offengelegten Region der darunterliegenden ILD-Schicht 3402 ab. Das heißt, das Ergebnis ist ein Schachbrettmuster aus ILD-Schicht-Regionen 3402 und Regionen 3418 der vierten Hartmaskenschicht. Somit wird in Bezug auf die Nearest-Neighbor-Distanz 3422 (als Abstand in Richtung b gezeigt) eine Erhöhung um einen Faktor der Quadratwurzel aus zwei erreicht. In einer bestimmten Ausführungsform ist die erste diagonale Hartmaskenschicht 3420 ein Hartmaskenmaterial auf Kohlenstoffbasis, das mit einem Plasmaveraschungsprozess entfernt wird.
  • 34K zeigt eine Planansicht der Struktur von 34J im Anschluss an eine Herstellung einer ersten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34K gezeigt ist, wird eine erste Vielzahl von Photobuckets 3424 in Öffnungen oberhalb der ILD-Schicht 3402 ausgebildet, so dass kein Abschnitt der ILD-Schicht 3402 offengelegt bleibt. In diesem Stadium stellen die Photobuckets 3424 eine erste Hälfte aller möglichen Viaorte in einer resultierenden Metallisierungsschicht dar.
  • Die 34L zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse a-a') der Struktur von 34K im Anschluss an die Photobucket-Belichtung und -Entwicklung, um ausgewählte Viaorte auszubilden, und eine anschließende Viaöffnungsätzung in das darunterliegende ILD gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34L gezeigt ist, werden ausgewählte Photobuckets 3424 belichtet und entfernt, um ausgewählte Viaorte 3426 bereitzustellen. Die Viaorte 3426 werden einem selektiven Ätzprozess unterzogen, wie etwa einem selektiven Plasmaätzprozess, um Viaöffnungen in die darunterliegende ILD-Schicht 3402 hinein zu erweitern, wodurch die strukturierte ILD-Schicht 3402' ausgebildet wird. Die Ätzung ist selektiv in Bezug auf die verbliebenen, nicht offengelegten Photobuckets 3424, selektiv in Bezug auf die erste strukturierte Hartmaskenschicht 3416, selektiv in Bezug auf die zweite strukturierte Hartmaskenschicht 3412 und selektiv in Bezug auf die vierte Hartmaskenschicht 3418.
  • 34M zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse b-b') der Struktur von 34L im Anschluss an die Entfernung der verbliebenen Photobuckets und die folgende Ausbildung eines fünften Hartmaskenmaterials gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34M gezeigt ist, werden die verbliebenen von der ersten Mehrzahl von Photobuckets 3424 entfernt, z.B. durch einen selektiven Ätz- oder Veraschungsprozess. Alle offengelegten Öffnungen (z.B. Öffnungen, die nach der Entfernung von Photobuckets 3424 zusammen mit den Viaorten 3426 ausgebildet worden sind) werden dann mit einem Hartmaskenmaterial 3428, wie etwa einem Hartmaskenmaterial auf Kohlenstoffbasis, gefüllt.
  • 34N zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse c-c') der Struktur von 34M im Anschluss an die Entfernung der verbliebenen Regionen der vierten Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34N gezeigt ist, werden alle verbliebenen Regionen der vierten Hartmaskenschicht 3418 entfernt, z.B. durch einen selektiven Ätz- oder Veraschungsprozess. In einer Ausführungsform sind in Regionen, wo die verbliebene vierte Hartmaskenschicht 3418 entfernt wurde, Abschnitte der strukturierten ILD-Schicht 3402' offengelegt, wie in 34N bildlich dargestellt ist.
  • 34O zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse d-d') der Struktur von 34N im Anschluss an die Bildung einer zweiten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34O gezeigt ist, wird eine zweite Vielzahl von Photobuckets 3430 in Öffnungen oberhalb der strukturierten ILD-Schicht 3402' ausgebildet, so dass kein Abschnitt der strukturierten ILD-Schicht 3402' offengelegt bleibt. In diesem Stadium stellen die Photobuckets 3430 eine zweite Hälfte aller möglichen Viaorte in einer resultierenden Metallisierungsschicht dar.
  • Die 34P zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse e-e') der Struktur von 34O im Anschluss an die Photobucket-Belichtung und -Entwicklung, um ausgewählte Viaorte auszubilden, und eine anschließende Viaöffnungsätzung in das darunterliegende ILD gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34P gezeigt ist, werden ausgewählte Photobuckets 3430 belichtet und entfernt, um ausgewählte Viaorte 3432 bereitzustellen. Die Viaorte 3432 werden einem selektiven Ätzprozess unterzogen, wie etwa einem selektiven Plasmaätzprozess, um Viaöffnungen in die darunterliegende strukturierte ILD-Schicht 3402 hinein zu erweitern, wodurch die weiter strukturierte ILD-Schicht 3402" ausgebildet wird. Die Ätzung ist selektiv in Bezug auf die verbliebenen, nicht offengelegten Photobuckets 3430, selektiv in Bezug auf die erste strukturierte Hartmaskenschicht 3416, selektiv in Bezug auf die zweite strukturierte Hartmaskenschicht 3412 und selektiv in Bezug auf das Hartmaskenopfermaterial 3428.
  • 34Q zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse f-f) der Struktur von 34P im Anschluss an die Entfernung des fünften Hartmaskenopfermaterials, eine Grabenätzung und die anschließende Bildung einer Opferschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34Q gezeigt ist, wird die Hartmaskenopferschicht 3428 entfernt, wodurch die gesamte ursprüngliche erste und zweite Hälfte der möglichen Viaorte offengelegt werden. Die strukturierte ILD-Schicht 3402" wird dann strukturiert, um eine ILD-Schicht 3402"' zu bilden, die Via-Öffnungen 3432 und 3426 zusammen mit Gräben 3436, wo keine Via-Öffnungen ausgebildet wurden, umfasst. Die Gräben 3436 werden schlussendlich für die Herstellung von Metallleitungen verwendet, wie nachstehend beschrieben wird. Nach Abschluss der Grabenätzung werden alle Öffnungen (einschließlich der Via-Öffnungen 3426 und 3432 und der Gräben 3436) mit einem Opfermaterial 3434 gefüllt. In einer Ausführungsform ist die Hartmaskenmaterialschicht 3428 ein Hartmaskenmaterial auf Kohlenstoffbasis und wird mit einem Plasmaveraschungsprozess entfernt. In einer Ausführungsform ist das Opfermaterial 3434 fließfähiges organisches oder anorganisches Material, wie etwa ein lichtabsorbierendes Opfermaterial (sacrificial light absorbing material, SLAM). Das Opfermaterial 3434 wird entweder auf einem Niveau der ersten strukturierten Hartmaske 3416 und der zweiten strukturierten Hartmaskenschicht 3412 ausgebildet oder auf dieses planarisiert, wie in 34Q bildlich dargestellt ist.
  • 34R zeigt eine Planansicht der Struktur von 34Q im Anschluss an die Abscheidung und Strukturierung einer zweiten diagonalen Hartmaskenschicht gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34R gezeigt ist, wird eine zweite diagonale Hartmaskenschicht 3438 auf der Anordnung aus dem Opfermaterial 3434, der zweiten strukturierten Hartmaskenschicht 3412 und der ersten strukturierten Hartmaskenschicht 3416 von 34Q ausgebildet. In einer Ausführungsform weist die zweite diagonale Hartmaskenschicht 3438 eine Struktur auf, die weitgehend oder stark symmetrisch diagonal ist, z.B. bei 45 Grad in Bezug auf die Gitterstruktur der zweiten strukturierten Hartmaskenschicht 3412, um einander abwechselnde Strecken der ersten Hartmaskenschicht 3416 zu bedecken. In einer Ausführungsform wird die diagonale Struktur der zweiten diagonalen Hartmaskenschicht 3438 mit einer minimalen kritischen Abmessung (CD), d.h. ohne die Verwendung einer Abstandshalbierung oder Abstandsviertelung, gedruckt. Man beachte, dass die einzelnen Strecken auch größer als mit der minimalen CD gedruckt werden können, solange eine gewisse Fläche angrenzender Reihen der ersten strukturierten Hartmaskenschicht 3416 offenliegend bleibt. Dessen ungeachtet kann die gitterartige Struktur der zweiten diagonalen Hartmaskenschicht 3438 von 34R Hartmaskenstrecken aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen. Man beachte, dass die hier gegebene Beschreibung, die das Ausbilden und Strukturieren einer diagonalen Hartmaskenschicht (wie etwa der zweiten diagonalen Hartmaskenschicht 3438) betrifft, in einer Ausführungsform das Bilden einer Maske oberhalb einer Blanket-Hartmaskenschicht beinhaltet. Die Maskenbildung kann die Verwendung einer oder mehrerer Schichten beinhalten, die sich für eine lithographische Verarbeitung eignen. Beim Strukturieren der einen oder der mehreren lithographischen Schichten wird die Struktur durch einen Ätzprozess auf die Hartmaskenschicht übertragen, um eine diagonal strukturierte Hartmaskenschicht bereitzustellen. In einer bestimmten Ausführungsform ist die zweite diagonale Hartmaskenschicht 3438 eine Hartmaskenschicht auf Kohlenstoffbasis.
  • 34S zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse g-g') der Struktur von 34R im Anschluss an die Entfernung offengelegter Regionen der ersten strukturierten Hartmaskenschicht, die Entfernung der zweiten diagonalen Hartmaskenschicht und im Anschluss an die Bildung einer dritten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34S gezeigt ist, werden unter Verwendung der zweiten diagonalen Hartmaskenschicht 3438 als Maske offengelegte Regionen der ersten strukturierten Hartmaskenschicht 3416 entfernt. In einer solchen Ausführungsform werden die offengelegten Regionen der ersten strukturierten Hartmaskenschicht 3416 durch einen isotropen Ätzprozess (z.B. einen Nassätzprozess oder einen nicht-anisotropen Plasmaätzprozess) entfernt, so dass eine etwaige Teiloffenlegung zu einer vollständigen Entfernung des teilweise offengelegten Blocks aus der ersten strukturierten Hartmaskenschicht 3416 führt. Es wird erneut auf 34S Bezug genommen, wo gezeigt ist, dass die zweite diagonale Hartmaskenschicht 3438 entfernt wird, um das Opfermaterial 3434 und die zweite strukturierte Hartmaskenschicht 3412 offenzulegen. Ebenso werden Abschnitte der ersten strukturierten Hartmaskenschicht 3416 offengelegt, die durch die zweite strukturierte Hartmaskenschicht 3438 vor einer isotropen Ätzung geschützt wurden. In einer bestimmten Ausführungsform ist die zweite diagonale Hartmaskenschicht 3438 ein Hartmaskenmaterial auf Kohlenstoffbasis, das mit einem Plasmaveraschungsprozess entfernt wird. Es wird erneut auf 34S verwiesen, wo gezeigt ist, dass eine dritte Vielzahl von Photobuckets 3440 in den resultierenden Öffnungen oberhalb der strukturierten ILD-Schicht 3402"' ausgebildet werden, so dass kein Abschnitt der strukturierten ILD-Schicht 3402'" offengelegt bleibt. In diesem Stadium stellen die Photobuckets 3440 eine erste Hälfte aller möglichen Plug-Orte in einer resultierenden Metallisierungsschicht dar. Somit wechselt sich entlang jeder zweiten Reihe oder nach unten entlang jeder zweiten Kolonne der resultierenden gitterartigen Struktur von 34S eine Region der ersten Hartmaskenschicht 3416 mit einem Photobucket 3440 ab. Das heißt, das Ergebnis ist ein Schachbrettmuster aus Regionen von Photobuckets 3440 und Regionen der ersten strukturierten Hartmaskenschicht 3416. Somit wird in Bezug auf die Nearest-Neighbor-Distanz 3442 (als Abstand in Richtung b gezeigt) eine Erhöhung um einen Faktor der Quadratwurzel aus zwei erreicht.
  • 34T zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse h-h') der Struktur von 34S im Anschluss an die Auswahl von Plug-Orten und eine Grabenätzung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34T gezeigt ist, werden die Photobuckets 3440 von 34S von Orten 3442 entfernt, wo keine Plugs ausgebildet werden sollen. An Orten, die für die Ausbildung von Plugs ausgewählt wurden, werden die Photobuckets 3440 behalten. Um Orte 3442 auszubilden, wo keine Plugs ausgebildet werden sollen, wird in einer Ausführungsform Lithographie verwendet, um die entsprechenden Photobuckets 3440 zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden. Die strukturierte ILD-Schicht 3402'" wird dann strukturiert, um eine ILD-Schicht 3402"" zu bilden, die Gräben 3444 umfasst, die an Orten 3442 ausgebildet sind. Die Gräben 3444 werden schlussendlich für die Herstellung von Metallleitungen verwendet, wie nachstehend beschrieben wird.
  • 34U zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse i-i') der Struktur von 34T im Anschluss an die Entfernung der verbliebenen dritten Photobuckets und die anschließende Bildung einer Hartmaske gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34U gezeigt ist, werden alle verbliebenen Photobuckets 3440 entfernt, z.B. durch einen Veraschungsprozess. Nach dem Entfernen aller verbliebenen Photobuckets 3440 werden alle Öffnungen (einschließlich der Gräben 3444) mit einer Hartmaskenmaterialschicht 3446 gefüllt. In einer Ausführungsform ist die Hartmaskenmaterialschicht 3446 ein Hartmaskenmaterial auf Kohlenstoffbasis.
  • 34V zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse j-j') der Struktur von 34V im Anschluss an die Entfernung der ersten strukturierten Hartmaske und die Bildung einer vierten Vielzahl von Photobuckets gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34V gezeigt ist, wird die erste strukturierte Hartmaskenschicht 3416 entfernt (z.B. durch einen selektiven Trocken- oder Nassätzprozess), und eine vierte Vielzahl von Photobuckets 3448 wird in den resultierenden Öffnungen oberhalb der strukturierten ILD-Schicht 3402"" ausgebildet, so dass kein Abschnitt der strukturierten ILD-Schicht 3402"" offengelegt bleibt. In diesem Stadium stellen die Photobuckets 3448 eine zweite Hälfte aller möglichen Plug-Orte in einer resultierenden Metallisierungsschicht dar.
  • 34W zeigt eine Planansicht und eine entsprechende Querschnittsansicht (entlang der Achse k-k') der Struktur von 34V im Anschluss an die Auswahl von Plug-Orten und eine Grabenätzung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34W gezeigt ist, werden die Photobuckets 3448 von 34V von Orten 3450 entfernt, wo keine Plugs ausgebildet werden sollen. An Orten, die für die Ausbildung von Plugs ausgewählt wurden, werden die Photobuckets 3448 behalten. Um Orte 3450 auszubilden, wo keine Plugs ausgebildet werden sollen, wird in einer Ausführungsform Lithographie verwendet, um die entsprechenden Photobuckets 3448 zu belichten. Die belichteten Photobuckets können dann durch einen Entwickler entfernt werden. Die strukturierte ILD-Schicht 3402'" wird dann strukturiert, um eine ILD-Schicht 3402"" zu bilden, die Gräben 3452 umfasst, die an Orten 3450 ausgebildet sind. Die Gräben 3452 werden schlussendlich für die Herstellung von Metallleitungen verwendet, wie nachstehend beschrieben wird.
  • 34X zeigt eine Planansicht und eine entsprechende erste Querschnittsansicht (entlang der Achse 1-1') und zweite Querschnittsansicht (entlang der Achse m-m') der Struktur von 34W im Anschluss an die Entfernung verbliebener vierter Photobuckets, einer Hartmaskenmaterialschicht und eines Opfermaterials und eine anschließende Metallfüllung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 34X gezeigt ist, werden verbliebene vierte Photobuckets 3448, die Hartmaskenmaterialschicht 3446 und das Opfermaterial 3434 entfernt. In einer solchen Ausführungsform ist die Hartmaskenmaterialschicht 3446 ein Hartmaskenmaterial auf Kohlenstoffbasis, und sowohl die Hartmaskenmaterialschicht 3446 als auch die verbliebenen vierten Photobuckets 3448 werden mit einem Plasmaveraschungsprozess entfernt. In einer Ausführungsform wird das Opfermaterial 3434 in einem anderen Ätzprozess entfernt. Wie in der Planansicht von 34X gezeigt ist, wird eine Metallisierung 3454 verschränkt und koplanar mit der zweiten strukturierten Hartmaskenschicht 3412 ausgebildet. Wie in der ersten Querschnittsansicht entlang der Achse 1-1' der Planansicht von 34X gezeigt ist, füllt die Metallisierung 3454 Gräben 3452 und 3454 (d.h. entsprechend der Querschnittsansicht entlang der Achse k-k' von 34W), die in einer strukturierten Zwischenschicht-Dielektrikumsschicht 3402'"" ausgebildet sind. Wie in der zweiten Querschnittsansicht entlang der Achse m-m' der Planansicht von 34X gezeigt ist, füllt die Metallisierung 3454 auch Gräben 3436 und 3426 (d.h. entsprechend der Querschnittsansicht entlang der Achse f-f' von 34Q), die in einer strukturierten Zwischenschicht-Dielektrikumsschicht 3402'"" ausgebildet sind. Somit wird die Metallisierung verwendet, um eine Vielzahl leitender Leitungen und leitender Vias in einer Zwischenschicht-Dielektrikumsschicht für eine Metallisierungsstruktur, wie etwa eine BEOL-Metallisierungsstruktur, auszubilden.
  • In einer Ausführungsform wird die Metallisierung 3454 mit einem Metallfüll- und Rückpolierprozess gebildet. In einer solchen Ausführungsform wird die Dicke der zweiten strukturierten Hartmaskenschicht 3412 während des Rückpolierprozesses verringert. In einer bestimmten derartigen Ausführungsform wird ein Abschnitt der zweiten strukturierten Hartmaske 3412 behalten, wenn auch in der Dicke verringert, wie in 34X bildlich dargestellt ist. Somit verbleiben Metallmerkmale 3456, die weder leitfähige Leitungen noch leitfähige Vias sind, die in der strukturierten Zwischenschicht-Dielektrikumsschicht 3402'"" ausgebildet sind, mit der zweiten strukturierten Hartmaskenschicht verschränkt und auf oder oberhalb (aber nicht in) der strukturierten Zwischenschicht-Dielektrikumsschicht 3402'"", wie ebenfalls in 34X bildlich dargestellt ist. In einer alternativen bestimmten Ausführungsform (nicht gezeigt) wird die zweite strukturierte Hartmaske 3412 während der Rückpolierung vollständig entfernt. Somit werden Metallmerkmale 3456, die weder leitfähige Leitungen noch leitfähige Vias sind, in der finalen Struktur nicht behalten. In jedem Fall können die für 34X beschriebenen Strukturen anschließend als Grundlage für die Ausbildung anschließender Metallleitungs-/Via- und ILD-Schichten verwendet werden. Alternativ dazu kann die Struktur von 34X die finale Metallverbindungsschicht in einer integrierten Schaltung darstellen.
  • Man beachte, dass die oben beschriebenen Prozessoperationen in alternativen Abfolgen praktiziert werden können, nicht jede Operation durchgeführt werden muss und/oder zusätzliche Prozessoperationen durchgeführt werden können. Es wird erneut auf 34X verwiesen, wo gezeigt ist, dass eine Herstellung einer Metallisierungsschicht unter Verwendung einer diagonalen Hartmaske in diesem Stadium abgeschlossen sein kann. Eine nächste Schicht, die auf ähnliche Weise hergestellt wird, erfordert wahrscheinlich eine erneute Initiierung des gesamten Prozesses. Alternativ dazu können in diesem Stadium andere Methoden verwendet werden, um zusätzliche Verbindungsschichten bereitzustellen, wie etwa herkömmliche duale oder einfache Damascene-Methoden.
  • In einer Ausführungsform umfasst oder enthält das Zwischenschicht-Dielektrikums(ILD)-Material eine Schicht aus einem dielektrischen oder isolierenden Material, und dies gilt für die gesamte Beschreibung. Beispiele für geeignete dielektrische Materialien umfassen unter anderem Oxide von Silizium (z.B. Siliziumdioxid (SiO2)), dotierte Oxide von Silizium, fluorierte Oxide von Silizium, mit Kohlenstoff dotierte Oxide von Silicium, verschiedene in der Technik bekannte dielektrische Materialien mit niedriger Dielektrizitätskonstante und Kombinationen davon. Das Zwischenschicht-Dielektrikumsmaterial kann anhand von herkömmlichen Techniken ausgebildet werden, wie beispielsweise chemische Dampfabscheidung (CVD), physikalische Dampfabscheidung (PVD) oder anhand anderer Abscheidungsverfahren.
  • In einer Ausführungsform umfassen Metallleitungen oder umfasst Verbindungsleitungsmaterial (und Viamaterial) ein oder mehrere Metall- oder andere leitfähige Strukturen, und dies gilt für die gesamte Beschreibung. Ein übliches Beispiel ist die Verwendung von Kupferleitungen und -strukturen, die Barriereschichten zwischen dem Kupfer- und dem umgebenden ILD-Material umfassen können, aber nicht müssen. Wie hierin verwendet umfasst der Begriff Metall Legierungen, Stapel und andere Kombinationen mehrerer Metalle. Zum Beispiel können die Metallverbindungsleitungen Barriereschichten (z.B. Schichten, die eines oder mehrere von Ta, TaN, Ti oder TiN umfassen), Stapel unterschiedlicher Metalle oder Legierungen usw. umfassen. Somit können die Zwischenverbindungsleitungen eine Schicht aus einem einzigen Material sein oder können aus mehreren Schichten gebildet sein, unter anderem aus Schichten aus leitfähigen Verkleidungs- und Füllschichten. Jeder geeignete Abscheidungsprozess, wie etwa Galvanisierung, chemische Dampfabscheidung oder physikalische Dampfabscheidung, kann verwendet werden, um Verbindungsleitungen zu bilden. In einer Ausführungsform umfassen die Verbindungsleitungen ein leitfähiges Material wie unter anderem Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au oder Legierungen davon. Die Verbindungsleitungen werden manchmal in der Technik auch als Bahnen, Drähte, Leitungen, Metall oder einfach Verbindung bezeichnet.
  • In einer Ausführungsform umfassen Hartmaskenmaterialien dielektrische Materialien, die vom Zwischenschicht-Dielektrikumsmaterial verschieden sind, und dies gilt für die gesamte Beschreibung In einer Ausführungsform können in verschiedenen Regionen verschiedene Hartmaskenmaterialien verwendet werden, um ein jeweils anderes Wachstum oder eine jeweils andere Ätzselektivität untereinander und gegenüber den darunterliegenden dielektrischen und Metallschichten bereitzustellen. In manchen Ausführungsformen umfasst eine Hartmaskenschicht eine Schicht aus einem Nitrid von Silizium (z.B. Siliziumnitrid) oder eine Schicht aus einem Oxid von Silizium oder beides oder eine Kombination davon. Andere geeignete Materialien können Materialien auf Kohlenstoffbasis einschließen. In einer anderen Ausführungsform schließt ein Hartmaskenmaterial eine Metallspezies ein. Zum Beispiel kann eine Hartmaske oder ein anderes oben liegendes Material eine Schicht aus einem Nitrid von Titan oder einem anderen Metall (z.B. Titannitrid) einschließen. In einer oder mehreren dieser Schichten können möglicherweise geringere Mengen anderer Materialien, wie etwa Sauerstoff, enthalten sein. Alternativ dazu können abhängig von der jeweiligen Implementierung andere in der Technik bekannte Hartmaskenschichten verwendet werden. Die Hartmaskenschichten können anhand von CVD, PVD oder anderen Abscheidungsverfahren ausgebildet werden.
  • In einer Ausführungsform werden lithographische Operationen unter Verwendung von 193nm-Immersionslitho (il93), EUV- und/oder EBDW-Lithographie oder dergleichen durchgeführt, und dies gilt für die gesamte Beschreibung. Es kann ein Positivton- oder ein Negativton-Fotolack verwendet werden. In einer Ausführungsform ist eine lithographische Maske eine dreilagige Maske, die aus einem topografischen Maskenabschnitt, einer antireflektiven Überzugs(ARC)-Schicht und einer Fotolackschicht zusammengesetzt ist. In einer bestimmten derartigen Ausführungsform ist der topografische Maskenabschnitt eine Kohlenstoffhartmasken(CHM)-Schicht und die antireflektive Überzugsschicht ist eine Silizium-ARC-Schicht.
  • Gemäß hierin beschriebenen Ausführungsformen wird eine optische und REM-Metrologie für Photobuckets beschrieben. Man beachte, dass die Verwendung einer vor strukturierten Hartmaske zum Definieren einer lithographischen Struktur Überdeckungsmessungen schwierig machen kann, weil die Reaktion auf die Belichtung einer solchen Struktur digital (binär) ist und Merkmalsgrößen quantisiert werden. Somit wird die Größe der unten liegenden Maskenstruktur die kleinste messbare Einheit der Überdeckung, was für eine effektive Prozesssteuerung viel zu groß ist. Die nachstehend beschriebene Methode ermöglicht nicht nur einen Überdeckungsmesswert, der viel kleiner ist als die Größe der darunterliegenden vorstrukturierten Hartmaske, sondern stellt auch eine Signalantwort bereit, die um ein Vielfaches stärker ist als die Überdeckungsverschiebung, wodurch eine sehr exakte Überdeckungsmessung ermöglicht wird.
  • Um ein Ordnungsgefüge für die hierin beschriebenen Konzepte bereitzustellen, zeigen die 35A-35D Querschnittsansichten und entsprechende Ansichten von oben, die verschiedene Operationen in einem Strukturierungsverarbeitungsablauf, in dem vorstrukturierte Hartmasken verwendet werden, gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen.
  • Wie in 35A gezeigt ist, werden eine erste vorstrukturierte Hartmaske 3502 und eine zweite vorstrukturierte Hartmaske 3504 oberhalb einer darunterliegenden Schicht 3506 ausgebildet. Alle möglichen Via-Orte werden als Öffnungen 3508 in der vorstrukturierten Hartmaske 3502 und der zweiten vor strukturierten Hartmaske 3504 freigelegt.
  • Wie in 35B gezeigt ist, wird eine Vielzahl von Fotolackschichtabschnitten 3510 in den Öffnungen 3508 von 35A ausgebildet.
  • Wie in 35C gezeigt ist, werden ausgewählte 3512 von der Vielzahl von Fotolackschichtabschnitten 3510 durch eine lithographische Belichtung 3514 belichtet. Die ausgewählten 3512 von der Vielzahl von Fotolackschichtabschnitten 3510, die durch die lithogaphische Belichtung 3514 freigelegt werden, können die Via- oder Plug-Orte darstellen, die schlussendlich geöffnet oder ausgewählt werden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung weist die lithogaphische Belichtung 3514 jedoch einen Überdeckungsfehler in der X-Richtung von 35C auf. Zum Beispiel ist die belichtete Fotolackschicht 3512 auf der linken Seite in der Querschnittsansicht in einem Maß nach rechts verschoben, dass ein Abschnitt des Fotolacks durch die lithogaphische Belichtung 3514 nicht belichtet wird. Alle belichteten Fotolackschichten 3512 der Ansicht von oben sind in einem Maß nach rechts verschoben, dass ein Abschnitt des Fotolacks durch die lithogaphische Belichtung 3514 nicht belichtet wird. Ferner kann die Verschiebung so weitgehend sein, dass benachbarte Orte teilweise belichtet werden, wie in 35C bildlich dargestellt ist.
  • Wie in 35D gezeigt ist, werden die ausgewählten Orte 3512 von dem belichteten Fotolack befreit, wodurch Öffnungen 3516 bereitgestellt werden. Die Öffnungen 3516 können für eine anschließende Via- oder Plug-Herstellung verwendet werden, abhängig von der spezifischen Schicht der Halbleiterstruktur.
  • Jedoch können in dem Fall, dass wegen eines Überdeckungsfehlers eine nicht ausreichende Belichtung der Orte 3512 durchgeführt wird, manche Öffnungen 3516 nicht vollständig geöffnet werden, wodurch sie vollständig versagen. Im Allgemeinen muss die Belichtung 3514 eine kritische Anzahl von Elektronen oder Photonen bereitstellen, um die Ausgewählten 3512 von der Vielzahl von Fotolackschichtabschnitten 3510 vollständig verschwinden zu lassen, um Öffnungen 3516 bereitzustellen. Ein gewisser Überdeckungsfehler kann toleriert werden, aber ein erheblicher Überdeckungsfehler kann wohl nicht toleriert werden. Wie nachstehend ausführlicher beschrieben wird, kann außerdem selbst in dem Fall, dass alle Öffnungen 3516 vollständig geöffnet werden, eine erfolgreiche Herstellung einer nächsten Schicht eine Überdeckungsmessung erfordern, die zumindest zu einem gewissen Teil auf den Öffnungen 3516 basiert.
  • Mindestens eine hierin beschriebene Ausführungsform ist auf Methoden gerichtet, welche die Verwendung von Gitterstrukturen mit mehreren Abständen auf einer Schicht beinhalten, um Überdeckungsinformationen in Bezug auf eine darunterliegende Schicht zu extrahieren. Hierin beschriebene Ausführungsformen können implementiert werden, um Probleme im Zusammenhang mit der Messung einer Überdeckung zwischen einer Schicht, die oben auf einer vorstrukturierten Hartmaske (z.B. Via oder Plug) strukturiert wird, und der darunterliegenden vorstrukturierten Hartmaskenschicht (z.B. Photobucket) unter Verwendung eines optischen Messinstruments zu lösen. In einer Ausführungsform werden Gitter mit zwei oder mehr Abständen strukturiert, die von den darunterliegenden vor strukturierten Gittern verschieden, aber parallel zu einem der darunterliegenden Gitter sind. Eine Verschiebung der Überdeckung der zuletzt hergestellten Schicht gegenüber der Hartmaskenstruktur hat ein optisches Signal zum Ergebnis, das sich mit der Überdeckung bewegt und proportional zum Überdeckungsfehler ist. Im Vergleich dazu beinhaltet eine optische Überdeckung typischerweise reale Merkmale, wodurch eine analoge Antwort bereitgestellt wird. Hierbei wird die Bewegung quantifiziert im Gegensatz zu einer Verschiebung bei einer analogen Bewegung. Das heißt, die Antwort ist insofern digital (z.B. eine digitalisierte und verstärkte Bewegung), als sie auf Schritten basiert. In einer Ausführungsform wird eine „Saum“-Struktur gemessen.
  • Die nachstehend beschriebenen 36A-36E zeigen die Erzeugung optischer Signale unter Verwendung von Photobuckets, die auf eine Änderung der Überdeckung antworten. Man beachte, dass herkömmliche optische Messwerkzeuge relativ große Objekte messen (z.B. 20-30 Mikrometer). Für hierin beschriebene Ausführungsformen werden Strukturen aus Feldern von Linien/Abständen erzeugt, die unterhalb der Auflösungsgrenze eines Untersuchungswerkzeugs liegen und die sich das Photobucket-Konzept so zunutze machen, dass sich bewegende Ränder erzeugt werden, die mit herkömmlichen Überdeckungsmessalgorithmen nachgewiesen/gemessen werden können. Die finale Struktur, die vom Messwerkzeug erkannt wird, zeigt aufgrund einer Beugung und Streuung von Licht, das von unterhalb der Auflösungsgrenze liegenden Strukturen, die sich mit der Überdeckung bewegen, messbare optische Ränder. 36F zeigt eine mögliche optische Messmarkierung zur Verwendung in Verbindung mit den 36A-36E.
  • 36A zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt gefertigte Schicht auf ein darunterliegendes vorstrukturiertes Hartmaskengitter gelegt wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 36A gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3602 und eine zweite vorstrukturierte Hartmaske 3604 auf. Eine Vielzahl von Fotolackschichtabschnitten 3610 und eine Vielzahl von Öffnungen 3616 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3602 bzw. der zweiten vor strukturierten Hartmaske 3604. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650A dargestellt. Die Überdeckungsbilder 3650A weisen eine Überdeckungsverschiebung null und ein Abstandsdelta P/4 auf. Der Abstand der Überdeckungsbilder 3650A der zuletzt hergestellten Schicht ist als beispielhafte Ausführungsform um 25 % größer (in der oberen halben Region 3652A) und um 25 % kleiner (in der unteren halben Region 3654A) gezeigt. Breite nicht belichtete Merkmale 3656A und 3658A sind in der zuletzt hergestellten Schicht enthalten, wie in 36A bildlich dargestellt ist.
  • 36B zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 36B gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3602 und eine zweite vorstrukturierte Hartmaske 3604 auf. Eine Vielzahl von Fotolackschichtabschnitten 3610 und eine Vielzahl von Öffnungen 3616 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3602 bzw. der zweiten vor strukturierten Hartmaske 3604. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650B dargestellt. Die Überdeckungsbilder 3650B weisen eine positive (+ve) Überdeckungsverschiebung P/4 auf. Breite nicht belichtete Merkmale 3656B und 3658B sind in der zuletzt hergestellten Schicht enthalten, wobei sich die breiten nicht belichteten Merkmale 3656B und 3658B bewegen, wie in 36B bildlich dargestellt ist.
  • 36C zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Halbabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 36C gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3602 und eine zweite vorstrukturierte Hartmaske 3604 auf. Eine Vielzahl von Fotolackschichtabschnitten 3610 und eine Vielzahl von Öffnungen 3616 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3602 bzw. der zweiten vor strukturierten Hartmaske 3604. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650C dargestellt. Die Überdeckungsbilder 3650C weisen eine positive (+ve) Überdeckungsverschiebung P/2 auf. Breite nicht belichtete Merkmale 3656C und 3658C sind in der zuletzt hergestellten Schicht enthalten, wobei sich die breiten nicht belichteten Merkmale 3656C und 3658D bewegen, wie in 36C bildlich dargestellt ist.
  • 36D zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Überdeckung mit einem beliebigen Wert Δ in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 36D gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3602 und eine zweite vorstrukturierte Hartmaske 3604 auf. Eine Vielzahl von Fotolackschichtabschnitten 3610 und eine Vielzahl von Öffnungen 3616 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3602 bzw. der zweiten vor strukturierten Hartmaske 3604. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650D dargestellt. Die Überdeckungsbilder 3650D weisen eine Überdeckungsverschiebung null und ein Abstandsdelta P+ Δ auf. Breite nicht belichtete Merkmale 3656D und 3658D sind in der zuletzt hergestellten Schicht enthalten, wie in 36D bildlich dargestellt ist.
  • 36E zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Überdeckung mit einem beliebigen Wert Δ in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter aufweist, wobei eine messbare Größe Δ durch Ändern der Fotolackempfindlichkeit und/oder der Größe von gezeichneten Merkmalen so klein wie nötig gemacht wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 36E gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3602 und eine zweite vorstrukturierte Hartmaske 3604 auf. Eine Vielzahl von Fotolackschichtabschnitten 3610 und eine Vielzahl von Öffnungen 3616 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3602 bzw. der zweiten vor strukturierten Hartmaske 3604. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650E dargestellt. Die Überdeckungsbilder 3650E weisen eine Überdeckungsverschiebung + Δ und ein Abstandsdelta P + Δ auf. Breite nicht belichtete Merkmale 3656E und 3658E sind in der zuletzt hergestellten Schicht enthalten, wobei sich die breiten nicht belichteten Merkmale 3656E und 3658E bewegen, wie in 36E bildlich dargestellt ist. In einer Ausführungsform wird für eine kleine Überdeckungsverschiebung Δ das gemessene Signal mit P verstärkt und Δ kann so klein sein wie nötig.
  • 36F zeigt eine beispielhafte metrologische Struktur, die für die oben in Verbindung mit den 36A-36E beschriebenen Methoden geeignet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 36F gezeigt ist, weist eine Messstruktur 3697 sowohl Merkmale 3698 einer Schicht 1 (z.B. einer unten liegenden Schicht) als auch Merkmale 3699 einer Schicht 2 (z.B. einer zuletzt hergestellten Schicht) auf. In einer Ausführungsform beträgt die Breite der einzelnen Merkmale etwa 20-30 Mikrometer, wie in 36F bildlich dargestellt ist. Eine solche Struktur kann beispielsweise in einer Anrisslinie oder auf einem Die in einer Drop-in-Zelle enthalten sein. In einer Ausführungsform kann ein vervollständigter Die eine Region mit einer Überlagerungsfrequenz breiter Merkmale in einer Ansammlung schmaler Merkmale aufweisen, die von einem Feld von Vias oder Plugs gebildet werden. Die Existenz zweier unterschiedlicher Überlagerungsfrequenzen in beliebigen Richtungen kann die Verwendung der oben beschriebenen Technik zum Messen einer Überdeckung implizieren. Die oben beschriebene Methode kann eine exakte Messung einer Überdeckung an Photobuckets für jede Via- oder Plug-Strukturierungsschicht, für die diese Technik verwendet wird, ermöglichen. Ausführungsformen können die Genauigkeit künftiger technologischer Generationen verbessern, auch wenn Überdeckungsmesswerkzeuge verwendet werden, die heutigen Technologien entsprechen.
  • Mindestens eine hierin beschriebene Ausführungsform ist auf Methoden gerichtet, die eine Überdeckungsmessung an einer vorstrukturierten Hartmaske (z.B. Photobuckets) anhand Rasterelektronenmikroskopie-Techniken zur Messung kritischer Abmessungen beinhalten. Hierin beschriebene Ausführungsformen können implementiert werden, um Probleme im Zusammenhang mit der Messung einer Überdeckung zwischen einer Schicht, die oben auf einer vor strukturierten Hartmaskenschicht (z.B. einer Photobucket-Schicht) strukturiert wird, und der darunterliegenden vorstrukturierten Hartmaskenschicht unter Verwendung eines Rasterelektronenmikroskops zu lösen (z.B. CDSEM). In einer Ausführungsform werden Via- oder Plug-Orte in Abständen strukturiert, die sich vom Abstand der darunterliegenden vor strukturierten Hartmaske etwas unterscheiden. Aufgrund einer Überdeckungsfehlanpassung hängt die Position des Photobucket, das verschwindet, vom Maß der Überdeckungsfehlanpassung ab.
  • 37A zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht auf eine darunterliegende vorstrukturierte Hartmaske gelegt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 37A gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3702 und eine zweite vorstrukturierte Hartmaske 3704 auf. Eine Vielzahl von Fotolackschichtabschnitten 3710 und eine Vielzahl von Öffnungen 3716 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3702 bzw. der zweiten vor strukturierten Hartmaske 3704. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3750A dargestellt. Die Überdeckungsbilder 3750A weisen eine Überdeckungsverschiebung in X von null und in Y von null auf. Der Abstand der Überdeckungsbilder 3750A der zuletzt hergestellten Schicht ist in einer beispielhaften Ausführungsform im Vergleich zur darunterliegenden Schicht um 25 % größer, d.h. mit einem Abstand + Δ strukturiert, wobei Δ = P/4. Eine Region 3760A hebt einen Ort eines „Photobucket-Clusters“ mit Null-Überdeckungsverschiebung hervor (PB0,0).
  • 37B zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine Viertelabstands-Überdeckungsverschiebung in Bezug auf das darunterliegende vorstrukturierte Hartmaskengitter in der X-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 37B gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3702 und eine zweite vorstrukturierte Hartmaske 3704 auf. Eine Vielzahl von Fotolackschichtabschnitten 3710 und eine Vielzahl von Öffnungen 3716 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3702 bzw. der zweiten vor strukturierten Hartmaske 3704. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3750B dargestellt. Die Überdeckungsbilder 3750B weisen eine Überdeckungsverschiebung in X von Px/4 und in Y von null auf. Der Abstand der Überdeckungsbilder 3750B der zuletzt hergestellten Schicht ist in einer beispielhaften Ausführungsform im Vergleich zur darunterliegenden Schicht um 25 % größer, d.h. mit einem Abstand + Δ strukturiert, wobei Δ = P/4. Die Region 3760B hebt einen Ort von X = -2Px und Y = 0 für ein Photobucket-Cluster in Bezug auf PB0,0 hervor. Die Region 3760B und die entsprechende geöffnete/geschlossene vertikale Kolonne bewegen sich in einem Maß, das dem doppelten Abstand entspricht, nach links. Man beachte, dass die geöffnete/geschlossene Kolonne aufgrund der Tatsache, dass die Dichte der belichteten Photobuckets von derjenigen der anderen Kolonnen in der Region verschieden ist, einen anderen Kontrast aufweist als die anderen Kolonnen.
  • 37C zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine negative Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter in der X-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 37C gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3702 und eine zweite vorstrukturierte Hartmaske 3704 auf. Eine Vielzahl von Fotolackschichtabschnitten 3710 und eine Vielzahl von Öffnungen 3716 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3702 bzw. der zweiten vor strukturierten Hartmaske 3704. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650C dargestellt. Die Überdeckungsbilder 3750C weisen eine Überdeckungsverschiebung in X von -Px/4 und in Y von null auf. Der Abstand der Überdeckungsbilder 3750C der zuletzt hergestellten Schicht ist in einer beispielhaften Ausführungsform im Vergleich zur darunterliegenden Schicht um 25 % größer, d.h. mit einem Abstand + Δ strukturiert, wobei Δ = P/4. Die Region 3760C hebt einen Ort von X = +2Px und Y = 0 für ein Photobucket-Cluster in Bezug auf PB0,0 hervor. Die Region 3760C und die entsprechende geöffnete/geschlossene vertikale Kolonne bewegen sich in einem Maß, das dem doppelten Abstand entspricht, nach links.
  • 37D zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf ein darunterliegendes vorstrukturiertes Hartmaskengitter in der Y-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 37D gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3702 und eine zweite vorstrukturierte Hartmaske 3704 auf. Eine Vielzahl von Fotolackschichtabschnitten 3710 und eine Vielzahl von Öffnungen 3716 (die belichtet und entwickelt wurden) gehören zur Struktur der ersten vorstrukturierten Hartmaske 3702 bzw. der zweiten vor strukturierten Hartmaske 3704. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650D dargestellt. Die Überdeckungsbilder 3750D weisen eine Überdeckungsverschiebung in X von null und in Y von PY/4 auf. Der Abstand der Überdeckungsbilder 3750D der zuletzt hergestellten Schicht ist in einer beispielhaften Ausführungsform im Vergleich zur darunterliegenden Schicht um 25 % größer, d.h. mit einem Abstand + Δ strukturiert, wobei Δ = P/4. Die Region 3760D hebt einen Ort von X = 0 und Y = - 2PY für ein Photobucket-Cluster in Bezug auf PB0,0 hervor. Die Region 3760D und die entsprechende geöffnete/geschlossene horizontale Reihe bewegen sich in einem Maß, das dem doppelten Abstand entspricht, nach unten.
  • 37E zeigt eine Ansicht von oben auf ein Überdeckungsszenario, wo eine zuletzt hergestellte Schicht eine positive Viertelabstandsüberdeckung in Bezug auf das darunterliegende vorstrukturierte Hartmaskengitter in der X-Richtung aufweist und eine positive Viertelabstandsüberdeckung in Bezug auf das darunter liegende vorstrukturierte Hartmaskengitter in der Y-Richtung aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 37E gezeigt ist, weist eine unten liegende Schicht eine erste vorstrukturierte Hartmaske 3702 und eine zweite vorstrukturierte Hartmaske 3704 auf. Eine Vielzahl von Fotolackschichtabschnitten 3710 und eine Vielzahl von Öffnungen 3716 (die belichtet und entwickelt wurden) gehören zu den Strukturen der ersten vorstrukturierten Hartmaske 3702 und der zweiten vor strukturierten Hartmaske 3704. Eine zuletzt hergestellte Schicht wird durch Überdeckungsbilder 3650E dargestellt. Die Überdeckungsbilder 3750E weisen eine Überdeckungsverschiebung in X von Px/4 und in Y von PY/4 auf. Der Abstand der Überdeckungsbilder 3750E der zuletzt hergestellten Schicht ist in einer beispielhaften Ausführungsform im Vergleich zur darunterliegenden Schicht um 25 % größer, d.h. mit einem Abstand + Δ strukturiert, wobei Δ = P/4. Die Region 3760E hebt einen Ort von X = -2Px und Y = -2PY für ein Photobucket-Cluster in Bezug auf PB0,0 hervor. Die Region 3760E und die entsprechende geöffnete/geschlossene horizontale Reihe bewegen sich in einem Maß, das dem doppelten Abstand entspricht, nach unten. Außerdem bewegen sich die Region 3760E und die entsprechende geöffnete/geschlossene vertikale Kolonne in einem Maß, das dem doppelten Abstand entspricht, nach links.
  • Es wird erneut auf die 37A-37E verwiesen und klargestellt, dass eine Querschnittsanalyse eines Halbleiterchips eine Ausrichtungsmarkierung sichtbar machen kann, die vertikale und horizontale Felder von Vias und/oder Plugs unter einer Vielzahl gegitterter bzw. gerasterter Vias und Plugs aufweist, was auf die Anwendung einer oder mehrerer hierin beschriebener Ausführungsformen hinweist. Solche Strukturen können beispielsweise in einer Anrisslinie oder auf einem Die in einer Drop-in-Zelle enthalten sein. Die Anwendung einer solchen Methode kann eine exakte Messung einer Überdeckung in Photobuckets für jede Via- oder Plug-Strukturierungsschicht, die zur Verwendung mit CDSEM-Metrologie gedacht ist, ermöglichen. Man beachte außerdem, dass herkömmliche Überdeckungstechniken mit dieser Art von Strukturierung möglicherweise nicht funktionieren.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung werden neue Strukturen für die Herstellung hochauflösender Phasenverschiebungsmasken (PSM) für Lithographie, wie etwa Extremultraviolett(EUV)-Lithographie, beschrieben. Solche PSM-Masken können für eine allgemeine (direkte) Lithographie oder für eine komplementäre Lithographie verwendet werden.
  • Photolithographie wird üblicherweise in einem Herstellungsprozess verwendet, um Muster in einer Fotolackschicht auszubilden. In dem Photolithographieprozess wird eine Fotolackschicht über einer darunterliegenden Schicht, die geätzt werden soll, abgeschieden. Typischerweise ist die darunterliegende Schicht eine Halbleiterschicht, kann aber jede Art von Hartmasken- oder dielektrischem Material sein. Die Fotolackschicht wird dann selektiv einer Strahlung durch eine Fotomaske oder ein Retikel hindurch ausgesetzt. Der Fotolack wird dann entwickelt, und diejenigen Abschnitte des Fotolacks, die der Strahlung ausgesetzt werden, werden im Falle eines „positiven“ Fotolacks entfernt.
  • Die Fotomaske oder das Retikel, das verwendet wird, um den Wafer zu strukturieren, wird in ein Photolithographie-Belichtungswerkzeug, das üblicherweise als „Stepper“ oder „Scanner“ bezeichnet wird, eingesetzt. In der Stepper- oder Scanner-Maschine wird die Fotomaske oder das Retikel zwischen einer Strahlungsquelle und einem Wafer platziert. Die Fotomaske oder das Retikel wird typischerweise von strukturiertem Chrom (einer Absorberschicht) gebildet, der auf einem Quarzsubstrat platziert ist. Die Strahlung geht an Stellen, wo kein Chrom vorhanden ist, im Wesentlichen ungeschwächt durch die Quarzabschnitte der Fotomaske oder des Retikels hindurch. Im Gegensatz dazu geht die Strahlung durch die Chromabschnitte der Maske nicht hindurch. Da Strahlung, die auf die Maske fällt, entweder vollständig durch die Quarzabschnitte hindurch geht oder vollständig von den Chromabschnitten blockiert wird, wird diese Art von Maske als binäre Maske bezeichnet. Nachdem die Strahlung selektiv durch die Maske hindurch gegangen ist, wird die Struktur der Maske durch Projizieren eines Bildes der Maske in den Fotolack durch eine Reihe von Linsen in den Fotolack übertragen.
  • Da Merkmale auf der Fotomaske oder dem Retikel einander immer näher kommen, beginnen sich Beugungseffekte auszuwirken, wenn die Größe der Merkmale auf der Maske mit der Wellenlänge der Lichtquelle vergleichbar sind. Durch eine Beugung wird das Bild, das auf den Fotolack projiziert wird, unscharf, was zu einer schlechten Auflösung führt.
  • Ein Verfahren des Standes der Technik, mit dem verhindert werden soll, dass Beugungsmuster die gewünschte Strukturierung des Fotolacks stören, besteht darin, ausgewählte Öffnungen in der Fotomaske oder dem Retikel mit einer transparenten Schicht, einem sogenannten Shifter, abzudecken. Der Shifter verschiebt einen von den Sätzen von Belichtungsstrahlen aus der Phase mit einem anderen, angrenzenden Satz, wodurch das Interferenzmuster aus der Beugung aufgehoben wird. Diese Methode wird als Phasenverschiebungsmasken(PSM)-Methode bezeichnet. Trotzdem sind alternative Maskenherstellungsabläufe, durch die Defekte verringert werden und der Durchsatz bei der Maskenproduktion erhöht wird, wichtige Bereiche, die im Fokus der Entwicklung von Lithographieprozessen stehen.
  • Mindestens eine Ausführungsform der vorliegenden Offenbarung ist auf Verfahren zur Herstellung lithographischer Masken und auf resultierende lithographische Masken gerichtet. Um dies in einen Kontext zu stellen, so ist es zum Erreichen der aggressiven Vorrichtungsskalierungsziele, die von der Halbleiterindustrie vorgegeben werden, notwendig, dass lithographische Masken in der Lage sind, kleinere Merkmale mit hoher Übertragungstreue zu strukturieren. Jedoch stellen Methoden, um immer kleinere Merkmale zu strukturieren, die Maskenherstellung vor erhebliche Schwierigkeiten. Was dies betrifft, so beruhen lithographische Masken, die heutzutage in großem Umfang verwendet werden, auf dem Konzept der Phasenverschiebungsmasken(PSM)-Technologie für die Strukturierung von Merkmalen. Jedoch bleibt die Verringerung von Defekten bei gleichzeitiger Erzeugung immer kleinerer Strukturen eines der größten Hindernisse der Maskenherstellung. Die Verwendung von Phasenverschiebungsmasken kann mehrere Nachteile haben. Erstens ist die Gestaltung einer Phasenverschiebungsmaske ein relativ komplizierter Vorgang, der erhebliche Ressourcen erfordert. Wegen der Beschaffenheit einer Phasenverschiebungsmaske ist es zweitens schwierig, zu überprüfen, ob Defekte in der Phasenverschiebungsmaske vorhanden sind oder nicht. Solche Defekte in Phasenverschiebungsmasken können aus den heutigen Integrationsabläufen entstehen, die verwendet werden, um die Maske an sich herzustellen. Für herkömmliche Phasenverschiebungsmasken bedient man sich einer umständlichen und in gewisser Weise fehleranfälligen Methode der Strukturierung dicker lichtabsorbierender Materialien und der anschließenden Übertragung der Struktur auf eine sekundäre Schicht, die bei der Phasenverschiebung hilft. Noch komplizierter wird die Sache dadurch, dass die Absorberschicht zweimal einer Plasmaätzung unterzogen wird und infolgedessen unerwünschte Wirkungen der Plasmaätzung, wie etwa Beladungseffekte, verzögerte reaktive Ionenätzung, Aufladungs- und reproduzierbare Effekte zu Defekten in der Maskenproduktion führen können.
  • Eine Innovation von Materialien und neuartigen Integrationstechniken zur Herstellung lithographischer Masken ohne Defekte bleibt eine hohe Priorität, um eine Skalierung von Vorrichtungen zu ermöglichen. Um die Vorteile einer Phasenverschiebungsmaskentechnologie voll nutzen zu können, ist daher wohl ein neuartiger Integrationsablauf notwendig, für den (i) eine Strukturierung einer Shifter-Schicht mit hoher Übertragungstreue und (ii) eine nur einmalige Strukturierung eines Absorbers, und zwar während der letzten Stufen der Herstellung, angewendet wird. Außerdem kann ein solcher Herstellungsablauf auch andere Vorteile bieten, wie etwa Flexibilität bei der Materialwahl, weniger Substratbeschädigung während der Herstellung und einen erhöhten Durchsatz bei der Maskenherstellung.
  • 38 zeigt eine Querschnittsansicht einer Lithographiemaskenstruktur 3801 gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Lithographiemaske 3801 weist eine Die-Binnenregion 3810, eine Rahmenregion 3820 und eine Die-Rahmen-Grenzregion 3830 auf. Die Die-Rahmen-Grenzregion 3830 weist aneinander angrenzende Abschnitte der Die-Binnenregion 3810 und der Rahmenregion 3820 auf. Die Die-Binnenregion 3810 weist eine strukturierte Shifter-Schicht 3806 auf, die direkt auf einem Substrat 3800 angeordnet ist, wobei die strukturierte Shifter-Schicht Merkmale aufweist, die Seitenwände aufweisen. Die Rahmenregion 3820 umgibt die Die-Binnenregion 3810 und weist eine strukturierte Absorberschicht 3802 auf, die direkt auf dem Substrat 3800 angeordnet ist.
  • Die Die-Rahmen-Grenzregion 3830, die auf dem Substrat 3800 angeordnet ist, weist einen dualen Schichtstapel 3840 auf. Der duale Schichtstapel 3840 weist eine obere Schicht 3804 auf, die auf der unteren strukturierten Shifter-Schicht 3806 angeordnet ist. Die obere Schicht 3804 des dualen Schichtstapels 3840 umfasst das gleiche Material wie die strukturierte Absorberschicht 3802 der Rahmenregion 3820.
  • In einer Ausführungsform weist eine oberste Oberfläche 3808 der Merkmale der strukturierten Shifter-Schicht 3806 eine Höhe auf, die von der einer obersten Oberfläche 3812 von Merkmalen der Die-Rahmen-Grenzregion verschieden ist und von der einer obersten Oberfläche 3814 der Merkmale in der Rahmenregion verschieden ist. Ferner ist in einer Ausführungsform die Höhe der obersten Oberfläche 3812 der Merkmale der Die-Rahmen-Grenzregion von der Höhe der obersten Oberfläche 3814 der Merkmale der Rahmenregion verschieden. Eine typische Dicke der Phasen-Shifter-Schicht 3806 liegt im Bereich von 40-100 nm, während eine typische Dicke der Absorberschicht im Bereich von 30-100 nm liegt. In einer Ausführungsform beträgt die Dicke der Absorberschicht 3802 in der Rahmenregion 3820 50 nm, beträgt die kombinierte Dicke der Absorberschicht 3804, die auf der Shifter-Schicht 3806 angeordnet ist, in der Die-Rahmen-Grenzregion 3830 120 nm und beträgt die Dicke des Absorbers in der Rahmenregion 70 nm. In einer Ausführungsform ist das Substrat 3800 Quarz, weist die strukturierte Shifter-Schicht ein Material wie unter anderem Molybdän-Silizid, Molybdän-Siliziumoxynitrid, Molybdän-Siliziumnitrid, Siliziumoxynitrid oder Siliziumnitrid auf, und ist das Absorbermaterial Chrom.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung wird eine komplementäre Elektronenstrahllithographie beschrieben. Mindestens eine hierin beschriebene Ausführungsform ist auf Lithographiemethoden und Werkzeuge gerichtet, die komplementäre Elektronenstrahllithographie (CEBL) beinhalten oder sich dafür eignen, einschließlich von Überlegungen zur Halbleiterverarbeitung, wenn solche Methoden und Werkzeuge implementiert werden.
  • Die komplementäre Lithographie stützt sich auf die Stärke zweier Lithographietechnologien, die Hand in Hand arbeiten, um die Kosten der Strukturierung kritischer Schichten in logischen Vorrichtungen mit einem halben Abstand von 20 nm und weniger bei einer Großserienfertigung (HVM) zu senken. Die kostengünstigste Weise der Implementierung einer komplementären Lithographie ist die Kombination einer optischen Lithographie mit einer Elektronenstrahllithographie (EBL). Der Prozess der Übertragung der Designs integrierter Schaltungen (IC) auf den Wafer beinhaltet Folgendes: optische Lithographie, um unidirektionale Linien (entweder strikt unidirektional oder vorwiegend unidirektional) in einem vordefinierten Abstand zu drucken, Abstandsteilungstechniken, um die Liniendichte zu erhöhen, und EBL, um die Linien zu „schneiden“. EBL wird auch verwendet, um andere kritische Schichten, nämlich Kontakte und Via-Öffnungen, zu strukturieren. Optische Lithographie kann allein verwendet werden, um andere Schichten zu strukturieren. Wenn EBL verwendet wird, um die optische Lithographie zu ergänzen, wird sie als CEBL oder komplementäre EBL bezeichnet. CEBL ist auf das Schneiden von Linien und Löchern gerichtet. Dadurch, dass CEBL nicht versucht, alle Schichten zu strukturieren, spielt sie eine ergänzende, aber wesentliche Rolle bei der Erfüllung der Strukturierungsanforderungen der Industrie an fortschrittlichen (kleineren) Technologieknoten (z.B. 10 nm oder kleineren Technologieknoten, wie etwa 7 nm oder 5 nm großen). CEBL erweitert außerdem die Nutzbarkeit heutiger optischer Lithographietechnologie, -werkzeuge und -infrastruktur.
  • Hierin offenbarte Ausführungsformen können verwendet werden, um eine große Vielfalt unterschiedlicher Arten integrierter Schaltungen und/oder mikroelektronischer Vorrichtungen herzustellen. Beispiele für solche integrierten Schaltungen sind unter anderem Prozessoren, Chipsatzkomponenten, Grafikprozessoren, Digitalsignalprozessoren, Mikrocontroller und dergleichen. In anderen Ausführungsformen kann ein Halbleiterspeicher hergestellt werden. Darüber hinaus können die integrierten Schaltungen oder anderen mikroelektronischen Vorrichtungen in einer großen Vielfalt elektronischer Vorrichtungen verwendet werden, die in der Technik bekannt sind. Beispielsweise in Computersystemen (z.B. Desktop, Laptop, Server), Mobiltelefonen, Unterhaltungselektronik usw. Die integrierten Schaltungen können mit einem Bus und anderen Komponenten des Systems gekoppelt werden. Zum Beispiel kann ein Prozessor durch einen oder mehrere Busse mit einem Speicher, einem Chipsatz usw. gekoppelt werden. Sowohl der Prozessor als auch der Speicher und der Chipsatz können potenziell anhand der hierin offenbarten Methoden hergestellt werden.
  • Wie oben beschrieben kann Elektronenstrahl(E-Beam)-Lithographie für die Herstellung integrierter Schaltungen implementiert werden, um Standard-Lithographietechniken zu ergänzen, um eine gewünschte Skalierung von Merkmalen zu erreichen. Ein Elektronenstrahllithographie-Werkzeug kann verwendet werden, um die Elektronenstrahllithographie durchzuführen. In einer beispielhaften Ausführungsform ist 39 eine schematische Querschnittsdarstellung einer Elektronenstrahlsäule eines Elektronenstrahl-Lithographieapparats.
  • Wie in 39 gezeigt ist, weist eine Elektronenstrahlsäule 3900 eine Elektronenquelle 3902 zur Bereitstellung eines Elektronenstrahls 3904 auf. Der Elektronenstrahl 3904 wird durch eine Begrenzungsapertur 3906 und anschließend durch eine Beleuchtungsoptik 3908 mit hohem Aspektverhältnis gelassen. Der austretende Strahl 3910 wird dann durch einen Schlitz 3912 gelassen und kann durch eine schmale Linse 3914, die z.B. magnetisch sein kann, gesteuert werden. Schlussendlich wird der Strahl 3904 durch eine Formungsapertur 3916 (die eine eindimensionale (1D-) Formungsapertur sein kann) und dann durch ein Austastungsaperturfeld (BAA) 3918 gelassen. Das BAA 3918 enthält eine Vielzahl von physischen Aperturen, wie etwa Öffnungen, die in einer dünnen Siliziumscheibe ausgebildet sind. Es kann der Fall sein, dass immer nur jeweils ein Abschnitt des BAA 3918 dem Elektronenstrahl ausgesetzt wird. Alternativ dazu oder in Verbindung damit wird nur ein Abschnitt 3920 des Elektronenstrahls 3904, der durch das BAA 3918 hindurch verläuft, durch eine letzte Apertur 3922 und möglicherweise einen Plattformrückkopplungsdeflektor 3924 gelassen (z.B. ist ein Strahlabschnitt 3921 blockiert gezeigt).
  • Es wird erneut auf 39 Bezug genommen, wo gezeigt ist, dass der resultierende Elektronenstrahl 3926 schlussendlich auf einen Punkt 3928 auf einer Oberfläche eines Wafers 3930 trifft, wie etwa eines Siliziumwafers, der bei der IC-Herstellung verwendet wird. Genauer kann der resultierende Elektronenstrahl auf einer Fotolackschicht auf dem Wafer auftreffen, aber Ausführungsformen sind nicht derartig beschränkt. Eine Abtastplattform 3932 bewegt den Wafer 3930 relativ zum Strahl 3926 in der Richtung des Pfeils 3934, der in 39 gezeigt ist. Man beachte, dass ein Elektronenstrahlwerkzeug insgesamt zahlreiche Säulen 3900 der in 39 bildlich dargestellten Art aufweisen kann. Ebenso kann das Elektronenstrahlwerkzeug einen zugehörigen Basiscomputer aufweisen, und jede Säule kann ferner einen entsprechenden Säulencomputer aufweisen.
  • In einer Ausführungsform können dann, wenn nachstehend auf Öffnungen oder Aperturen in einem Austastungsaperturfeld (BAA) Bezug genommen wird, alle oder manche von den Öffnungen oder Aperturen des BAA auf offen oder auf „geschlossen“ gewechselt werden (z.B. durch Strahlablenkung), während sich der Wafer/Die darunter entlang einer Waferbewegungs- oder Abtastrichtung bewegt. In einer Ausführungsform kann das BAA unabhängig dahingehend gesteuert werden, ob die einzelnen Öffnungen den Elektronenstrahl zum Abtastungsobjekt durchlassen oder den Strahl z.B. zu einem Faraday-Becher oder einer Austastungsapertur umlenken. Die Elektronenstrahlsäule oder -vorrichtung, die ein solches BAA aufweist, kann so gebaut sein, dass sie die gesamte Strahlausleuchtung auf nur einen Abschnitt des BAA ablenkt, und dann werden einzelne Öffnungen im BAA elektrisch so konfiguriert, dass sie den Elektronenstrahl durchlassen („ein“) oder nicht durchlassen („aus“). Zum Beispiel gelangen nicht abgelenkte Elektronen zum Wafer und belichten eine Fotolackschicht, während abgelenkte Elektronen im Faraday-Becher oder in der Austastungsapertur gefangen werden. Man beachte, dass eine Bezugnahme auf „Öffnungen“ oder „Öffnungshöhen“ auf die Größe des getroffenen Punktes auf dem empfangenen Wafer und nicht auf die physische Öffnung im BAA abzielt, da die physischen Öffnungen wesentlich größer (z.B. im Mikrometergrößenbereich) sind als die Größe des Punktes (z.B. im Nanometergrößenbereich), der schließlich aus dem BAA erzeugt wird. Wenn in der vorliegenden Beschreibung daher von einem Abstand eines BAA oder einer Kolonne von Öffnungen in einem BAA gesagt wird, dass sie dem Abstand von Metallleitungen „entsprechen“, bezeichnet eine solche Beschreibung eigentlich die Beziehung zwischen den Auftreffpunkten, die aus dem BAA erzeugt werden, und dem Abstand der geschnittenen Leitungen. Als Beispiel, das nachstehend bereitgestellt wird, weisen die aus dem BAA 4310 erzeugten Punkte einen Abstand auf, der dem Abstand der Leitungen 4300 gleich ist (wenn beide Kolonnen von BAA-Öffnungen gemeinsam betrachtet werden). Indessen weisen die Punkte, die nur aus einer Kolonne der gestapelten Anordnung des BAA 4310 erzeugt werden, einen Abstand auf, der doppelt so groß ist wie der Abstand der Leitungen 4300.
  • In einer Ausführungsform wird ein gestaffeltes Strahlenaperturfeld implementiert, um einen Durchsatz einer Elektronenstrahlmaschine zu lösen und gleichzeitig einen minimalen Drahtabstand zu ermöglichen. Ohne Staffelung bedeutet eine Berücksichtigung eines Randplatzierungsfehlers (EPE), dass ein Mindestabstand, der das Doppelte der Drahtbreite beträgt, nicht geschnitten werden kann, da in einem Stapel allein keine Möglichkeit für eine vertikale Stapelung besteht. Zum Beispiel zeigt 40 eine Öffnung 4000 eines BAA in Bezug auf eine Leitung 4002, die geschnitten werden soll oder an der Vias an dafür vorgesehenen Orten platziert werden sollen, während die Leitung entlang einer Richtung des Pfeils 4004 unter der Apertur 4000 abgetastet wird. Wie in 40 gezeigt ist, führt für eine bestimmte Leitung 4002, die geschnitten werden soll oder an der Vias platziert werden sollen, der EPE 4006 der Schneidwerkzeugöffnung (Apertur) zu einer rechtwinkligen Öffnung im BAA-Gitter, die der Abstand der Leitung ist.
  • 41 zeigt zwei nicht gestaffelte Aperturen 4100 und 4102 eines BAA in Bezug auf zwei Leitungen 4104 bzw. 4106, die geschnitten werden sollen oder an denen Vias an dafür vorgesehen Orten platziert werden sollen, während die Leitungen entlang der Richtung des Pfeils 4108 unter den Aperturen 4100 und 4102 abgetastet werden. Wie in 41 gezeigt ist, ist dann, wenn die rechtwinklige Öffnung 4000 von 40 mit anderen solchen rechtwinkligen Öffnungen in ein und derselben vertikalen Kolonne platziert wird (z.B. nun als 4100 und 4102), der zulässige Abstand der Leitungen, die geschnitten werden sollen, durch 2x EPE 4110 plus dem benötigten Abstand 4112 zwischen den BAA-Öffnungen 4100 und 4102 plus der Breite von einem Draht 4104 oder 4106 beschränkt. Der resultierende Zwischenraum 4114 wird von dem Pfeil ganz rechts in 41 gezeigt. Eine solche lineare Anordnung kann den Abstand der Verdrahtung erheblich begrenzen, und zwar dahingehend, dass er wesentlich größer ist als das 3-bis 4-Fache der Breite der Drähte, was wohl nicht akzeptabel ist. Eine andere möglicherweise inakzeptable Alternative wäre ein Schneiden von Drähten mit engeren Abständen in zwei (oder mehr) Durchgängen mit leicht versetzten Drahtorten, diese Methoden könnte den Durchsatz der Elektronenstrahlmaschine erheblich beschränken.
  • Im Gegensatz zu 41 zeigt 42 zwei Säulen 4202 und 4204 aus gestaffelten Aperturen 4206 eines BAA in Bezug auf eine Vielzahl von Leitungen 4208, die geschnitten werden sollen oder an denen an dafür vorgesehenen Orten Vias platziert werden sollen, während die Leitungen 4208 unter den Aperturen 4206 in der Richtung 4210 abgetastet werden, wobei die Abtastrichtung von dem Pfeil angegeben wird, gemäß einer Ausführungsform der vorliegenden 4204 Offenbarung. Wie in 41 gezeigt ist, weist ein gestaffeltes BAA 4200 zwei lineare Anordnungen 4202 und 4204 auf, die räumlich gestaffelt sind, wie gezeigt. Die beiden gestaffelten Anordnungen 4202 und 4204 schneiden sich abwechselnde Leitungen 4208 (oder platzieren Vias an diesen). Die Leitungen 4208 sind in einer Ausführungsform auf einem engen Gitter auf doppelter Drahtbreite platziert. Wie in der gesamten vorliegenden Offenbarung verwendet, kann der Begriff gestaffelt eine Staffelung von Öffnungen 4206 bezeichnen, die in einer Richtung (z.B. in der vertikalen Richtung) gestaffelt sind und die entweder keine Überschneidung aufweisen oder eine gewisse Überschneidung aufweisen, wenn man sie wie beim Abtasten in der orthogonalen Richtung (z.B. der horizontalen Richtung) betrachtet. Im letztgenannten Fall sorgt die effektive Überschneidung für eine Toleranz in Bezug auf eine Fehlausrichtung.
  • Man beachte, dass hierin der Einfachheit halber zwar eine gestaffelte Anordnung als zwei vertikale Kolonnen gezeigt ist, die Öffnungen oder Aperturen einer einzelnen „Kolonne“ aber nicht säulenartig in der vertikalen Richtung sein müssen. Zum Beispiel wird eine gestaffelte Anordnung erreicht, solange eine erste Anordnung insgesamt einen Abstand in der vertikalen Richtung hat und eine zweite Anordnung, die in der Abtastrichtung in Bezug auf die erste Anordnung gestaffelt ist, den Abstand in der vertikalen Richtung aufweist. Somit kann eine Bezugnahme auf eine vertikale Kolonne oder eine bildliche Darstellung einer solchen hierin tatsächlich eine oder mehrere Kolonnen umfassen, solange sie nicht als einzelne Kolonne aus Öffnungen oder Aperturen spezifiziert ist. In einer Ausführungsform kann in dem Fall, dass eine „Kolonne“ von Öffnungen keine einzelnen Kolonne von Öffnungen ist, ein etwaiger Versatz innerhalb der „Kolonne“ mit Strobenzeitsteuerung ausgeglichen werden. In einer Ausführungsform ist der entscheidende Punkt der, dass die Öffnungen oder Aperturen einer gestaffelten Anordnung eines BAA in der ersten Richtung auf einem bestimmten Abstand liegen, aber in der zweiten Richtung versetzt sind, damit Schnitte oder Vias ohne jegliche Lücke zwischen Schnitten oder Vias in der ersten Richtung platziert werden können.
  • Somit ist mindestens eine Ausführungsform auf eine gestaffelte Strahlenaperturanordnung gerichtet, wo Öffnungen gestaffelt sind, damit EPE-Schnitt- und/oder -Via-Anforderungen erfüllt werden können, im Gegensatz zu einer linearen Anordnung, welche die Anforderungen an die EPE-Technologie nicht erfüllen kann. Im Vergleich dazu bedeutet bei einer nicht vorhandenen Staffelung das Problem des Randplatzierungsfehlers (EPE), dass ein Mindestabstand, der das Doppelte der Drahtbreite beträgt, nicht geschnitten werden kann, da in einem Stapel allein keine Möglichkeit für eine vertikale Stapelung besteht. Stattdessen ermöglicht in einer Ausführungsform die Verwendung eines gestaffelten BAA ein Elektronenstrahlschreiben an den einzelnen Drahtorten, das viel mehr als 4000-mal so schnell ist wie ein individuelles Elektronenstrahlschreiben. Ferner ermöglicht eine gestaffelte Anordnung, dass ein Drahtabstand doppelt so groß ist wie die Drahtbreite. In einer bestimmten Ausführungsform hat eine Anordnung 4096 über zwei Kolonnen gestaffelte Öffnungen, so dass der EPE für jeden von den Schnitt- und Via-Orten gemacht werden kann. Man beachte, dass eine gestaffelte Anordnung, wie hierin betrachtet, zwei oder mehr Kolonnen aus gestaffelten Öffnungen aufweisen kann.
  • In einer Ausführungsform lässt die Verwendung einer gestaffelten Anordnung Platz für die Einbeziehung von Metall um die Aperturen des BAA, die eine oder zwei Elektroden zum Durchlassen oder Lenken des Elektronenstrahls zum Wafer oder zum Lenken desselben in einen Faraday-Becher oder eine Austastungsapertur enthalten. Das heißt, jede Öffnung kann von Elektroden separat gesteuert werden, um den Elektronenstrahl durchzulassen oder abzulenken. In einer Ausführungsform weist das BAA 4096 Öffnungen auf, und der Elektronenstrahlapparat bedeckt die gesamte Anordnung aus 4096 Öffnungen, wobei jede Öffnung elektrisch gesteuert wird. Durchsatzverbesserungen werden durch Schieben des Wafers unter die Öffnung erreicht, wie von den dicken schwarzen Pfeilen gezeigt ist.
  • In einer bestimmten Ausführungsform weist ein gestaffeltes BAA zwei Reihen gestaffelter BAA-Öffnungen auf. Solch eine Anordnung lässt Drähte mit engen Abständen zu, wo der Drahtabstand das 2-Fache der Drahtbreite sein kann. Ferner können alle Drähte in einem einzigen Durchgang geschnitten werden (oder Vias können in einem einzelnen Durchgang hergestellt werden), wodurch ein Durchsatz auf der Elektronenstrahlmaschine ermöglicht wird. 21A zeigt zwei Kolonnen mit gestaffelten Aperturen (links) eines BAA in Bezug auf eine Vielzahl von Leitungen (rechts), die Schnitte (Lücken in den horizontalen Strecken) oder Vias (schwarze Kästchen) aufweisen, die unter Verwendung des gestaffelten BAA als Struktur erzeugt worden sind, wobei die Abtastrichtung von dem Pfeil angegeben wird, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Wie in 43A gezeigt ist, könnte das Leitungsergebnis einer einzelnen gestaffelten Anordnung wie bildlich dargestellt sein, wenn Leitungen immer den gleichen Abstand aufweisen, mit strukturierten Schnitten und Vias. Genauer ist in 43A eine Vielzahl von Leitungen 4300 oder offenen Leitungspositionen 4302, wo keine Leitung existiert, bildlich dargestellt. Vias 4304 und Schnitte 4306 können entlang von Leitungen 4300 ausgebildet sein. Die Leitungen 4300 sind in Bezug auf ein BAA 4310 gezeigt, das eine Abtastrichtung 4312 aufweist. Somit kann 43A als typische Struktur betrachtet werden, die von einer einzigen gestaffelten Anordnung hervorgebracht wird. Gepunktete Linien zeigen, wo Schnitte in den strukturierten Leitungen aufgetreten sind (einschließlich eines vollständigen Schnitts, um eine Leitung oder einen Leitungsabschnitt ganz zu entfernen). Die Via-Orte 4304 sind Strukturierungs-Vias, die oben auf den Drähten 4300 ankommen.
  • Man beachte, dass eine Elektronenstrahlsäule, die ein gestaffeltes Strahlenaperturfeld (ein gestaffeltes BAA) aufweist wie oben beschrieben auch andere Merkmale zusätzlich zu denen, die in Verbindung mit 39 beschrieben werden, aufweist. Zum Beispiel kann in einer Ausführungsform die Abtastplattform um 90 Grad gedreht werden, um einander abwechselnde Metallisierungsschichten zu bewältigen, die orthogonal zueinander gedruckt sein können (z.B. zwischen X- und Y-Abtastrichtungen gedreht werden). In einer anderen Ausführungsform ist ein Elektronenstrahlwerkzeug in der Lage, einen Wafer vor dem Laden des Wafers auf die Plattform um 90 Grad zu drehen.
  • 43B zeigt eine Querschnittsansicht eines Stapels 4350 aus Metallisierungsschichten 4352 in einer integrierten Schaltung auf Basis von Metallleitungs-Layouts der Art, die in 43A gezeigt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 43B gezeigt ist, wird in einer beispielhaften Ausführungsform ein Metallquerschnitt für einen Verbindungsstapel 4350 von einem einzigen BAA-Feld für die unteren acht aufeinander abgestimmten Metallschichten 4354, 4356, 4358, 4360, 4362, 4364, 4366 und 4368 abgeleitet. Man beachte, dass obere dickere/breitere Metallleitungen 4370 und 4372 nicht mit einem einzigen BAA hergestellt werden würden. Es sind Via-Orte 4374 dargestellt, welche die unteren acht aufeinander abgestimmten Metallschichten sind 4354, 4356, 4358, 4360, 4362, 4364, 4366 und 4368 miteinander verbinden.
  • Generell beinhaltet in einer Ausführungsform die komplementäre Lithographie wie hierin beschrieben zuerst die Herstellung eines gitterartigen Layouts durch herkömmliche oder dem Stand der Technik entsprechende Lithographie, wie etwa 193nm-Immersionslithographie (193i). Eine Abstandsteilung kann implementiert werden, um die Dichte von Strecken im gitterartigen Layout um einen Faktor n zu erhöhen. Die Bildung eines gitterartigen Layouts mit 193i-Lithographie plus Abstandsteilung durch einen Faktor n kann als 193i+P/n-Abstandsteilung bezeichnet werden. Eine Strukturierung des abstandsgeteilten gitterartigen Layouts kann dann unter Verwendung von direkt eingeschriebenen Elektronenstrahl(EBDW)-„Schnitten“ strukturiert werden. In einer solchen Ausführungsform kann eine 193nm-Immersionsskalierung über viele Generationen mit einer kostengünstigen Abstandsteilung ausgeweitet werden. In einer Ausführungsform wird auch eine komplementäre EBL verwendet, um die Kontinuität von Gittern zu durchbrechen und um Vias zu strukturieren. In einer anderen Ausführungsform wird auch eine komplementäre EUV verwendet, um die Kontinuität von Gittern zu durchbrechen und um Vias zu strukturieren.
  • 44 zeigt eine Rechenvorrichtung 4400 gemäß einer Implementierung der Offenbarung. In der Rechenvorrichtung 4400 ist eine Platine 4402 untergebracht. Die Platine 4402 kann eine Anzahl von Komponenten aufweisen, unter anderem einen Prozessor 4404 und mindestens einen Kommunikationschip 4406. Der Prozessor 4404 ist physisch und elektrisch mit der Platine 4402 gekoppelt. In manchen Implementierungen ist der mindestens eine Kommunikationschip 4406 ebenfalls physisch und elektrisch mit der Platine 4402 gekoppelt. In weiteren Implementierungen ist der Kommunikationschip 4406 Teil des Prozessors 4404.
  • Abhängig von ihren Anwendungen kann die Rechenvorrichtung 4400 andere Komponenten aufweisen, die physisch und elektrisch mit der Platine 4402 gekoppelt sein können, aber nicht müssen. Diese anderen Komponenten sind unter anderem ein flüchtiger Speicher (z.B. ein DRAM), ein nichtflüchtiger Speicher (z.B. ein ROM), ein Flash-Speicher, ein Grafikprozessor, ein Digitalsignalprozessor, ein Cryptoprozessor, ein Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, ein Touchscreen-Controller, eine Batterie, ein Audio-Codec, ein Video-Codec, ein Leistungsverstärker, eine Vorrichtung zur globalen Positionsbestimmung (GPS), ein Kompass, ein Beschleunigungsmesser, ein Gyroskop, ein Lautsprecher, eine Kamera und eine Massenspeichervorrichtung (wie etwa ein Festplattenlaufwerk, eine Compact Disk (CD), eine Digital Versatile Disk (DVD) und so weiter).
  • Der Kommunikationschip 4406 ermöglicht eine drahtlose Kommunikation für die Übertragung von Daten zu und von der Rechenvorrichtung 4400. Der Begriff „drahtlos“ und Ableitungen davon können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten unter Verwendung von modulierter elektromagnetischer Strahlung durch ein nicht-festes Medium übermitteln können. Der Begriff impliziert nicht, dass die zugehörigen Vorrichtungen nicht irgendwelche Drähte aufweisen, auch wenn dies in manchen Ausführungsformen der Fall sein kann. Der Kommunikationschip 4406 kann beliebige von einer Anzahl von Wireless-Standards oder -Protokollen implementieren, unter anderem Wi-Fi (der Familie IEEE 802.11), WiMAX (der Familie IEEE 802.16), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen davon sowie alle anderen Wireless-Protokolle, die als 3G, 4G, 5G und darüber hinaus ausgelegt sind. Die Rechenvorrichtung 4400 kann eine Vielzahl von Kommunikationschips 4406 aufweisen. Zum Beispiel kann ein erster Kommunikationschip 4406 einer drahtlosen Kommunikation mit kürzerer Reichweite gewidmet sein, wie etwa Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip 4406 kann einer drahtlosen Kommunikation mit größerer Reichweite gewidmet sein, wie etwa GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und anderen.
  • Der Prozessor 4404 der Rechenvorrichtung 4400 weist einen Die mit einer integrierten Schaltung auf, der in den Prozessor 4404 gepackt ist. In manchen Implementierungen von Ausführungsformen der Offenbarung weist der mit einer integrierten Schaltung ausgestattete Die des Prozessors eine oder mehrere Vorrichtungen, wie etwa MOS-FET-Transistoren, auf, die gemäß Implementierungen der Offenbarung gebaut sind. Der Begriff „Prozessor“ kann jede Vorrichtung oder jeden Abschnitt einer Vorrichtung bedeuten, die bzw. der elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, die in Registern und/oder einem Speicher gespeichert werden können.
  • Der Kommunikationschip 4406 weist außerdem einen Die mit einer integrierten Schaltung auf, der in den Kommunikationschip 4406 gepackt ist. Gemäß einer anderen Implementierung der Offenbarung ist der mit der integrierten Schaltung ausgestattete Die des Kommunikationschip gemäß Implementierungen der Offenbarung gebaut.
  • In weiteren Implementierungen kann einen andere Komponente, die in der Rechenvorrichtung 4400 untergebracht ist, einen Die mit einer integrierten Schaltung enthalten, der gemäß Implementierungen von Ausführungsformen der Offenbarung gebaut ist.
  • In verschiedenen Ausführungsformen kann die Rechenvorrichtung 4400 ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet, ein Personal Digital Assistant (PDA), ein Ultra-Mobile-PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Entertainment-Control-Einheit, eine Digitalkamera, ein tragbares Musikwiedergabegerät oder ein digitaler Videorekorder sein. In weiteren Implementierungen kann die Rechenvorrichtung 4400 irgendeine andere elektronische Vorrichtung sein, die Daten verarbeitet.
  • 45 zeigt einen Interposer 4500, der eine oder mehrere Ausführungsformen der Offenbarung beinhaltet. Der Interposer 4500 ist ein Zwischensubstrat, das verwendet wird, um ein erstes Substrat 4502 mit einem zweiten Substrat 4504 zu verbrücken. Das erste Substrat 4502 kann beispielsweise ein Die mit einer integrierten Schaltung sein. Das zweite Substrat 4504 kann beispielsweise ein Speichermodul, ein Computer-Motherboard oder irgendein anderer Die mit einer integrierten Schaltung sein. Im Allgemeinen ist der Zweck eines Interposers 4500 die Verlängerungen eines Anschlusses auf einen breiteren Abstand oder die Umleitung eines Anschlusses auf einen anderen Anschluss. Zum Beispiel kann ein Interposer 4500 einen Die mit einer integrierten Schaltung mit einem Ball Grid Array (BGA) 506 koppeln, das anschließend mit dem zweiten Substrat 4504 gekoppelt werden kann. In manchen Ausführungsformen sind das erste und das zweite Substrat 4502/4504 an einander entgegengesetzten Seiten des Interposers 4500 befestigt. In anderen Ausführungsformen sind das erste und das zweite Substrat 4502/4504 an derselben Seite des Interposers 4500 befestigt. Und in noch anderen Ausführungsform sind drei oder mehr Substrate mittels des Interposers 4500 miteinander verbunden.
  • Der Interposer 4500 kann aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem keramischen Material oder einem Polymermaterial, wie etwa Polyimid, gebildet sein. In weiteren Implementierungen kann der Interposer aus einander abwechselnden starren oder flexiblen Materialien gebildet sein, welche die gleichen Materialien umfassen wie oben für die Verwendung in einem Halbleitersubstrat beschrieben, wie etwa Silizium, Germanium und anderen Materialien der Gruppen III-V und der Gruppe IV.
  • Der Interposer kann Metallverbindungen 4508 und Vias 4510, unter anderem Silizium-Durchkontaktierungen (TSVs) 4512 umfassen. Der Interposer 4500 kann ferner eingebettete Vorrichtungen 4514, unter anderem sowohl passive als auch aktive Vorrichtungen umfassen. Solche Vorrichtungen umfassen unter anderem Kondensatoren, Entkoppelungskondensatoren, Widerstände, Induktoren, Schmelzsicherungen, Dioden, Transformatoren, Sensoren und Vorrichtungen zum Ableiten einer elektrostatischen Aufladung (ESD). Komplexere Vorrichtungen, wie etwa Funkfrequenz(HF)-Vorrichtungen, Leistungsverstärker, Energieverwaltungsvorrichtungen, Antennen, Arrays, Sensoren und MEMS-Vorrichtungen, können ebenfalls am Interposer 4500 ausgebildet sein. Gemäß Ausführungsformen der Offenbarung können hierin offenbarte Apparate und Prozesse bei der Herstellung des Interposers 4500 verwendet werden.
  • Somit umfassen Ausführungsformen der vorliegenden Offenbarung eine Strukturierung von Abständen unter 10 nm und selbstorganisierte Vorrichtungen.
    • Ausführungsbeispiel 1: Eine integrierte Schaltungsstruktur umfasst eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats vorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene Gitterstruktur aufweist. Eine Grabenisolationsschicht liegt zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt. Ein oder mehrere Gate-Elektrodenstapel liegen auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht. Eine Backend-of-Line(BEOL)-Metallisierungsschicht liegt über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die BEOL-Metallisierungsschicht eine Vielzahl von einander abwechselnden ersten und zweiten leitfähigen Leitungstypen entlang einer gleichen Richtung umfasst, wobei sich eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps von einer Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps unterscheidet.
    • Ausführungsbeispiel 2: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, wobei die Leitungen des ersten leitfähigen Leitungstyps durch einen Abstand beabstandet sind, und wobei die Leitungen des zweiten leitfähigen Leitungstyps durch den Abstand beabstandet sind.
    • Ausführungsbeispiel 3: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1 oder 2, wobei sich die Vielzahl der einander abwechselnden ersten und zweiten leitfähigen Leitungstypen in einer Zwischenschicht-Dielektrikums(ILD-) Schicht befindet.
    • Ausführungsbeispiel 4: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1 oder 2, wobei die Leitungen der Vielzahl von einander abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen Luftzwischenraum getrennt sind.
    • Ausführungsbeispiel 5: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3 oder 4, wobei die Gesamtzusammensetzung des ersten leitfähigen Leitungstyps im Wesentlichen Kupfer umfasst, und wobei die Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps im Wesentlichen ein Material umfasst, das aus der Gruppe ausgewählt ist, die aus Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen derselben besteht.
    • Ausführungsbeispiel 6: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4 oder 5, wobei die Leitungen der Vielzahl von einander abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens und der Seitenwände der Leitung umfassen.
    • Ausführungsbeispiel 7: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4 oder 5, wobei die Leitungen der Vielzahl von einander abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens der Leitung, aber nicht entlang der Seitenwände der Leitung umfassen.
    • Ausführungsbeispiel 8: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6 oder 7, wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen mit einem darunterliegenden Via verbunden sind, verbunden mit einer darunterliegenden Metallisierungsschicht, wobei die darunterliegende Metallisierungsschicht zwischen dem einen oder den mehreren Gate-Elektrodenstapeln und der BEOL-Metallisierungsschicht liegt, und wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen dielektrischen Plug unterbrochen sind.
    • Ausführungsbeispiel 9: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6, 7 oder 8, wobei die Gitterstruktur einen konstanten Abstand aufweist.
    • Ausführungsbeispiel 10: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6, 7, 8 oder 9, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel umfasst, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
    • Ausführungsbeispiel 11: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6, 7, 8 oder 9, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern sind.
    • Ausführungsbeispiel 12: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 oder 11, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
    • Ausführungsbeispiel 13: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 oder 12, wobei die ersten leitfähigen Leitungstypen eine obere Oberfläche mit einer metallischen Zusammensetzung aufweisen, die sich von einer metallischen Zusammensetzung einer oberen Oberfläche der zweiten leitfähigen Leitungstypen unterscheidet.
    • Ausführungsbeispiel 14: Eine Struktur einer integrierten Schaltung umfasst eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats vorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene Gitterstruktur aufweist. Eine Grabenisolationsschicht liegt zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt. Mindestens ein Gate-Elektrodenstapel liegt auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht. Eine Backend-of-Line- (BEOL)-Metallisierungsschicht liegt über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die BEOL-Metallisierungsschicht eine Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen entlang einer gleichen Richtung umfasst, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens der Leitung umfassen, jedoch nicht entlang der Seitenwände der Leitung.
    • Ausführungsbeispiel 15: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, wobei die Leitungen des ersten leitfähigen Leitungstyps durch einen Abstand beabstandet sind, und wobei die Leitungen des zweiten leitfähigen Leitungstyps durch den Abstand beabstandet sind.
    • Ausführungsbeispiel 16: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14 oder 15, wobei sich die Vielzahl der einander abwechselnden ersten und zweiten leitfähigen Leitungstypen in einer Zwischenschicht-Dielektrikums(ILD-) Schicht befindet.
    • Ausführungsbeispiel 17: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14 oder 15, wobei die Leitungen der Vielzahl von einander abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen Luftzwischenraum getrennt sind.
    • Ausführungsbeispiel 18: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16 oder 17, wobei die Leitungen des ersten leitfähigen Leitungstyps durch einen Abstand beabstandet sind, und wobei die Leitungen des zweiten leitfähigen Leitungstyps durch den Abstand beabstandet sind.
    • Ausführungsbeispiel 19: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16 oder 17, wobei eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps im Wesentlichen Kupfer umfasst, und wobei eine Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps im Wesentlichen ein Material umfasst, das aus der Gruppe ausgewählt ist, die aus Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen derselben besteht.
    • Ausführungsbeispiel 20: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16, 17, 18 oder 19, wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen mit einem darunterliegenden Via verbunden sind, verbunden mit einer darunterliegenden Metallisierungsschicht, wobei die darunterliegende Metallisierungsschicht zwischen dem einen oder den mehreren Gate-Elektrodenstapeln und der BEOL-Metallisierungsschicht liegt, und wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen dielektrischen Plug unterbrochen sind.
    • Ausführungsbeispiel 21: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16, 17, 18, 19 oder 20, wobei die Gitterstruktur einen konstanten Abstand aufweist.
    • Ausführungsbeispiel 22: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16, 17, 18, 19, 20 oder 21, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel umfasst, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
    • Ausführungsbeispiel 23: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 14, 15, 16, 17, 18, 19, 20 oder 21, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern sind.
    • Ausführungsbeispiel 24: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 15, 16, 17, 18, 19, 20, 21, 22 oder 23, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
    • Ausführungsbeispiel 25: Eine integrierte Schaltungsstruktur umfasst eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats vorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene Gitterstruktur aufweist. Eine Grabenisolationsschicht liegt zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt. Mindestens ein Gate-Elektrodenstapel liegt auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht. Eine erste Backend-of-Line(BEOL)-Metallisierungsschicht liegt über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die erste BEOL-Metallisierungsschicht ein zweites Gitter aus abwechselnd Metallleitungen und dielektrischen Strecken in einer ersten Richtung umfasst. und Eine zweite BEOL-Metallisierungsschicht liegt über der ersten BEOL-Metallisierungsschicht, wobei die zweite BEOL-Metallisierungsschicht ein drittes Gitter aus einander in einer zweiten Richtung abwechselnden Metallleitungen und dielektrischen Strecken umfasst. Die zweite Richtung ist orthogonal zur ersten Richtung. Jede Metallleitung des dritten Gitters der zweiten BEOL-Metallisierungsschicht liegt auf einer dielektrischen Schicht, die einander abwechselnde, voneinander abgegrenzte Regionen aus einem ersten dielektrischen Material und einem zweiten dielektrischen Material umfasst, welche den einander abwechselnden Metallleitungen und dielektrischen Strecken der ersten BEOL-Metallisierungsschicht entsprechen. Jede dielektrische Strecke des dritten Gitters der zweiten BEOL-Metallisierungsschicht weist eine kontinuierliche Region eines dritten dielektrischen Materials auf, die abgegrenzt ist von den einander abwechselnden, voneinander abgegrenzten Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials.
    • Ausführungsbeispiel 26: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, wobei eine Metallleitung der zweiten BEOL-Metallisierungsschicht elektrisch mit einer Metallleitung der ersten BEOL-Metallisierungsschicht durch ein Via gekoppelt ist, das ein Zentrum aufweist, das direkt an einem Zentrum der Metallleitung der ersten BEOL-Metallisierungsschicht und an einem Zentrum der Metallleitung der zweiten BEOL-Metallisierungsschicht ausgerichtet ist.
    • Ausführungsbeispiel 27: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25 oder 26, wobei eine Metallleitung der zweiten BEOL-Metallisierungsschicht durch einen Plug mit einem Zentrum unterbrochen ist, das direkt an einem Zentrum einer dielektrischen Strecke der ersten BEOL-Metallisierungsschicht ausgerichtet ist.
    • Ausführungsbeispiel 28: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26 oder 27, wobei keines des ersten dielektrischen Materials, des zweiten dielektrischen Materials und des dritten dielektrischen Materials das gleiche Material ist.
    • Ausführungsbeispiel 29: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26 oder 27, wobei nur zwei des ersten dielektrischen Materials, des zweiten dielektrischen Materials und des dritten dielektrischen Materials das gleiche Material sind.
    • Ausführungsbeispiel 30: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28 oder 29, wobei die abwechselnden unterschiedlichen Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials durch Nähte getrennt sind, und wobei die durchgehende Region des dritten dielektrischen Materials von den abwechselnden unterschiedlichen Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials durch Nähte getrennt ist.
    • Ausführungsbeispiel 31: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27 oder 30, wobei das erste dielektrische Material, das zweite dielektrische Material und das dritte dielektrische Materials jeweils das gleiche Material sind.
    • Ausführungsbeispiel 32: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28, 29, 30 oder 31, wobei die erste Gitterstruktur einen konstanten Abstand aufweist.
    • Ausführungsbeispiel 33: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28, 29, 30, 31 oder 32, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel umfasst, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
    • Ausführungsbeispiel 34: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28, 29, 30, 31 oder 32, die ferner Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern sind.
    • Ausführungsbeispiel 35: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28, 29, 30, 31, 32, 33 oder 34, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
    • Ausführungsbeispiel 36: Die integrierte Schaltungsstruktur des Ausführungsbeispiels 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 oder 35, wobei eine Ätzstoppschicht oder eine zusätzliche dielektrische Schicht die erste BEOL-Metallisierungsschicht und die zweite BEOL-Metallisierungsschicht trennt.
    • Ausführungsbeispiel 37: Ein Verfahren zur Herstellung einer integrierten Schaltungsstruktur umfasst das Ausbilden einer Vielzahl von Backbone-Merkmalen oberhalb eines Substrats, das Ausbilden eines ersten Satzes von Abstandhaltern entlang von Seitenwänden von jedem von der Vielzahl von Backbone-Merkmalen, wobei der erste Satz von Abstandhaltern eine erste Materialzusammensetzung aufweist, die von einer Materialzusammensetzung der Vielzahl von Backbone-Merkmalen verschieden ist, das Ausbilden eines zweiten Satzes von Abstandhaltern entlang von Seitenwänden von jedem von dem ersten Satz von Abstandhaltern, wobei der zweite Satz von Abstandhaltern eine zweite Materialzusammensetzung aufweist, die von der ersten Materialzusammensetzung verschieden ist und von der Materialzusammensetzung der Vielzahl von Backbone-Merkmalen verschieden ist, das Ausbilden eines dritten Satzes von Abstandhaltern entlang von Seitenwänden von jedem von dem zweiten Satz von Abstandhaltern, wobei der dritte Satz von Abstandhaltern eine dritte Materialzusammensetzung aufweist, die von der ersten Materialzusammensetzung verschieden ist, von der zweiten Materialzusammensetzung verschieden ist und von der Materialzusammensetzung der Vielzahl von Backbone-Merkmalen verschieden ist, das Ausbilden eines vierten Satzes von Abstandhaltern entlang von Seitenwänden von jedem vom dritten Satz von Abstandhaltern, wobei der vierte Satz von Abstandhaltern die zweite Materialzusammensetzung aufweist, das Ausbilden eines fünften Satzes von Abstandhaltern, die lateral an Seitenwände von jedem vom vierten Satz von Abstandhaltern angrenzen, wobei der fünfte Satz von Abstandhaltern die erste Materialzusammensetzung aufweist, das Entfernen der Vielzahl von Backbone-Merkmalen im Anschluss an die Ausbildung des fünften Satzes von Abstandhaltern, das Ausbilden eines sechsten Satzes von Abstandhaltern entlang von Seitenwänden von jedem vom ersten Satz von Abstandhaltern und entlang von Seitenwänden von jedem vom fünften Satz von Abstandhaltern im Anschluss an das Entfernen der Vielzahl von Backbone-Merkmalen, wobei der sechste Satz von Abstandhaltern die zweite Materialzusammensetzung aufweist, das Ausbilden eines finalen Merkmals in jeder Öffnung zwischen aneinander angrenzenden, Paare bildenden Abstandhaltern des sechsten Satzes von Abstandhaltern, des dritten Satzes von Abstandhaltern, das Planarisieren des ersten Satzes von Abstandhaltern, des zweiten Satzes von Abstandhaltern, des dritten Satzes von Abstandhaltern, des vierten Satzes von Abstandhaltern, des fünften Satzes von Abstandhaltern, des sechsten Satzes von Abstandhaltern und der finalen Merkmale, um eine Ziel-Grundlagenschicht zu bilden, und das Verwenden der Ziel-Grundlagenschicht, um eine Metallisierungsschicht einer Halbleiterstruktur zu bilden.
    • Ausführungsbeispiel 38: Das Verfahren des Ausführungsbeispiels 37, wobei das Bilden der Vielzahl von Backbone-Merkmalen das Verwenden einer Standard-Lithographieoperation umfasst.
    • Ausführungsbeispiel 39: Das Verfahren des Ausführungsbeispiels 37 oder 38, wobei das Bilden der Vielzahl von Backbone-Merkmalen das Bilden einer Vielzahl von Merkmalen umfasst, die ein Material umfassen, das aus der Gruppe ausgewählt ist, die aus Siliziumnitrid, Siliziumoxid und Siliziumkarbid besteht.
    • Ausführungsbeispiel 40: Das Verfahren des Ausführungsbeispiels 37, 38 oder 39, wobei das Ausbilden des ersten Satzes von Abstandhaltern eine Abscheidung eines Materials des ersten Satzes von Abstandhaltern konform mit der Vielzahl von Backbone-Merkmalen unter Verwendung eines Atomschichtabscheidungs(ALD)-Prozesses und ein anisotropes Ätzen des Materials des ersten Satzes von Abstandshaltern, um den ersten Satz von Abstandshaltern entlang der Seitenwände von jedem der Vielzahl von Backbone-Merkmalen zu bilden, umfasst.
    • Ausführungsbeispiel 41: Das Verfahren des Ausführungsbeispiels 37, 38 oder 39, wobei das Bilden des ersten Satzes von Abstandshaltern das selektive Aufwachsenlassen eines Materials des ersten Satzes von Abstandshaltern entlang der Seitenwände von jedem der Vielzahl von Backbone-Merkmalen umfasst.
    • Ausführungsbeispiel 42: Das Verfahren des Ausführungsbeispiels 37, 38, 39, 40 oder 41, wobei jedes finale Merkmal eine laterale Breite aufweist, die größer ist als eine laterale Breite jedes Abstandshalters aus dem ersten Satz von Abstandshaltern, dem zweiten Satz von Abstandshaltern, dem dritten Satz von Abstandshaltern, dem vierten Satz von Abstandshaltern, dem fünften Satz von Abstandshaltern und dem sechsten Satz von Abstandshaltern.
    • Ausführungsbeispiel 43: Das Verfahren des Ausführungsbeispiels 37, 38, 39, 40, 41 oder 42, wobei jedes finale Merkmal durch eine Zusammenführung von Materialwachstum gebildet wird, das entlang benachbarter Paare von Abstandshaltern des sechsten Satzes von Abstandshaltern gebildet wird.
    • Ausführungsbeispiel 44: Das Verfahren des Ausführungsbeispiels 37, 38, 39, 40, 41, 42 oder 43, wobei jedes finale Merkmal die dritte Materialzusammensetzung aufweist.
    • Ausführungsbeispiel 45: Das Verfahren des Ausführungsbeispiels 37, 38, 39, 40, 41, 42, 43 oder 44, wobei die Verwendung der Ziel-Grundlagenschicht, um die Metallisierungsschicht der Halbleiterstruktur auszubilden, das Entfernen aller Abschnitte der ersten Materialzusammensetzung, um eine erste Vielzahl von Gräben zu bilden, und das Ausbilden einer ersten Vielzahl von leitfähigen Leitungen in der ersten Vielzahl von Gräben umfasst.
    • Ausführungsbeispiel 46: Das Verfahren des Ausführungsbeispiels 45, wobei die Verwendung der Ziel-Grundlagenschicht, um die Metallisierungsschicht der Halbleiterstruktur auszubilden, ferner das Entfernen aller Abschnitte der dritten Materialzusammensetzung, um eine zweite Vielzahl von Gräben zu bilden, und das Ausbilden einer zweiten Vielzahl von leitfähigen Leitungen in der ersten Vielzahl von Gräben umfasst.
    • Ausführungsbeispiel 47: Das Verfahren des Ausführungsbeispiels 46, wobei die erste Vielzahl von leitfähigen Leitungen und die zweite Vielzahl von leitfähigen Leitungen von einer gleichen Zusammensetzung sind.
    • Ausführungsbeispiel 48: Das Verfahren des Ausführungsbeispiels 46, wobei die erste Vielzahl von leitfähigen Leitungen und die zweite Vielzahl von leitfähigen Leitungen eine unterschiedliche Zusammensetzung aufweisen.
    • Ausführungsbeispiel 49: Das Verfahren des Ausführungsbeispiels 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 oder 48, ferner umfassend das Bilden zusätzlicher 20-200 Sätze von Abstandshaltern zwischen dem Bilden des fünften Satzes von Abstandshaltern und des sechsten Satzes von Abstandshaltern und vor dem Entfernen der Vielzahl von Backbone-Merkmalen.
    • Ausführungsbeispiel 50: Eine Ziel-Struktur für die Herstellung einer integrierten Schaltungsstruktur umfasst einen ersten Satz von Abstandshaltern über einer Hartmaskenschicht über einem Substrat, wobei der erste Satz von Abstandshaltern eine erste Materialzusammensetzung aufweist. Ein zweiter Satz von Abstandhaltern liegt entlang äußerer Seitenwände von jedem des ersten Satzes von Abstandhaltern, wobei der zweite Satz von Abstandhaltern eine zweite Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet. Ein dritter Satz von Abstandhaltern liegt entlang Seitenwänden von jedem des zweiten Satzes von Abstandhaltern, wobei der dritte Satz von Abstandhaltern eine dritte Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet und sich von der zweiten Materialzusammensetzung unterscheidet. Ein vierter Satz von Abstandshaltern liegt entlang Seitenwänden von jedem des dritten Satzes von Abstandshaltern, wobei der vierte Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist. Ein fünfter Satz von Abstandshaltern liegt lateral benachbart zu Seitenwänden von jedem des vierten Satzes von Abstandshaltern, wobei der fünfte Satz von Abstandshaltern die erste Materialzusammensetzung aufweist. Ein sechster Satz von Abstandshaltern entlang innerer Seitenwände von jedem des ersten Satzes von Abstandshaltern und entlang Seitenwänden von jedem des fünften Satzes von Abstandshaltern, wobei der sechste Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist. Ein finales Merkmal in jeder Öffnung liegt zwischen benachbarten Paaren von Abstandshaltern des sechsten Satzes von Abstandshaltern.
    • Ausführungsbeispiel 51: Die Ziel-Struktur des Ausführungsbeispiels 50, wobei der erste Satz von Abstandshaltern, der zweite Satz von Abstandhaltern, der dritte Satz von Abstandhaltern, der vierte Satz von Abstandhaltern, der fünfte Satz von Abstandhaltern, der sechste Satz von Abstandhaltern und die finalen Merkmale im Wesentlichen koplanar zueinander sind.
    • Ausführungsbeispiel 52: Die Ziel-Struktur des Ausführungsbeispiels 50 oder 51, wobei jedes finale Merkmal eine laterale Breite aufweist, die größer ist als eine laterale Breite jedes Abstandshalters aus dem ersten Satz von Abstandshaltern, dem zweiten Satz von Abstandshaltern, dem dritten Satz von Abstandshaltern, dem vierten Satz von Abstandshaltern, dem fünften Satz von Abstandshaltern und dem sechsten Satz von Abstandshaltern.
    • Ausführungsbeispiel 53: Die Ziel-Struktur des Ausführungsbeispiels 52, wobei die laterale Breite von jedem finalen Merkmal in dem Bereich von 6-12 Nanometern ist.
    • Ausführungsbeispiel 54: Die Ziel-Struktur des Ausführungsbeispiels 50, 51, 52 oder 53, wobei jedes finale Merkmal eine Naht aufweist, die ungefähr in dem finalen Merkmal zentriert ist.
    • Ausführungsbeispiel 55: Die Ziel-Struktur des Ausführungsbeispiels 50, 51, 52, 53 oder 54, wobei jedes finale Merkmal die dritte Materialzusammensetzung aufweist.

Claims (55)

  1. Eine integrierte Schaltungsstruktur, umfassend: eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats hervorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene Gitterstruktur aufweist; eine Grabenisolationsschicht zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt; einen oder mehrere Gate-Elektrodenstapel auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht; und eine Backend-of-Line- (BEOL)-Metallisierungsschicht über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die BEOL-Metallisierungsschicht eine Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen entlang einer gleichen Richtung umfasst, wobei sich eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps von einer Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps unterscheidet.
  2. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Leitungen des ersten leitfähigen Leitungstyps durch einen Abstand beabstandet sind, und wobei die Leitungen des zweiten leitfähigen Leitungstyps durch den Abstand beabstandet sind.
  3. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei sich die Vielzahl der abwechselnden ersten und zweiten leitfähigen Leitungstypen in einer Zwischenschicht-Dielektrikums- (ILD-) Schicht befindet.
  4. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen Luftzwischenraum getrennt sind.
  5. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Gesamtzusammensetzung des ersten leitfähigen Leitungstyps im Wesentlichen Kupfer umfasst, und wobei die Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps im Wesentlichen ein Material umfasst, das aus der Gruppe ausgewählt ist, die aus Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen derselben besteht.
  6. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens und der Seitenwände der Leitung umfassen.
  7. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens der Leitung, aber nicht entlang der Seitenwände der Leitung umfassen.
  8. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen mit einem darunterliegenden Via verbunden sind, verbunden mit einer darunterliegenden Metallisierungsschicht, wobei die darunterliegende Metallisierungsschicht zwischen dem einen oder den mehreren Gate-Elektrodenstapeln und der BEOL-Metallisierungsschicht liegt, und wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen dielektrischen Plug unterbrochen sind.
  9. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die Gitterstruktur einen konstanten Abstand aufweist.
  10. Die integrierte Schaltungsstruktur gemäß Anspruch 1, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
  11. Die integrierte Schaltungsstruktur gemäß Anspruch 1, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern sind.
  12. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
  13. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die ersten leitfähigen Leitungstypen eine obere Oberfläche mit einer metallischen Zusammensetzung aufweisen, die sich von einer metallischen Zusammensetzung einer oberen Oberfläche der zweiten leitfähigen Leitungstypen unterscheidet.
  14. Eine integrierte Schaltungsstruktur, umfassend: eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats hervorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene Gitterstruktur aufweist; eine Grabenisolationsschicht zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt; einen oder mehrere Gate-Elektrodenstapel auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht; und eine Backend-of-Line- (BEOL)-Metallisierungsschicht über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die BEOL-Metallisierungsschicht eine Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen entlang einer gleichen Richtung umfasst, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen jeweils eine Barriereschicht entlang eines Bodens der Leitung umfassen, jedoch nicht entlang der Seitenwände der Leitung.
  15. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei die Leitungen des ersten leitfähigen Leitungstyps durch einen Abstand beabstandet sind, und wobei die Leitungen des zweiten leitfähigen Leitungstyps durch den Abstand beabstandet sind.
  16. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei sich die Vielzahl der abwechselnden ersten und zweiten leitfähigen Leitungstypen in einer Zwischenschicht-Dielektrikums- (ILD-) Schicht befindet.
  17. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei die Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen Luftzwischenraum getrennt sind.
  18. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps gleich einer Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps ist.
  19. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei eine Gesamtzusammensetzung des ersten leitfähigen Leitungstyps im Wesentlichen Kupfer umfasst, und wobei eine Gesamtzusammensetzung des zweiten leitfähigen Leitungstyps im Wesentlichen ein Material umfasst, das aus der Gruppe ausgewählt ist, die aus Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au und Legierungen derselben besteht.
  20. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen mit einem darunterliegenden Via verbunden sind, verbunden mit einer darunterliegenden Metallisierungsschicht, wobei die darunterliegende Metallisierungsschicht zwischen dem einen oder den mehreren Gate-Elektrodenstapeln und der BEOL-Metallisierungsschicht liegt, und wobei eine oder mehrere der Leitungen der Vielzahl von abwechselnden ersten und zweiten leitfähigen Leitungstypen durch einen dielektrischen Plug unterbrochen sind.
  21. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei die Gitterstruktur einen konstanten Abstand aufweist.
  22. Die integrierte Schaltungsstruktur gemäß Anspruch 14, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
  23. Die integrierte Schaltungsstruktur gemäß Anspruch 14, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern liegen.
  24. Die integrierte Schaltungsstruktur gemäß Anspruch 14, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
  25. Eine integrierte Schaltungsstruktur, umfassend: eine Vielzahl von Halbleiterkörpern, die von einer Oberfläche eines Halbleitersubstrats hervorstehen, wobei die Vielzahl von Halbleiterkörpern eine durch einen Teilkörperabschnitt unterbrochene erste Gitterstruktur aufweist; eine Grabenisolationsschicht zwischen der Vielzahl von Halbleiterkörpern und benachbart zu unteren Abschnitten der Vielzahl von Halbleiterkörpern, jedoch nicht benachbart zu oberen Abschnitten der Vielzahl von Halbleiterkörpern, wobei die Grabenisolationsschicht über dem Teilkörperabschnitt liegt; einen oder mehrere Gate-Elektrodenstapel auf oberen Oberflächen und lateral benachbart zu Seitenwänden der oberen Abschnitte der Vielzahl von Halbleiterkörpern und auf Abschnitten der Grabenisolationsschicht; und eine erste Backend-of-Line- (BEOL)-Metallisierungsschicht über dem einen oder den mehreren Gate-Elektrodenstapeln, wobei die erste BEOL-Metallisierungsschicht ein zweites Gitter aus abwechselnd Metallleitungen und dielektrischen Strecken in einer ersten Richtung umfasst; und eine zweite BEOL-Metallisierungsschicht über der ersten BEOL-Metallisierungsschicht, wobei die zweite BEOL-Metallisierungsschicht ein drittes Gitter aus abwechselnden Metallleitungen und dielektrischen Strecken in einer zweiten Richtung umfasst, wobei die zweite Richtung orthogonal zur ersten Richtung ist, wobei jede Metallleitung des dritten Gitters der zweiten BEOL-Metallisierungsschicht auf einer dielektrischen Schicht ist, die abwechselnde unterschiedliche Regionen eines ersten dielektrischen Materials und eines zweiten dielektrischen Materials umfasst, die den abwechselnden Metallleitungen und dielektrischen Strecken der ersten BEOL-Metallisierungsschicht entsprechen, und wobei jede dielektrische Strecke des dritten Gitters der zweiten BEOL-Metallisierungsschicht eine durchgehende Region eines dritten dielektrischen Materials umfasst, die sich von den abwechselnden unterschiedlichen Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials unterscheidet.
  26. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei eine Metallleitung der zweiten BEOL-Metallisierungsschicht elektrisch mit einer Metallleitung der ersten BEOL-Metallisierungsschicht durch ein Via gekoppelt ist, das ein Zentrum aufweist, das direkt mit einem Zentrum der Metallleitung der ersten BEOL-Metallisierungsschicht und mit einem Zentrum der Metallleitung der zweiten BEOL-Metallisierungsschicht ausgerichtet ist.
  27. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei eine Metallleitung der zweiten BEOL-Metallisierungsschicht durch einen Plug mit einem Zentrum unterbrochen ist, das direkt mit einem Zentrum einer dielektrischen Strecke der ersten BEOL-Metallisierungsschicht ausgerichtet ist.
  28. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei keines des ersten dielektrischen Materials, des zweiten dielektrischen Materials und des dritten dielektrischen Materials das gleiche Material ist.
  29. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei nur zwei des ersten dielektrischen Materials, des zweiten dielektrischen Materials und des dritten dielektrischen Materials das gleiche Material sind.
  30. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei die abwechselnden unterschiedlichen Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials durch Nähte getrennt sind, und wobei die durchgehende Region des dritten dielektrischen Materials von den abwechselnden unterschiedlichen Regionen des ersten dielektrischen Materials und des zweiten dielektrischen Materials durch Nähte getrennt ist.
  31. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei alle des ersten dielektrischen Materials, des zweiten dielektrischen Materials und des dritten dielektrischen Materials das gleiche Material sind.
  32. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei die erste Gitterstruktur einen konstanten Abstand aufweist.
  33. Die integrierte Schaltungsstruktur gemäß Anspruch 25, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen benachbart zu den oberen Abschnitten der Vielzahl von Halbleiterkörpern sind und ein Halbleitermaterial umfassen, das sich von dem Halbleitermaterial der Halbleiterkörper unterscheidet.
  34. Die integrierte Schaltungsstruktur gemäß Anspruch 25, ferner umfassend: Source- oder Drain-Regionen auf beiden Seiten des einen oder der mehreren Gate-Elektrodenstapel, wobei die Source- oder Drain-Regionen innerhalb der oberen Abschnitte der Vielzahl von Halbleiterkörpern sind.
  35. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei jeder des einen oder der mehreren Gate-Elektrodenstapel eine High-k-Gatedielektrikumsschicht und eine Metall-Gate-Elektrode umfasst.
  36. Die integrierte Schaltungsstruktur gemäß Anspruch 25, wobei eine Ätzstoppschicht oder eine zusätzliche dielektrische Schicht die erste BEOL-Metallisierungsschicht und die zweite BEOL-Metallisierungsschicht trennt.
  37. Ein Verfahren zum Herstellen einer integrierten Schaltungsstruktur, das Verfahren umfassend: Bilden einer Vielzahl von Backbone-Merkmalen über einem Substrat; Bilden eines ersten Satzes von Abstandshaltern entlang von Seitenwänden von jedem der Vielzahl von Backbone-Merkmalen, wobei der erste Satz von Abstandshaltern eine erste Materialzusammensetzung aufweist, die sich von einer Materialzusammensetzung der Vielzahl von Backbone-Merkmalen unterscheidet; Bilden eines zweiten Satzes von Abstandhaltern entlang von Seitenwänden von jedem des ersten Satzes von Abstandhaltern, wobei der zweite Satz von Abstandhaltern eine zweite Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet und sich von der Materialzusammensetzung der Vielzahl von Backbone-Merkmalen unterscheidet; Bilden eines dritten Satzes von Abstandhaltern entlang von Seitenwänden von jedem des zweiten Satzes von Abstandhaltern, wobei der dritte Satz von Abstandhaltern eine dritte Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet, sich von der zweiten Materialzusammensetzung unterscheidet und sich von der Materialzusammensetzung der Vielzahl von Backbone-Merkmalen unterscheidet; Bilden eines vierten Satzes von Abstandshaltern entlang von Seitenwänden von jedem des dritten Satzes von Abstandshaltern, wobei der vierte Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist, Bilden eines fünften Satzes von Abstandshaltern lateral benachbart zu Seitenwänden von jedem des vierten Satzes von Abstandshaltern, wobei der fünfte Satz von Abstandshaltern die erste Materialzusammensetzung aufweist, nach dem Bilden des fünften Satzes von Abstandshaltern, Entfernen der Vielzahl von B ackb one-Merkmal en; nach dem Entfernen der Vielzahl von Backbone-Merkmalen, Bilden eines sechsten Satzes von Abstandshaltern entlang Seitenwänden von jedem des ersten Satzes von Abstandshaltern und entlang Seitenwänden von jedem des fünften Satzes von Abstandshaltern, wobei der sechste Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist, Bilden eines finalen Merkmals in jeder Öffnung zwischen benachbarten Paaren von Abstandshaltern des sechsten Satzes von Abstandshaltern; Planarisieren des ersten Satzes von Abstandhaltern, des zweiten Satzes von Abstandhaltern, des dritten Satzes von Abstandhaltern, des vierten Satzes von Abstandhaltern, des fünften Satzes von Abstandhaltern, des sechsten Satzes von Abstandhaltern und der finalen Merkmale, um eine Ziel-Grundschicht zu bilden; und Verwenden der Ziel-Grundschicht, um eine Metallisierungsschicht einer Halbleiterstruktur zu bilden.
  38. Das Verfahren gemäß Anspruch 37, wobei das Bilden der Vielzahl von Backbone-Merkmalen das Verwenden einer Standard-Lithographieoperation aufweist.
  39. Das Verfahren gemäß Anspruch 37, wobei das Bilden der Vielzahl von Backbone-Merkmalen das Bilden einer Vielzahl von Merkmalen umfasst, die ein Material umfassen, das aus der Gruppe ausgewählt ist, die aus Siliziumnitrid, Siliziumoxid und Siliziumkarbid besteht.
  40. Das Verfahren gemäß Anspruch 37, wobei das Bilden des ersten Satzes von Abstandshaltern folgendes umfasst: Abscheiden eines Materials des ersten Satzes von Abstandhaltern konform mit der Vielzahl von Backbone-Merkmalen unter Verwendung eines Atomschichtabscheidungs- (ALD-) Prozesses; und anisotropes Ätzen des Materials des ersten Satzes von Abstandshaltern, um den ersten Satz von Abstandshaltern entlang der Seitenwände von jedem der Vielzahl von Backbone-Merkmalen zu bilden.
  41. Das Verfahren gemäß Anspruch 37, wobei das Bilden des ersten Satzes von Abstandshaltern das selektive Wachsen eines Materials des ersten Satzes von Abstandshaltern entlang der Seitenwände von jedem der Vielzahl von Backbone-Merkmalen umfasst.
  42. Das Verfahren gemäß Anspruch 37, wobei jedes finale Merkmal eine laterale Breite aufweist, die größer ist als eine laterale Breite jedes Abstandshalters aus dem ersten Satz von Abstandshaltern, dem zweiten Satz von Abstandshaltern, dem dritten Satz von Abstandshaltern, dem vierten Satz von Abstandshaltern, dem fünften Satz von Abstandshaltern und dem sechsten Satz von Abstandshaltern.
  43. Das Verfahren gemäß Anspruch 37, wobei jedes finale Merkmal durch eine Zusammenführung von Materialwachstum gebildet wird, das entlang benachbarter Paare von Abstandshaltern des sechsten Satzes von Abstandshaltern gebildet wird.
  44. Das Verfahren gemäß Anspruch 37, wobei jedes finale Merkmal die dritte Materialzusammensetzung aufweist.
  45. Das Verfahren gemäß Anspruch 37, die Verwendung der Ziel-Grundschicht zum Bilden der Metallisierungsschicht der Halbleiterstruktur umfassend: Entfernen aller Abschnitte der ersten Materialzusammensetzung, um eine erste Vielzahl von Gräben zu bilden; und Bilden einer ersten Vielzahl von leitfähigen Leitungen in der ersten Vielzahl von Gräben.
  46. Das Verfahren gemäß Anspruch 45, die Verwendung der Ziel-Grundschicht zum Bilden der Metallisierungsschicht der Halbleiterstruktur ferner umfassend: Entfernen aller Abschnitte der dritten Materialzusammensetzung, um eine zweite Vielzahl von Gräben zu bilden; und Bilden einer zweiten Vielzahl von leitfähigen Leitungen in der zweiten Vielzahl von Gräben.
  47. Das Verfahren gemäß Anspruch 46, wobei die erste Vielzahl von leitfähigen Leitungen und die zweite Vielzahl von leitfähigen Leitungen von einer gleichen Zusammensetzung sind.
  48. Das Verfahren nach Anspruch 46, wobei die erste Vielzahl von leitfähigen Leitungen und die zweite Vielzahl von leitfähigen Leitungen eine unterschiedliche Zusammensetzung aufweisen.
  49. Das Verfahren gemäß Anspruch 37, ferner umfassend das Bilden zusätzlicher 20-200 Sätze von Abstandshaltern zwischen dem Bilden des fünften Satzes von Abstandshaltern und des sechsten Satzes von Abstandshaltern und vor dem Entfernen der Vielzahl von Backbone-Merkmalen.
  50. Eine Ziel-Struktur zum Herstellen einer integrierten Schaltungsstruktur, die Ziel-Struktur umfassend: einen ersten Satz von Abstandshaltern über einer Hartmaskenschicht über einem Substrat, wobei der erste Satz von Abstandshaltern eine erste Materialzusammensetzung aufweist; einen zweiten Satz von Abstandhaltern entlang äußerer Seitenwände von jedem des ersten Satzes von Abstandhaltern, wobei der zweite Satz von Abstandhaltern eine zweite Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet; einen dritten Satz von Abstandhaltern entlang Seitenwänden von jedem des zweiten Satzes von Abstandhaltern, wobei der dritte Satz von Abstandhaltern eine dritte Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet und sich von der zweiten Materialzusammensetzung unterscheidet; einen vierten Satz von Abstandshaltern entlang Seitenwänden von jedem des dritten Satzes von Abstandshaltern, wobei der vierte Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist; einen fünften Satz von Abstandshaltern lateral benachbart zu Seitenwänden von jedem des vierten Satzes von Abstandshaltern, wobei der fünfte Satz von Abstandshaltern die erste Materialzusammensetzung aufweist; einen sechsten Satz von Abstandshaltern entlang innerer Seitenwände von jedem des ersten Satzes von Abstandshaltern und entlang Seitenwänden von jedem des fünften Satzes von Abstandshaltern, wobei der sechste Satz von Abstandshaltern die zweite Materialzusammensetzung aufweist; und ein finales Merkmal in jeder Öffnung zwischen benachbarten Paaren von Abstandshaltern des sechsten Satzes von Abstandshaltern.
  51. Die Ziel-Struktur gemäß Anspruch 50, wobei der erste Satz von Abstandshaltern, der zweite Satz von Abstandhaltern, der dritte Satz von Abstandhaltern, der vierte Satz von Abstandhaltern, der fünfte Satz von Abstandhaltern, der sechste Satz von Abstandhaltern und die finalen Merkmale im Wesentlichen koplanar zueinander sind.
  52. Die Ziel-Struktur gemäß Anspruch 50, wobei jedes finale Merkmal eine laterale Breite aufweist, die größer ist als eine laterale Breite jedes Abstandshalters aus dem ersten Satz von Abstandshaltern, dem zweiten Satz von Abstandshaltern, dem dritten Satz von Abstandshaltern, dem vierten Satz von Abstandshaltern, dem fünften Satz von Abstandshaltern und dem sechsten Satz von Abstandshaltern.
  53. Die Ziel-Struktur gemäß Anspruch 52, wobei die laterale Breite von jedem finalen Merkmal in dem Bereich von 6-12 Nanometern ist.
  54. Die Ziel-Struktur gemäß Anspruch 50, wobei jedes finale Merkmal eine Naht aufweist, die ungefähr in dem finalen Merkmal zentriert ist.
  55. Die Ziel-Struktur gemäß Anspruch 50, wobei jedes finale Merkmal die dritte Materialzusammensetzung aufweist.
DE112016007542.1T 2016-12-23 2016-12-23 Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen Pending DE112016007542T5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/068586 WO2018118092A1 (en) 2016-12-23 2016-12-23 Advanced lithography and self-assembled devices

Publications (1)

Publication Number Publication Date
DE112016007542T5 true DE112016007542T5 (de) 2019-09-12

Family

ID=62627078

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016007542.1T Pending DE112016007542T5 (de) 2016-12-23 2016-12-23 Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen

Country Status (7)

Country Link
US (4) US10892223B2 (de)
JP (2) JP6923277B2 (de)
KR (1) KR20190090372A (de)
CN (2) CN117219572A (de)
BR (1) BR112019010217A2 (de)
DE (1) DE112016007542T5 (de)
WO (1) WO2018118092A1 (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180242465A1 (en) * 2017-02-23 2018-08-23 Lawrence Livermore National Security, Llc Fabrication of metal nanowire meshes over large areas by shear-alignment of block copolymers
FI128376B (en) * 2017-06-02 2020-04-15 Dispelix Oy Process for the preparation of a diffractive grating with varying efficiency and a diffraction grating
JP2021524150A (ja) * 2018-03-26 2021-09-09 インテル・コーポレーション 導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US11335598B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Grating replication using helmets and topographically-selective deposition
JP7437161B2 (ja) * 2018-06-29 2024-02-22 イラミーナ インコーポレーテッド フローセル
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
US11004791B2 (en) * 2019-04-12 2021-05-11 Advanced Micro Devices, Inc. Semiconductor chip with stacked conductor lines and air gaps
US11270935B2 (en) * 2019-07-18 2022-03-08 International Business Machines Corporation Metallization layer formation process
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner
US20210090991A1 (en) * 2019-09-24 2021-03-25 Intel Corporation Integrated circuit structures having linerless self-forming barriers
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
CN113644048B (zh) * 2020-04-27 2023-12-22 联华电子股份有限公司 半导体元件及其制造方法
TWI828985B (zh) * 2020-06-10 2024-01-11 美商應用材料股份有限公司 完全自對準減法蝕刻
EP3922596A1 (de) * 2020-06-12 2021-12-15 Imec VZW Verfahren zur bearbeitung eines halbleiterbauelements mit zwei eng beabstandeten gates
US11569166B2 (en) * 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
EP4044219A3 (de) * 2020-12-21 2022-08-31 INTEL Corporation Durchgangsöffnungsrektifikation mittels lamellarem triblockcopolymer, polymernanokomposit oder gemischter epitaxie
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6172387B1 (en) * 1998-05-04 2001-01-09 Micron Technology, Inc. Semiconductor interconnection structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7488650B2 (en) 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
JP5147330B2 (ja) * 2006-08-25 2013-02-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20090200683A1 (en) 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
CN103534600A (zh) 2011-03-29 2014-01-22 大陆-特韦斯贸易合伙股份公司及两合公司 用于测量电动车辆中供电电压的设备
US8614144B2 (en) 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
KR101900024B1 (ko) 2011-12-22 2018-09-19 인텔 코포레이션 반도체 구조
US9153440B2 (en) * 2012-03-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US20130256425A1 (en) 2012-03-27 2013-10-03 Alfonso M. Misuraca, SR. Self cleaning eductor
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9054215B2 (en) * 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
EP3050086A4 (de) 2013-09-27 2017-05-03 Intel Corporation Subtraktive selbstjustierende durchführung und steckerstrukturierung für beol-verbindungen
CN110060972B (zh) 2013-09-27 2024-02-23 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
CN105493250B (zh) 2013-09-27 2018-12-18 英特尔公司 用于后段(beol)互连的减数法自对准过孔和插塞图案化
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
WO2015094305A1 (en) * 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9209077B2 (en) 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
KR102195230B1 (ko) * 2014-06-03 2020-12-24 삼성전자주식회사 정전기 보호 소자
US9952511B2 (en) * 2014-06-13 2018-04-24 Intel Corporation Ebeam non-universal cutter
JP6522662B2 (ja) 2014-06-13 2019-05-29 インテル・コーポレーション 電子ビームによる一方向の層上金属
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
CN105322013B (zh) * 2014-07-17 2020-04-07 联华电子股份有限公司 半导体元件及其形成方法
KR102326376B1 (ko) * 2014-11-28 2021-11-17 삼성전자주식회사 키 패턴들의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US10269623B2 (en) 2015-06-22 2019-04-23 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (BEOL) interconnects
WO2017044106A1 (en) 2015-09-10 2017-03-16 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (beol) interconnects
KR20180045892A (ko) * 2015-09-24 2018-05-04 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
TWI675406B (zh) 2015-10-07 2019-10-21 聯華電子股份有限公司 半導體元件及其製作方法
WO2017105445A1 (en) 2015-12-16 2017-06-22 Intel Corporation Grid self-aligned metal via processing schemes for back end of line (beol) interconnects and structures resulting therefrom
US10950501B2 (en) 2015-12-21 2021-03-16 Intel Corporation Triblock copolymers for self-aligning vias or contacts
WO2017111925A1 (en) 2015-12-21 2017-06-29 Intel Corporation Multi-pitch or variable pitch grating structures for overlay, dose or focus information extraction
WO2017111923A1 (en) 2015-12-21 2017-06-29 Intel Corporation Approaches for measuring overlay, dose or focus on pre-patterned hardmask structures using scanning electron microscopy (sem)
WO2017111924A1 (en) 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US10319625B2 (en) 2015-12-22 2019-06-11 Intel Corporation Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
US10535747B2 (en) 2015-12-23 2020-01-14 Intel Corporation Transistor with dual-gate spacer
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
EP3437120B1 (de) 2016-03-28 2020-11-18 INTEL Corporation Ausgerichtete rastergeviertelte strukturierung zur fortschrittlichen korrektur von lithographiekantenplatzierungsfehlern
US10559529B2 (en) 2016-03-28 2020-02-11 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US20190025694A1 (en) 2016-03-31 2019-01-24 Intel Corporation High resolution photomask or reticle and its method of fabrication
US10395883B2 (en) 2016-03-31 2019-08-27 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
US11315798B2 (en) 2016-04-08 2022-04-26 Intel Corporation Two-stage bake photoresist with releasable quencher
US10804141B2 (en) 2016-05-27 2020-10-13 Intel Corporation Damascene plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10867853B2 (en) 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US11011463B2 (en) 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US10083842B2 (en) * 2016-11-16 2018-09-25 Tokyo Electron Limited Methods of sub-resolution substrate patterning

Also Published As

Publication number Publication date
WO2018118092A1 (en) 2018-06-28
US10892223B2 (en) 2021-01-12
US20220262722A1 (en) 2022-08-18
US20200066629A1 (en) 2020-02-27
US20240071917A1 (en) 2024-02-29
US11854787B2 (en) 2023-12-26
CN110337715B (zh) 2023-08-25
KR20190090372A (ko) 2019-08-01
US11373950B2 (en) 2022-06-28
JP7251040B2 (ja) 2023-04-04
CN117219572A (zh) 2023-12-12
CN110337715A (zh) 2019-10-15
JP6923277B2 (ja) 2021-08-25
US20210082800A1 (en) 2021-03-18
BR112019010217A2 (pt) 2019-08-27
JP2020515029A (ja) 2020-05-21
JP2021170670A (ja) 2021-10-28

Similar Documents

Publication Publication Date Title
DE112016007542T5 (de) Fortschrittliche Lithographie und selbstorganisierende Vorrichtungen
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
CN106164332B (zh) 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
DE102019106654A1 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern
DE102012205914B4 (de) Mandrelmodifzierung zum Erreichen einer einzelfinnen-finnenähnlichen Feldeffekttransistor-(FINFET-)Vorrichtung
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102014114485B4 (de) Halbleitervorrichtung und verfahren
TW201708232A (zh) 用於沉積第二或第三列過渡金屬薄膜之固有選擇性前驅物
DE112010003844T5 (de) Verbindungsstruktur aus strukturierbarem Low-k-Dielektrikum mit Gradienten-Deckschichtund Herstellungsverfahren
DE112013007037T5 (de) Nicht planares Halbleiterbauelement mit selbst ausgerichtetem Steg mit oberer Sperrschicht
DE102018124819A1 (de) Verfahren zum Steuern eines Ende-Zu-Ende-Abstands in einer Halbleitervorrichtung
DE102016118062A1 (de) Halbleiter-Bauelement und Verfahren zu seiner Herstellung
DE102009004550B4 (de) Verfahren zur Bildung von Zwischenverbindungen
DE102014103428A1 (de) Verfahren zum Bearbeiten eines Trägers
DE112018007152T5 (de) Ätzstoppschicht-basierte methoden zur herstellung leitfähigerdurchkontaktierungen und daraus resultierende strukturen
TWI766949B (zh) 先進微影及自聚合裝置
DE112016007030T5 (de) Ausgekleidete Photobucket-Strucktur zur Bildung von BEOL-Zwischenverbindungen
DE102014100867A1 (de) Verfahren zur Bearbeitung eines Trägers, Verfahren zur Herstellung einer Ladungsspeicherzelle, Verfahren zur Bearbeitung eines Chips und Verfahren zum elektrischen Kontaktieren einer Abstandhalterstruktur
TWI806638B (zh) 先進微影及自聚合裝置
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102019108655A1 (de) Strukturerzeugungsverfahren und -Material zum Herstellen von Halbleiter-Bauelementen
TW202411153A (zh) 先進微影及自聚合裝置
DE102018127448B4 (de) Metallschienenleiter für nicht-planare Halbleiter-Bauelemente
DE102021116974A1 (de) Multi-gate-vorrichtungen mit variierenden kanalschichten
DE102018103149A1 (de) Finnen integrierter Schaltungsvorrichtungen

Legal Events

Date Code Title Description
R083 Amendment of/additions to inventor(s)
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021768000

Ipc: H01L0023520000