BR112019010217A2 - litografia avançada e dispositivos automontados - Google Patents

litografia avançada e dispositivos automontados Download PDF

Info

Publication number
BR112019010217A2
BR112019010217A2 BR112019010217A BR112019010217A BR112019010217A2 BR 112019010217 A2 BR112019010217 A2 BR 112019010217A2 BR 112019010217 A BR112019010217 A BR 112019010217A BR 112019010217 A BR112019010217 A BR 112019010217A BR 112019010217 A2 BR112019010217 A2 BR 112019010217A2
Authority
BR
Brazil
Prior art keywords
layer
spacers
lines
ild
integrated circuit
Prior art date
Application number
BR112019010217A
Other languages
English (en)
Inventor
H Wallace Charles
W Ward Curtis
N Tan Elliot
Gstrein Florian
M Blackwell James
l lin Kevin
Chandhok Manish
Krysak Marie
A Nyhus Paul
E Schenker Richard
L Bristol Robert
Sivakumar Swaminathan
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of BR112019010217A2 publication Critical patent/BR112019010217A2/pt

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

são descritas técnicas de litografia avançadas incluindo padronização de afastamento sub-10 nm e estruturas daí resultantes. são descritos dispositivos automontados e seus métodos de fabricação.

Description

Relatório Descritivo da Patente de Invenção para ’’LITOGRAFIA AVANÇADA E DISPOSITIVOS AUTOMONTADOS. CAMPO TÉCNICO [0001] As modalidades da revelação se encontram no campo dos dispositivos e processamento de semicondutores e, em particular, da padronização de afastamento sub~10 nm e dos dispositivos automontados.
ANTECEDENTES [0002] Nas últimas décadas, o dimensionamento de membros em circuitos integrados tem sido uma força propulsora por trás de uma indústria de semicondutores sempre em crescimento. O dimensionamento para membros cada vez menores permite maiores densidades de unidades funcionais no estado real limitado de chips semicondutores. Por exemplo, a diminuição do tamanho de transistores possibilita a incorporação de um maior número de dispositivos de memória ou lógicos em um chip, conferindo maior capacidade à fabricação de produtos. Todavia, a ânsia por cada vez mais capacidade levanta problemas. A necessidade de otimizar o desempenho de cada dispositivo se toma cada vez mais significativa.
[0003] A variabilidade nos processos de fabricação convencionais e atualmente conhecidos pode limitar a possibilidade de os estender mais até à faixa sub-10 nm. Consequentemente, a fabricação dos componentes funcionais necessários para futuros nós de tecnologia pode requerer a introdução de novas metodologias ou a integração de novas tecnologias nos atuais processos de fabricação, ou em vez dos atuais processos de fabricação.
BREVE DESCRIÇÃO DOS DESENHOS [0004] A Figura 1A ilustra uma vista em corte transversal de uma estrutura inicial após a deposição, mas antes da padronização, de uma camada de material de máscara dura formada em uma camada
Petição 870190046922, de 20/05/2019, pág. 11/557
2/326 dielétrica entre camadas (ILD - InterLayer Dielectric).
[0005] A Figura 1B ilustra uma vista em corte transversal da estrutura da Figura 1A após a padronização da camada de máscara dura por redução a metade do afastamento.
[0006] A Figura 2 ilustra vistas em corte transversal em um esquema de processamento de padronização de sêxtuplos baseada em espaçador (SBSP - Spacer-Based-Sextuple-Patterning) que envolve divisão de afastamento por um fator de seis.
[0007] A Figura 3 ilustra vistas em corte transversal em um esquema de processamento de padronização de nônuplos baseada em espaçador (SBNP - Spacer-Based-Nonuple-Patteming) que envolve divisão de afastamento por um fator de nove.
[0008] As Figuras 4A a 4N ilustram vistas em corte transversal de várias operações em um método de fabricação de dispositivos semicondutores não planos, de acordo com uma modalidade da presente revelação.
[0009] A Figura 5 ilustra a estrutura da Figura 4N após a exposição de porções superiores da pluralidade de aletas, de acordo com uma modalidade da presente revelação.
[0010] A Figura 6A ilustra uma vista em corte transversal de um dispositivo semicondutor não plano, de acordo com uma modalidade da presente revelação.
[0011] A Figura 6B ilustra uma vista em planta considerada ao longo do eixo a~a! do dispositivo semicondutor da Figura 6A, de acordo com uma modalidade da presente revelação.
[0012] As Figuras 7A e 7B ilustram vistas em corte transversal de estruturas de fundação alvo para permitir padrões finais de afastamento muito apertado para camadas semicondutoras, de acordo com modalidades da presente revelação.
[0013] As Figuras 8A a 8H ilustram vistas em corte transversal
Petição 870190046922, de 20/05/2019, pág. 12/557
3/326 representando várias operações em um método de fabricação de estruturas de fundação alvo para permitir padrões finais de afastamento muito apertado para camadas semicondutoras, de acordo com modalidades da presente revelação.
[0014] As Figuras 8H’ e 8H” ilustram vistas em corte transversal de estruturas exemplificativas após padronização de vias e plugues, de acordo com uma modalidade da presente revelação.
[0015] As Figuras 9A a 9L ilustram vistas em corte transversal angulares de porções de camadas de circuito integrado representando várias operações em um método envolvendo padronização de divisão de afastamento com maior margem de sobreposição para fabricação de interconexões na extremidade traseira de linha (BEOL - Back End of Line), de acordo com uma modalidade da presente revelação.
[0016] As Figuras 10A a 10M ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e metais autoalinhados, de acordo com uma modalidade da presente revelação.
[0017] As Figuras 11A a 11M ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e metais autoalinhados, de acordo com uma modalidade da presente revelação.
[0018] As Figuras 12A a 12C ilustram vistas em corte transversal angulares representando várias operações em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0019] A Figura 12D ilustra uma vista em corte transversal angular representando uma operação em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com
Petição 870190046922, de 20/05/2019, pág. 13/557
4/326 uma modalidade da presente revelação.
[0020] A Figura 12E ilustra uma vista em corte transversal angular representando uma operação em outro método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com outra modalidade da presente revelação.
[0021] A Figura 12F ilustra um copolimero em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0022] As Figuras 12G e 12H ilustram vistas em planta e correspondentes vistas em corte transversal representando várias operações em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0023] As Figuras 121 a 12L ilustram vistas em planta e correspondentes vistas em corte transversal representando várias operações em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0024] A Figura 13 ilustra uma vista em planta e vistas em corte transversal correspondentes de uma estrutura de via autoalinhada após a formação de linhas de metal, vias e plugues, de acordo com uma modalidade da presente revelação.
[0025] As Figuras 14A a 14N ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias e plugues autoalinhados, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 14/557
5/326 [0026] As Figuras 15A a 15D ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de plugues autoalinhados, de acordo com outra modalidade da presente revelação.
[0027] As Figuras 16A a 16D ilustram vistas em corte transversal de porções de camadas de circuito integrado representando várias operações em um método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0028] As Figuras 16E a 16P ilustram vistas em corte transversal de porções de camadas de circuito integrado representando várias operações em outro método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0029] As Figuras 17A a 17J ilustram vistas em corte transversal de porções de camadas de circuito integrado representando várias operações em outro método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0030] As Figuras 18A a 18W ilustram vistas em planta e correspondentes vistas angulares e em corte transversal representando várias operações em um esquema de processamento de vias de metal para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0031] As Figuras 19A a 19L ilustram vistas em planta e correspondentes vistas angulares e em corte transversal representando várias operações em um esquema em grelha de processamento de vias de metal autoalinhadas para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0032] As Figuras 20A a 20G ilustram vistas em planta e
Petição 870190046922, de 20/05/2019, pág. 15/557
6/326 correspondentes vistas em corte transversal representando várias operações em um método de fabricação de plugues e cortes baseados em grade para formação de extremidades de membros para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0033] A Figura 21A ilustra uma vista em planta e correspondente vista em corte transversal considerada ao longo do eixo a~a! da vista em planta de uma camada de metalização de um dispositivo semicondutor atualmente conhecido.
[0034] A Figura 21B ilustra uma vista em corte transversal de uma extremidade de linha ou um plugue fabricado usando um esquema de processamento atualmente conhecido.
[0035] A Figura 21C ilustra outra vista em corte transversal de uma extremidade de linha ou um plugue fabricado usando um esquema de processamento atualmente conhecido.
[0036] As Figuras 21D a 21J ilustram vistas em corte transversal representando várias operações em um processo para padronização de extremidades de linha de metal para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0037] A Figura 21K ilustra uma vista em corte transversal de uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor que inclui extremidades de linha dielétrica ou plugues tendo aí uma junção, de acordo com uma modalidade da presente revelação.
[0038] A Figura 21L ilustra uma vista em corte transversal de uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor que inclui uma extremidade de linha dielétrica ou um plugue que não se encontra imediatamente adjacente a uma via condutiva, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 16/557
7/326 [0039] As Figuras 22A a 22G ilustram porções de camadas de circuito integrado representando várias operações em um método envolvendo gravação isotrópica autoalinhada de localizações de vias ou plugues pré-formados, de acordo com uma modalidade da presente revelação.
[0040] As Figuras 22H a 22J ilustram vistas em corte transversal angulares mostrando porções de camadas de circuito integrado representando várias operações em um método envolvendo gravação isotrópica autoalinhada de localizações de vias pré~formadas, de acordo com uma modalidade da presente revelação.
[0041] As Figuras 23A a 23L ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias e plugues autoalinhados, de acordo com uma modalidade da presente revelação.
[0042] As Figuras 23M a 23S ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias autoalinhadas, de acordo com uma modalidade da presente revelação.
[0043] As Figuras 24A a 24I ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias e plugues autoalinhados, de acordo com uma modalidade da presente revelação.
[0044] As Figuras 25A a 25H ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias autoalinhadas usando photobuckets multicolor, de acordo com uma modalidade da presente revelação.
[0045] A Figura 25I ilustra uma resistência de duplo tom exemplificativa para um tipo de photobucket e uma resistência de tom único exemplificativa para outro tipo de photobucket, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 17/557
8/326 [0046] A Figura 26A ilustra uma vista em planta de uma camada de metalização na extremidade traseira de linha (BEOL) convencional.
[0047] A Figura 26B ilustra uma vista em planta de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação.
[0048] As Figuras 27A a 27K ilustram vistas angulares em corte transversal representando várias operações em um método de fabricação de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação.
[0049] As Figuras 28A a 28T ilustram vistas angulares em corte transversal representando várias operações em um método de fabricação de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação.
[0050] As Figuras 29A a 29C ilustram vistas em corte transversal e correspondentes vistas em planta de várias operações em um método de padronização usando photobuckets incluindo uma fotorresistência de cozedura de dois andares, de acordo com uma modalidade da presente revelação.
[0051] A Figura 29D ilustra uma vista em corte transversal de uma estrutura convencional de photobuckets de resistência a seguir ao desenvolvimento de photobuckets após uma exposição desalinhada.
[0052] As Figuras 30A a 30E ilustram vistas esquemáticas de várias operações em um método de padronização usando photobuckets incluindo uma fotorresistência de cozedura de dois andares, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 18/557
9/326 [0053] A Figura 30A’ ilustra uma vista esquemática de uma operação em outro método de padronização usando photobuckets, de acordo com uma modalidade da presente revelação.
[0054] A Figura 30A” ilustra uma vista esquemática de uma operação em outro método de padronização usando photobuckets, de acordo com uma modalidade da presente revelação.
[0055] A Figura 31 ilustra uma vista angular de um padrão alternado de linhas dielétricas entre camadas (ILD) e linhas de resistência, com uma lacuna formada em uma das linhas de resistência, de acordo com uma modalidade da presente revelação.
[0056] As Figuras 32A a 32H ilustram vistas em corte transversal em um processo de fabricação envolvendo reversão de tom de imagem com um dielétrico usando reticulação ascendente, de acordo com uma modalidade da presente revelação.
[0057] A Figura 33A ilustra uma molécula de trisilaciclo-hexano, de acordo com uma modalidade da presente revelação.
[0058] A Figura 33B ilustra duas moléculas de trisilaciclo-hexano reticuladas (XL - Cross-Linked) para formar um material reticulado, de acordo com uma modalidade da presente revelação.
[0059] A Figura 33C ilustra uma representação idealizada de uma estrutura de trisilaciclo-hexano ligada, de acordo com uma modalidade da presente revelação.
[0060] As Figuras 34A a 34X ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e plugues autoalinhados usando máscaras duras diagonais, de acordo com uma modalidade da presente revelação.
[0061] As Figuras 35A a 35D ilustram vistas em corte transversal e correspondentes vistas de cima para baixo representando várias operações em um esquema de processamento de padronização usando máscaras duras pré-padronizadas, de acordo com uma modalidade da
Petição 870190046922, de 20/05/2019, pág. 19/557
10/326 presente revelação.
[0062] A Figura 36A ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual é sobreposta em uma grelha de máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0063] A Figura 36B ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um quarto de afastamento com respeito a uma grelha de máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0064] A Figura 36C ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de meio afastamento com respeito a uma grelha de máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0065] A Figura 36D ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um valor arbitrário Δ com respeito a uma grelha de máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0066] A Figura 36E ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma atual camada tem uma sobreposição positiva de um valor arbitrário Δ com respeito a uma grelha de máscara dura pré-padronizada subjacente, onde um Δ mensurável é tornado tão baixo como necessário mudando a sensibilidade de resistência s e/ou o tamanho de funcionalidade desenhada, de acordo com uma modalidade da presente revelação.
[0067] A Figura 36F ilustra uma estrutura de metrologia exemplificativa adequada para as abordagens descritas acima em associação com as Figuras 36A a 36E, de acordo com uma modalidade
Petição 870190046922, de 20/05/2019, pág. 20/557
11/326 da presente revelação.
[0068] A Figura 37A ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual é sobreposta em uma máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0069] A Figura 37B ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção X, de acordo com uma modalidade da presente revelação.
[0070] A Figura 37C ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição negativa de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção X, de acordo com uma modalidade da presente revelação.
[0071] A Figura 37D ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção Y, de acordo com uma modalidade da presente revelação.
[0072] A Figura 37E ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma atual camada tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção X e tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção Y, de acordo com uma modalidade da presente revelação.
[0073] A Figura 38 ilustra uma vista em corte transversal de uma estrutura de máscara de litografia, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 21/557
12/326 [0074] A Figura 39 é uma representação esquemática em corte transversal de uma coluna de feixe de elétrons de um aparelho de litografia de feixe de elétrons.
[0075] A Figura 40 ilustra um orifício (esquerda) de uma matriz de orifícios de supressão (BAA - Blanking Aperture Array) relativa a uma linha (direita) para ser cortada ou para ter vias colocadas em localizações direcionadas enquanto a linha é varrida sob o orifício.
[0076] A Figura 41 ilustra dois orifícios não escalonados (esquerda) de uma BAA relativa a duas linhas (direita) para serem cortadas ou para terem vias colocadas em localizações direcionadas enquanto as linhas são varridas sob os orifícios.
[0077] A Figura 42 ilustra duas colunas de orifícios escalonados (esquerda) de uma BAA relativa a uma pluralidade de linhas (direita) para serem cortadas ou para terem vias colocadas em localizações direcionadas enquanto as linhas são varridas sob os orifícios, com a direção de varredura mostrada pela seta, de acordo com uma modalidade da presente revelação.
[0078] A Figura 43A ilustra duas colunas de orifícios escalonados (esquerda) de uma BAA relativa a uma pluralidade de linhas (direita) tendo cortes (quebras nas linhas horizontais) ou vias (caixas preenchidas) padronizadas usando a BAA escalonada, com a direção de varredura mostrada pela seta, de acordo com uma modalidade da presente revelação.
[0079] A Figura 43B ilustra uma vista em corte transversal de uma pilha de camadas de metalização em um circuito integrado com base em layouts de linhas de metal do tipo ilustrado na Figura 21 A, de acordo com uma modalidade da presente revelação.
[0080] A Figura 44 ilustra um dispositivo de computação de acordo com uma implementação da revelação.
[0081] A Figura 45 ilustra um interposer (uma interposição) que
Petição 870190046922, de 20/05/2019, pág. 22/557
13/326 inclui uma ou mais modalidades da revelação.
DESCRIÇÃO DAS MODALIDADES [0082] São descritos a padronização de afastamento avançada e dispositivos automontados, em particular técnicas de padronização de afastamento avançada e métodos de fabricação de dispositivos automontados para gerar dispositivos e estruturas sub-10 nanômetros (nm). Na seguinte descrição, são apresentados inúmeros detalhes específicos, como por exemplo regimes específicos de integração e material, de modo a fornecer um entendimento minucioso das modalidades da presente revelação. Será evidente para um perito na técnica que as modalidades da presente revelação podem ser praticadas sem esses detalhes específicos. Em outras instâncias, membros bem conhecidos, como por exemplo layouts de desenho de circuito integrado, não são descritos em detalhe para não ocultar desnecessariamente modalidades da presente revelação. Ademais, deve ser reconhecido que as várias modalidades mostradas nas Figuras são representações ilustrativas e não se encontram necessariamente em tamanho real.
[0083] A seguinte descrição detalhada é meramente ilustrativa em termos de natureza e não pretende limitar as modalidades da matéria em discussão nem a aplicação e os usos dessas modalidades. Como aqui usado, a palavra exemplificativo significa servindo como um exemplo, uma instância ou uma ilustração. Qualquer implementação aqui descrita como exemplificativa não tem necessariamente de ser interpretada como preferida ou vantajosa em relação a outras implementações. Ademais, não existe nenhuma intenção de se encontrar ligada a qualquer teoria expressada ou implicada apresentada nos anteriores campos técnicos, antecedentes, breve sumário ou na seguinte descrição detalhada.
[0084] Esse relatório descritivo inclui referências a uma
Petição 870190046922, de 20/05/2019, pág. 23/557
14/326 modalidade. As ocorrências da expressão em uma modalidade” não se referem necessariamente à mesma modalidade. Funcionalidades, estruturas ou características particulares podem ser combinadas de qualquer maneira adequada consistente com essa revelação.
[0085] Terminologia. Os parágrafos seguintes fornecem definições e/ou contexto para termos encontrados nessa revelação (incluindo as reivindicações apensas).
[0086] Compreendendo. Esse termo é aberto. Como usado nas reivindicações apensas, esse termo não exclui estruturas ou etapas adicionais.
[0087] Configurado Para. Várias unidades ou vários componentes podem ser descritos ou reivindicados como configurados para efetuar uma tarefa ou tarefas. Nesses contextos, configurado para é usado para conotar estrutura indicando que as unidades/os componentes incluem estrutura que efetua essa tarefa ou essas tarefas durante a operação. Desse modo, a unidade/o componente pode ser referido como sendo configurado para efetuar a tarefa mesmo quando a unidade/o componente especificada/o não se encontra atualmente operacional (por exemplo, não se encontra ligado/ativo). A recitação de que uma unidade/um circuito/um componente é configurada/o para efetuar uma ou mais tarefas pretende expressamente não invocar 35 U.S.C. §112, sexto parágrafo, para essa unidade/esse componente.
[0088] Primeiro, segundo, etc. Como aqui usado, esses termos são usados como designações para nomes que os mesmos precedem e não implicam qualquer tipo de ordenação (por exemplo, espacial, temporal, lógica, etc.). Por exemplo, a referência a uma primeira célula solar não implica necessariamente que essa célula solar é a primeira célula solar em uma sequência; em vez disso, o termo primeira é usado para diferenciar essa célula solar de outra célula solar (por exemplo, uma segunda célula solar).
Petição 870190046922, de 20/05/2019, pág. 24/557
15/326 [0089] Acoplado. A seguinte descrição se refere a elementos ou nós ou membros sendo acoplados uns nos outros. Como aqui usado, salvo indicação expressa em contrário, acoplado significa que um elemento/nó/membro se encontra direta ou indiretamente unido a (ou comunica direta ou indiretamente com) outro elemento/nó/ membro, e não necessariamente de modo mecânico.
[0090] Além disso, certa terminologia pode igualmente ser usada na seguinte descrição para efeitos somente de referência, e por isso não pretende ser limitativa. Por exemplo, termos como superior, inferior, por cima e por baixo se referem a direções nos desenhos aos quais é feita referência. Termos como frente, trás, posterior, lado, exterior e interior descrevem a orientação e/ou localização de porções do componente em um quadro de referência consistente, mas arbitrário que é tomado claro mediante referência ao texto e aos desenhos associados descrevendo o componente em discussão. Essa terminologia pode incluir as palavras especificamente mencionadas acima, derivados das mesmas e palavras de sentido similar.
[0091] Inibir. Como aqui usado, inibir é usado para descrever um efeito de redução ou minimização. Quando é descrito um componente ou uma funcionalidade como inibindo uma ação, um movimento ou uma condição, o mesmo pode impedir completamente o resultado ou a conclusão ou o estado futuro. Adicionalmente, inibir pode igualmente se referir a uma redução ou diminuição da conclusão, do desempenho e/ou do efeito que poderíam de outro modo ocorrer. Conformemente, quando um componente, um elemento ou um membro é referido como inibindo um resultado ou estado, não necessita de impedir ou eliminar completamente o resultado ou estado.
[0092] As modalidades aqui descritas podem ser direcionadas para processamento e estruturas de semicondutores na extremidade frontal de linha (FEOL - Front-End-Of-Line). FEOL corresponde à primeira
Petição 870190046922, de 20/05/2019, pág. 25/557
16/326 porção de fabricação de circuitos integrados (Cl) onde os dispositivos individuais (por exemplo, transistores, condensadores, resistores, etc.) são padronizados na camada ou substrato semicondutor. FEOL geralmente abrange tudo até (mas não incluindo) a deposição de camadas de interconexão de metal. Após a última deposição FEOL, o resultado é tipicamente um wafer com transistores isolados (por exemplo, sem quaisquer fios).
[0093] As modalidades aqui descritas podem ser direcionadas para processamento e estruturas de semicondutores na extremidade traseira de linha (BEOL). BEOL corresponde à segunda porção de fabricação de Cl onde os dispositivos individuais (por exemplo, transistores, condensadores, resistores, etc.) são interconectados com cablagem no wafer, por exemplo, a camada ou as camadas de metalização. BEOL inclui contatos, camadas (dielétricas) isolantes, níveis de metal e locais de união para conexões de ch/p-pacote. Na parte BEOL da fabricação, são formados contatos de andar (revestimentos), fios de interconexão, vias e estruturas dielétricas. Para processos Cl modernos, podem ser adicionadas mais de 10 camadas de metal na BEOL. As modalidades descritas abaixo podem ser aplicáveis a processamento e estruturas FEOL, processamento e estruturas BEOL ou processamento e estruturas tanto FEOL como BEOL. Em particular, embora possa ser ilustrado um esquema de processamento exemplificativo usando um cenário de processamento FEOL, essas abordagens podem igualmente ser aplicáveis a processamento BEOL. Igualmente, embora possa ser ilustrado um esquema de processamento exemplificativo usando um cenário de processamento BEOL, essas abordagens podem igualmente ser aplicáveis a processamento FEOL.
[0094] Esquemas de processamento e padronização de divisão de afastamento podem ser implementados para permitir modalidades aqui descritas ou podem ser incluídos como parte de modalidades aqui
Petição 870190046922, de 20/05/2019, pág. 26/557
17/326 descritas. A padronização de divisão de afastamento tipicamente se refere a redução a metade do afastamento, divisão em quatro do afastamento, etc. Esquemas de divisão de afastamento podem ser aplicáveis a processamento FEOL, processamento BEOL ou processamento tanto FEOL (dispositivo) como BEOL (metalização). De acordo com uma ou mais modalidades aqui descritas, é primeiro implementada litografia óptica para imprimir linhas unidirecionais (por exemplo, quer estritamente unidirecionais quer predominantemente unidirecionais) em um afastamento predefinido. O processamento de divisão de afastamento é depois implementado como uma técnica para aumentar a densidade da linha.
[0095] Em uma modalidade, o termo estrutura de grade para linhas de metal, linhas ILD ou linhas de máscara dura é aqui usado para se referir a uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser formado primeiro, mas o afastamento pode ser reduzido para metade mediante o uso de padronização de máscara de espaçador, como é conhecido na técnica. Mais ainda, o afastamento original pode ser dividido em quatro por uma segunda ronda de padronização de máscara de espaçador. Conformemente, os padrões tipo grade aqui descritos podem ter linhas de metal, linhas ILD ou linhas de máscara dura espaçadas em um afastamento substancialmente consistente e tendo uma largura substancialmente consistente. Por exemplo, em algumas modalidades, a variação de afastamento se encontraria em dez por cento e a variação de largura se encontraria em dez por cento, e em algumas modalidades, a variação de afastamento se encontraria em cinco por cento e a variação de largura se encontraria em cinco por cento. O padrão pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão
Petição 870190046922, de 20/05/2019, pág. 27/557
18/326 em quatro do afastamento, ou outra divisão de afastamento. Em uma modalidade, a grade não corresponde necessariamente a afastamento único.
[0096] Em um primeiro exemplo, pode ser implementada a redução a metade do afastamento para duplicar a densidade de linha de uma estrutura de grade fabricada. A Figura 1A ilustra uma vista em corte transversal de uma estrutura inicial após a deposição, mas antes da padronização, de uma camada de material de máscara dura formada em uma camada dielétrica entre camadas (ILD). A Figura 1B ilustra uma vista em corte transversal da estrutura da Figura 1A após a padronização da camada de máscara dura por redução a metade do afastamento.
[0097] Em relação à Figura 1A, uma estrutura inicial 100 tem uma camada de material de máscara dura 104 formada em uma camada dielétrica entre camadas (ILD) 102. Uma máscara padronizada 106 é disposta por cima da camada de material de máscara dura 104. A máscara padronizada 106 tem espaçadores 108 formados ao longo de paredes laterais de membros (linhas) da mesma, na camada de material de máscara dura 104.
[0098] Em relação à Figura 1B, a camada de material de máscara dura 104 é padronizada em uma abordagem de redução a metade do afastamento. Especificamente, a máscara padronizada 106 é removida primeiro. O padrão resultante dos espaçadores 108 duplicou a densidade ou reduziu a metade o afastamento ou os membros da máscara 106. O padrão dos espaçadores 108 é transferido, por exemplo, por um processo de gravação, para a camada de material de máscara dura 104 para formar uma máscara dura padronizada 110, como é retratado na Figura 1B. Em uma tal modalidade, a máscara dura padronizada 110 é formada com um padrão de grade tendo linhas unidirecionais. O padrão de grade da máscara dura padronizada 110
Petição 870190046922, de 20/05/2019, pág. 28/557
19/326 pode ser uma estrutura de grade de afastamento apertado. Por exemplo, o afastamento apertado pode não ser alcançável diretamente através de técnicas de litografia convencionais. Ainda mais, embora não mostrado, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscara de espaçador. Conformemente, o padrão tipo grade da máscara dura padronizada 110 da Figura 1B pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante umas em relação às outras. As dimensões alcançadas podem ser muito menores que a dimensão crítica da técnica Htográfica empregue.
[0099] Conformemente, para o esquema de integração na extremidade frontal de linha (FEOL) ou na extremidade traseira de linha (BEOL), ou ambas, pode ser padronizada uma película de cobertura usando processamento de litografia e gravação que pode envolver, por exemplo, padronização de duplos baseada em espaçador (SBDP Spacer-Based-Double-Patterning) ou redução a metade do afastamento, ou padronização de quádruplos baseada em espaçador (SBQP - Spacer-Based-Quadruple-Patterning) ou divisão em quatro do afastamento. Deve ser reconhecido que podem igualmente ser implementadas outras abordagens de divisão de espaçamento.
[0100] Por exemplo, a Figura 2 ilustra vistas em corte transversal em um esquema de processamento de padronização de sêxtuplos baseada em espaçador (SBSP - Spacer-Based-Sextuple-Patterning) que envolve divisão de afastamento por um fator de seis. Em relação à Figura 2, na operação (a), é mostrado um padrão sacrificial X após processamento de litografia, redução e gravação. Na operação (b), são mostrados espaçadores A e B após deposição e gravação. Na operação (c), é mostrado o padrão de operação (b) após remoção do espaçador A. Na operação (d), é mostrado o padrão de operação (c) após deposição do espaçador C. Na operação (e), é mostrado o padrão de
Petição 870190046922, de 20/05/2019, pág. 29/557
20/326 operação (d) após gravação do espaçador C. Na operação (f), é alcançado um padrão de afastamento/6 após remoção do padrão sacrificial X e remoção do espaçador B.
[0101] Em outro exemplo, a Figura 3 ilustra vistas em corte transversal em um esquema de processamento de padronização de nônuplos baseada em espaçador (SBNP) que envolve divisão de afastamento por um fator de nove. Em relação à Figura 3, na operação (a), é mostrado um padrão sacrificial X após processamento de litografia, redução e gravação. Na operação (b), são mostrados espaçadores A e B após deposição e gravação. Na operação (c), é mostrado o padrão de operação (b) após remoção do espaçador A. Na operação (d), é mostrado o padrão de operação (c) após deposição e gravação dos espaçadores C e D. Na operação (e), é alcançado um padrão de afastamento/9 após remoção do espaçador C.
[0102] Em qualquer caso, em uma modalidade, pode ser fabricado um layout em grelha por litografia convencional ou do estado da técnica, como por exemplo litografia de imersão de 193 nm (193i). A divisão de afastamento pode ser implementada para aumentar a densidade das linhas no layout em grelha em um fator de η. A formação de layout em grelha com litografia 1931 mais divisão de afastamento em um fator de n pode ser designada como 193I + P/n Divisão de Afastamento. Em uma tal modalidade, o dimensionamento de imersão de 193 nm pode ser estendido por muitas gerações com divisão de afastamento rentável.
[0103] Na fabricação de dispositivos de circuito integrado, os transistores de múltiplas portas, como por exemplo transistores de três portas, se tornaram mais predominantes, uma vez que as dimensões do dispositivo continuam a reduzir. Em processos convencionais, os transistores de três portas são geralmente fabricados em substratos de silício a granel ou substratos de silício sobre isolador. Em algumas instâncias, são preferidos substratos de silício a granel devido ao seu
Petição 870190046922, de 20/05/2019, pág. 30/557
21/326 menor custo e compatibilidade com a infraestrutura de substrato de silício a granel de alta produção existente.
[0104] Todavia, o dimensionamento de transistores de múltiplas portas teve consequências. Uma vez que as dimensões desses blocos de construção fundamentais de conjuntos de circuitos microeletrônicos são reduzidas e uma vez que o mero número de blocos de construção fundamentais fabricados em uma dada região é aumentado, os constrangimentos nos processos de semicondutor usados para fabricar esses blocos de construção se tornaram esmagadores.
[0105] Em uma modalidade, é implementada automontagem dirigida (DSA - Directed Self-Assembly) para diferenciação de máscaras duras (por exemplo, formando máscaras duras com diferentes propriedades de gravação). Em algumas modalidades, máscaras duras diferenciadas podem igualmente ser referidas como máscaras duras coloridas, em que máscaras duras tendo a mesma cor têm as mesmas ou similares seletividades de gravação, e em que máscaras duras tendo diferentes cores têm diferentes seletividades de gravação. Deve ser notado que, na prática real, o termo cor não se refere à cor real do material de máscara dura. A diferenciação (ou coloração) de máscaras duras pode ser usada para padronização ou remoção de forma seletiva de aletas semicondutoras entre uma pluralidade de aletas semicondutoras em grelha. Uma ou mais modalidades aqui descritas são direcionadas para processos e estruturas com base em, e resultantes de, abordagens de padronização alinhada de afastamento dividido em quatro (ou outro) para retificação de erro de colocação de beiras (EPE - Edge Placement Error). Uma ou mais modalidades podem ser descritas como uma abordagem de máscaras duras alternadas diferenciadas ou coloridas para padronização de aleta semicondutora. As modalidades podem incluir um ou mais de DSA, padronização de material semicondutor, divisão de afastamento, como por exemplo
Petição 870190046922, de 20/05/2019, pág. 31/557
22/326 divisão em quatro do afastamento, seletividade de máscara dura diferenciada e autoalinhamento para padronização de aletas. Uma ou mais modalidades são particularmente adequadas para fabricação de dispositivos semicondutores não planos.
[0106] De acordo com uma modalidade da presente revelação, a duplicação de erro de colocação de beiras permitido e a duplicação do tamanho de corte para corte de pequenos membros no afastamento apertado são implementadas para padronização de aletas muito finas. Em uma modalidade, todos os membros (por exemplo, linhas de aleta) são transferidos para um substrato semicondutor com uma única população de variação de dimensão crítica (CD - Critical Dimension). Essa abordagem é em oposição às abordagens do estado da técnica que se baseiam na divisão em quatro do afastamento baseada em espaçador que tipicamente tem três populações distintas de larguras de linha (por exemplo, de base ou mandril, complementares e dimensões de espaçador).
[0107] Para contextualizar, pode ser desejável usar silício a granel para aletas ou dispositivos semicondutores baseados em três portas. Em uma modalidade, é implementada a automontagem dirigida (DSA) para realizar a divisão de afastamento e a coloração de dois em dois membros em um padrão desejado. Em uma tal modalidade, a abordagem de padronização é particularmente aplicável na padronização de aletas de silício em um fluxo de padronização de transição de três portas. Em uma modalidade, as vantagens de implementar abordagens aqui descritas podem incluir uma ou mais de: (1) permissão de uma única população de larguras de membros, (2) duplicação dos requisitos de erro de colocação de beiras para corte de membros, (3) duplicação de dimensões da lacuna ou abertura requeridas para cortar um único membro (por exemplo, moderar as restrições no tamanho da abertura) ou (4) redução do custo do processo
Petição 870190046922, de 20/05/2019, pág. 32/557
23/326 de padronização. Os artefatos estruturais resultantes do processo incluem, em uma modalidade, uma única população de dimensões críticas e nas transições de um afastamento para outro e/ou de uma grelha para outra nos anéis de proteção circundando a pastilha dos chips. As modalidades podem permitir o corte de linhas de afastamento apertado sem dimensionar os requisitos de erro de colocação de beiras. [0108] Em um esquema de processamento exemplificativo, as Figuras 4A a 4N ilustram vistas em corte transversal de várias operações em um método de fabricação de dispositivos semicondutores não planos, de acordo com uma modalidade da presente revelação. [0109] A Figura 4A ilustra um substrato semicondutor a granel 402 tendo uma primeira máscara dura padronizada 404 aí formada. Em uma modalidade, o substrato semicondutor a granel 402 é um único substrato de silício cristalino a granel tendo aletas 402 aí gravadas. Em uma modalidade, o substrato semicondutor a granel 402 não é dopado ou é ligeiramente dopado nesse estágio. Por exemplo, em uma modalidade particular, o substrato semicondutor a granel 402 tem uma concentração de menos de aproximadamente 1E17 átomos/cm3 de átomos de impureza dopantes de boro.
[0110] Em uma modalidade, a primeira máscara dura padronizada 404 inclui membros tendo um afastamento 406. Em uma tal modalidade, a primeira máscara dura padronizada 404 representa metade do número possível de aletas por fim formadas no substrato 402. Ou seja, o afastamento 406 é eficazmente moderado para duplicar o afastamento do padrão final de aletas formadas. Em uma modalidade, a primeira máscara dura 404 é padronizada diretamente usando um processo litográfico. Todavia, em outras modalidades, a divisão de afastamento é aplicada, por exemplo, redução a metade do afastamento, e é usada para fornecer máscara dura padronizada 404 com afastamento 406. Deve ser reconhecido que, em uma modalidade, o primeiro padrão-guia
Petição 870190046922, de 20/05/2019, pág. 33/557
24/326 pode ser formado usando padronização convencional (litografia/gravação), somente litografia, padronização de duplos baseada em espaçador ou outros métodos de divisão de afastamento. Em uma modalidade, o padrão-guia é separado do padrão DSA através do uso de duas ou mais máscaras duras, de modo que sejam formadas as CDs desde uma única população (por exemplo, uma gravação)). [0111] A Figura 4B ilustra a estrutura da Figura 4A após a formação de uma segunda camada de máscara dura 408 entre a primeira máscara dura padronizada 404. Em uma modalidade, a segunda camada de máscara dura 408 é formada formando uma camada de máscara dura de cobertura sobre o substrato 402 e a primeira máscara dura padronizada 404 e depois planarizando a camada de máscara dura de cobertura para formar a segunda camada de máscara dura 408, por exemplo, por planarização química-mecânica (CMP - Chemical Mechanical Planarization). Em outra modalidade, as técnicas ALD ou CVD seguirão o contorno da superfície do wafer e, uma vez que são usados cortes de aleta como um exemplo, o wafer é substancialmente plano nesse momento do processo.
[0112] Em uma modalidade, a segunda camada de máscara dura 408 tem uma característica de gravação diferente de uma característica de gravação da primeira máscara dura padronizada 404.
Em uma modalidade, uma ou ambas a segunda camada de máscara dura 408 ou a primeira máscara dura padronizada 404 correspondem a uma camada de um nitreto de silício ou uma camada de um óxido de silício, ou ambas, ou uma combinação das mesmas. Outros materiais adequados podem incluir materiais à base de carbono, como por exemplo carboneto de silício. Em outra modalidade, um material de máscara dura inclui uma espécie de metal. Por exemplo, uma máscara dura ou outro material sobrejacente pode incluir uma camada de um nitreto de titânio ou outro metal. Em uma ou mais dessas camadas,
Petição 870190046922, de 20/05/2019, pág. 34/557
25/326 podem ser incluídas quantidades potencialmente menores de outros materiais, como por exemplo oxigênio. As camadas de máscara dura podem ser formadas por CVD, PVD ou por outros métodos de deposição.
[0113] A Figura 40 ilustra a estrutura da Figura 4B após a aplicação de uma camada de material seletivo de escova 410. O material de escova seletivo 410 é um material seletivo que pode ser aplicado, em algumas modalidades, por uma escova. Deve ser notado que material de escova é muitas vezes usado como um termo da técnica em processos DSA e não implica que o material seletivo 410 seja usado como uma escova. Em uma modalidade, a camada de material seletivo de escova 410 adere somente à primeira máscara dura padronizada 404, como é retratado na Figura 4C. Em outra modalidade, todavia, o material de escova seletivo é aplicado na segunda camada de máscara dura 408 em alternativa. Ainda em outra modalidade, a camada de material seletivo de escova 410 adere somente à primeira máscara dura padronizada 404, e um segundo material de escova seletivo diferente é formado na segunda camada de máscara dura 408.
[0114] Em uma modalidade, a camada de material seletivo de escova 410 inclui uma espécie molecular incluindo poliestireno com um grupo principal selecionado desde o grupo consistindo em ~SH, -PO3H2, -CO2H, -NRH, -NRR’ e -Si(OR)3. Em outra modalidade, a camada de material seletivo de escova 410 inclui uma espécie molecular incluindo polimetacrilato com um grupo principal selecionado desde 0 grupo consistindo em -SH, -PO3H2, -CO2H, -NRH, -NRR’ e -Si(OR)s. Em uma modalidade, a camada de material seletivo de escova 410 é atraída para um constituinte de um copolímero em bloco DSA (por exemplo, poliestireno ou polimetilmetacrilato). A camada de material seletivo 410 pode incluir outros materiais adequados em outras modalidades.
[0115] A Figura 4D ilustra a estrutura da Figura 4G após aplicação
Petição 870190046922, de 20/05/2019, pág. 35/557
26/326 de um processo de montagem de polímero e copolímero 414/416(A/B) em bloco por automontagem dirigida (DSA). Em uma modalidade, um copolímero em bloco DSA é revestido na superfície e recozido para segregar o polímero em primeiros blocos de polímero 414 e segundos blocos de polímero 416 (identificados como 416A e 416B na Figura 4D). Em uma modalidade, os blocos de polímero 416 preferencialmente se fixam à camada de material seletivo de escova 410 durante o processo de recozimento. Os blocos de polímero 414 aderem à segunda camada de máscara dura 408. Em uma modalidade particular, todavia, o afastamento da montagem corresponde a metade do afastamento da primeira máscara dura padronizada 404. Nesse caso, as porções 416A dos blocos de polímero 416 aderem à camada de material seletivo de escova 410 na primeira máscara dura 404, enquanto porções 416B dos blocos de polímero 416 são formadas na segunda camada de máscara dura 408 entre blocos de polímero 414.
[0116] Em uma modalidade, a molécula de copolímero em bloco 414/416(A/B) é uma molécula polimérica formada por uma cadeia de monômetros covalentemente unidos. Em um copolímero em dibloco, existem dois tipos diferentes de monômeros, e esses diferentes tipos de monômeros são essencialmente incluídos em dois diferentes blocos ou sequências contíguas de monômeros. A molécula de copolímero em bloco ilustrada inclui um bloco de polímero 414 e um bloco de polímero 416(A/B). Em uma modalidade, o bloco de polímero 414 inclui predominantemente uma cadeia de monômeros covalentemente ligados A (por exemplo, A-A-A-A-A...), ao passo que o bloco de polímero 416(A/B) inclui predominantemente uma cadeia de monômeros covalentemente ligados B (por exemplo, B-B-B-B-B...). Os monômeros A e B podem representar qualquer um dos diferentes tipos de monômeros usados em copolimeros em bloco conhecidos na técnica. Como exemplo, o monômero A pode representar monômeros
Petição 870190046922, de 20/05/2019, pág. 36/557
27/326 para formar poliestireno e o monômero B pode representar monômeros para formar poli(metilmetacrilato) (PMMA), ou vice-versa, embora o escopo da revelação não seja tão limitado. Em outras modalidades, podem existir mais de dois blocos. Além do mais, em outras modalidades, cada um dos blocos pode incluir diferentes tipos de monômeros (por exemplo, cada bloco pode ele próprio ser um copolimero). Em uma modalidade, o bloco de polímero 414 e o bloco de polímero 416(A/B) são covalentemente unidos um ao outro. O bloco de polímero 414 e o bloco de polímero 416(A/B) podem ter um comprimento aproximadamente igual, ou um bloco pode ser significativamente mais longo que o outro.
[0117] Tipicamente, os blocos de copolímeros em bloco (por exemplo, o bloco de polímero 414 e o bloco de polímero 416(A/B)) podem, cada um deles, ter diferentes propriedades químicas. Como um exemplo, um dos blocos pode ser relativamente mais hidrofóbico (por exemplo, repelente de água) e o outro pode ser relativamente mais hidrofílico (atraente de água). Pelo menos conceptualmente, um dos blocos pode ser relativamente mais similar a óleo e o outro bloco pode ser relativamente mais similar a água. Essas diferenças nas propriedades químicas entre os diferentes blocos de polímeros, quer seja uma diferença hidrofílica-hidrofóbica quer não, podem causar a automontagem das moléculas de copolimero em bloco. Por exemplo, a automontagem pode se basear na separação de microfase dos blocos de polímero. Conceptualmente, isso pode ser similar à separação de fase de óleo e água que são geralmente imiscíveis. Similarmente, as diferenças na hidrofilicidade entre os blocos de polímero (por exemplo, um bloco é relativamente hidrofóbico e o outro bloco é relativamente hidrofílico) podem causar uma separação de microfase praticamente análoga onde os diferentes blocos de polímero tentam se separar uns dos outros devido à aversão química entre si.
Petição 870190046922, de 20/05/2019, pág. 37/557
28/326 [0118] Todavia, em uma modalidade, uma vez que os blocos de polímero são covalentemente unidos uns aos outros, os mesmos não conseguem se separar completamente em uma escala macroscópica. Em vez disso, os blocos de polímero de um dado tipo podem tender a se segregar ou conglomerar com blocos de polímero do mesmo tipo de outras moléculas em regiões ou fases extremamente pequenas (por exemplo, de tamanho em nanômetros). O tamanho e o formato particulares das regiões ou microfases dependem geralmente pelo menos em parte dos comprimentos relativos dos blocos de polímero. Em uma modalidade, como exemplo, em dois copolímeros em bloco, se os blocos tiverem aproximadamente o mesmo comprimento, é gerado um padrão tipo grelha de linhas de polímero 414 e linhas de polímero 416(A/B) alternadas.
[0119] Em uma modalidade, a grade de polímero 414/polímero 416(A/B) é primeiro aplicada como uma porção de camada de copolímero em bloco não montada que inclui um material de copolímero em bloco aplicado, por exemplo, por escova ou outro processo de revestimento. O aspecto não montado se refere a cenários onde, no momento da deposição, a fase do copolímero em bloco ainda não foi substancialmente separada e/ou o mesmo ainda não foi automontado para formar nanoestruturas. Nessa forma não montada, as moléculas de polímero em bloco são relativamente selecionadas de forma altamente aleatória, com os diferentes blocos de polímero relativamente orientados e localizados de forma altamente aleatória. A porção de camada de copolímero em bloco não montada pode ser aplicada em uma variedade de formas diferentes. Como exemplo, o copolímero em bloco pode ser dissolvido em um solvente e depois revestido por rotação sobre a superfície. Em alternativa, o copolímero em bloco não montado pode ser revestido por pulverização, revestido por mergulho, revestido por imersão ou então revestido ou aplicado sobre a superfície. Outras
Petição 870190046922, de 20/05/2019, pág. 38/557
29/326 formas de aplicação de copolimeros em bloco, bem como outras formas conhecidas na técnica para aplicação de revestimentos orgânicos similares, podem ser potencialmente usadas. Em seguida, a camada não montada pode formar uma porção de camada de copolimero em bloco montada, por exemplo, por separação de microfase e/ou automontagem da porção de camada de copolimero em bloco não montada. A separação de microfase e/ou automontagem ocorrem através de reorganização e/ou reposicionamento das moléculas de copolimero em bloco, e em particular de reorganização e/ou reposicionamento dos diferentes blocos de polímero das moléculas de copolimero em bloco.
[0120] Em uma tal modalidade, pode ser aplicado um tratamento de recozimento ao copolimero em bloco não montado de modo a iniciar, acelerar, aumentar a qualidade da, ou então provocar a, separação de microfase e/ou automontagem. Em algumas modalidades, o tratamento de recozimento pode incluir um tratamento que seja operável para aumentar uma temperatura do copolimero em bloco. Um exemplo desse tratamento é a cozedura da camada, o aquecimento da camada em um forno ou sob uma lâmpada térmica, a aplicação de radiação infravermelha na camada ou então a aplicação de calor na, ou o aumento da temperatura da, camada. O aumento desejado de temperatura será geralmente suficiente para acelerar significativamente a velocidade de separação de microfase e/ou automontagem do polímero em bloco sem danificar o copolimero em bloco ou quaisquer outros materiais ou estruturas importantes do substrato de circuito integrado. Comumente, o aquecimento pode variar entre cerca de 50°C e cerca de 300°C, ou entre cerca de 75°C e cerca de 250°C, mas não excedendo limites de degradação térmica do copolimero em bloco ou substrato de circuito integrado. O aquecimento ou recozimento pode ajudar a fornecer energia às moléculas de copolimero em bloco para as
Petição 870190046922, de 20/05/2019, pág. 39/557
30/326 tornar mais móveis/flexíveis de modo a aumentar a velocidade da separação de microfase e/ou melhorar a qualidade da separação de microfase. Essa separação de microfase ou reorganização/reposicionamento das moléculas de copolímero em bloco pode conduzir à automontagem para formar estruturas extremamente pequenas (por exemplo, escala nanométrica). A automontagem pode ocorrer sob a influência de energia da superfície, afinidades moleculares e outras forças relacionadas com a superfície e relacionadas com química.
[0121] Em qualquer caso, em algumas modalidades, a automontagem de copolímeros em bloco, quer baseada em diferenças hidrofóbicas-hidrofílicas quer não, pode ser usada para formar estruturas periódicas extremamente pequenas (por exemplo, estruturas ou linhas de escala nanométrica precisamente espaçadas). Em algumas modalidades, pode ser usada para formar linhas de escala nanométrica ou outras estruturas de escala nanométrica que possam, por fim, ser usadas para formar linhas de aleta semicondutora.
[0122] A Figura 4E ilustra a estrutura da Figura 4D após remoção de um dos blocos do copolímero em dibloco. Em uma modalidade, as porções de polímero 414 são removidas seletivamente através de um processo de gravação a úmido ou a seco para deixar porções 416(A/B). O afastamento das porções 416(A/B) remanescentes corresponde aproximadamente a metade do afastamento da primeira máscara dura padronizada 404.
[0123] A Figura 4F ilustra a estrutura da Figura 4E após transferência do padrão das porções de polímero remanescentes para o substrato semicondutor cristalino a granel subjacente. Em uma modalidade, o padrão da porção de polímero 416(A/B) remanescente, ou seja, o padrão da primeira máscara dura padronizada 404 como reduzido a metade, é gravado no substrato semicondutor a granel 402.
Petição 870190046922, de 20/05/2019, pág. 40/557
31/326
A padronização padroniza a segunda camada de máscara dura 408 para formar a segunda camada de máscara dura padronizada 424 correspondendo a porções de polímero 416B. A primeira máscara dura padronizada 404 corresponde às porções de polímero 416A. Em uma modalidade, múltiplas aletas 418 são formadas diretamente no substrato a granel 402 que se torna o substrato padronizado 420 e, desse modo, são formadas contínuas com o substrato a granel 402/420 em uma superfície aproximadamente plana 422.
[0124] A Figura 4G ilustra a estrutura da Figura 4F após remoção da camada de polímero remanescente e quaisquer camadas de escova. Em uma modalidade, a camada de polímero 416(A/B) remanescente e a camada de escova 410 são removidas para deixar a pluralidade de aletas alternadas 418 tendo aí a primeira máscara dura padronizada 404 e a segunda máscara dura padronizada 424 coloridas alternadas. Em uma modalidade, a camada de polímero 416(A/B) remanescente e a camada de escova 410 são removidas usando um processo de incineração e limpeza. O afastamento 426 resultante das aletas corresponde a metade do afastamento 406 da primeira máscara dura padronizada 404 original.
[0125] A Figura 4H ilustra a estrutura da Figura 4G após a formação de uma camada dielétrica entre camadas (ILD) 428 entre a pluralidade de aletas 418. Em uma modalidade, a camada ILD 428 é composta por dióxido de silício, como é usado em um processo de fabricação de isolamento de valas rasas. Todavia, podem ser usados em alternativa outros dielétricos, como por exemplo nitretos ou carbonetos. A camada ILD 428 pode ser depositada por uma deposição química de vapor (CVD) ou outro processo de deposição (por exemplo, ALD, PECVD, PVD, CVD assistida por HDP, CVD a baixa temperatura) e pode ser planarizada por uma técnica de polimento químico-mecânico (CMP Chemical Mechanical Polishing) para revelar as superfícies mais altas
Petição 870190046922, de 20/05/2019, pág. 41/557
32/326 das camadas de máscara dura 404 e 428.
[0126] A Figura 4! ilustra a estrutura da Figura 4H após formação e padronização de um material fotorresistente para formar uma máscara padronizada 430. Em uma modalidade, a máscara padronizada 430 tem uma abertura 432 aí formada. A abertura 432 expõe uma aleta alvo da pluralidade de aletas 418 tendo aí a primeira máscara dura padronizada 404 para remoção de aleta final. A abertura 432 tem uma dimensão de corte 436. Em uma modalidade, as restrições na dimensão de corte 436 são moderadas e podem até expor porções de aletas vizinhas tendo aí a segunda máscara dura padronizada 424. Em uma modalidade, a operação de padronização se prepara para remover membros indesejados usando coloração ou diferenciação de material de máscara dura para permitir que os tamanhos de corte correspondam ao dobro do afastamento 426 dos membros 418 (ou seja, para resultar no afastamento original 406). Em uma modalidade, o material de máscara dura permite diferenciação através de seletividade de gravação por plasma ou a úmido entre os dois materiais de máscara dura. Ademais, o erro de colocação de beiras (EPE) 434 corresponde a meio afastamento. Em comparação, em um processo de padronização normal, sem coloração, a dimensão de corte corresponde a 1X afastamento e o erro de colocação de beiras (EPE) corresponde a A de afastamento. Desse modo, em uma modalidade, o processo aqui descrito duplica o montante de erro de colocação de beiras e duplica o tamanho das lacunas ou aberturas requerido para cortar uma única funcionalidade.
[0127] Em uma modalidade, a máscara padronizada 430 é composta por uma camada fotorresistente, como é conhecido na técnica, e pode ser padronizada por processos convencionais de litografia e desenvolvimento. Em uma modalidade particular, as porções da camada fotorresistente exposta à fonte de luz são removidas após o
Petição 870190046922, de 20/05/2019, pág. 42/557
33/326 desenvolvimento da camada fotorresistente. Desse modo, a camada fotorresistente padronizada é composta por um material fotorresistente positivo. Em uma modalidade específica, a camada fotorresistente é composta por um material fotorresistente positivo, como por exemplo, mas não se limitando a, uma resistência de 248 nm, uma resistência de 193 nm, uma resistência de 157 nm, uma resistência ultravioleta extrema (EUV - Extreme Ultraviolet), uma resistência de feixe de elétrons, uma camada de impressão ou uma matriz de resina fenólica com um sensibilizador de diazonaftoquinona. Em outra modalidade particular, as porções da camada fotorresistente exposta à fonte de luz são retidas após o desenvolvimento da camada fotorresistente. Desse modo, a camada fotorresistente é composta por um material fotorresistente negativo. Em uma modalidade específica, a camada fotorresistente é composta por um material fotorresistente negativo, como por exemplo, mas sem limitação, consistindo em poli-cis-isopreno ou poli-vinil-cinamato. Em uma modalidade, são efetuadas operações litográficas usando litografia de imersão de 193 nm (193i), litografia EUV e/ou por escrita direta de feixe de elétrons (EBDW - Electron-Beam Direct Write), ou afins. Pode ser usada uma resistência de tom positivo ou de tom negativo. Em uma modalidade, a máscara padronizada 430 é uma máscara de três camadas composta por uma porção de mascaramento topográfico, uma camada de revestimento antirrefletivo (ARC - Anti-Reflect!ve Coating) e uma camada fotorresistente. Em uma modalidade particular assim, a porção de mascaramento topográfico é uma camada de máscara dura de carbono (CHM - Carbon Hardmask) e a camada de revestimento antirrefletivo é uma camada ARC contendo silício. Em uma tal modalidade, é usado um material de vidro centrífugo com cromóforos adicionados para ajudar na supressão da refletividade. Quimicamente, correspondem a polímeros contendo silício-carbono (siloxanos). Quando recozidos, formam uma mistura de polímeros de
Petição 870190046922, de 20/05/2019, pág. 43/557
34/326 dióxido de silício e carbono.
[0128] A Figura 4J ilustra a estrutura da Figura 4! após gravação da aleta selecionada da pluralidade de aletas 418 e subsequente remoção da máscara padronizada 430. Em uma modalidade, esse processo é referido como um corte de aleta ou operação de seleção de funcionalidade do processo. Em uma modalidade, uma da pluralidade de aletas 418 é removida na localização 438 para formar uma pluralidade padronizada de aletas 418’ tendo um primeiro padrão interrompido. Em uma tal modalidade, a primeira máscara dura padronizada 404 exposta é primeiro removida usando um processo de gravação seletivo para qualquer segunda máscara dura padronizada 424 exposta e seletivo para a camada ILD 428. Em outra modalidade, é usada uma abordagem de manutenção de aleta, onde os membros são selecionados usando o tom oposto de fotorresistência e protegidos durante o processo de gravação enquanto as aletas em segundo plano ou desprotegidas são removidas. Se trata da polaridade inversa do processo litográfico (por exemplo, imagens de tom negativo vs. positivo). Deve ser reconhecido que pode ser usado qualquer processo nessa operação. A aleta exposta é depois removida na localização 438 com um processo de gravação seletivo para segunda máscara dura padronizada 424 exposta e seletivo para camada ILD 428. Em uma primeira modalidade, a aleta é removida na localização 438 para um nível 440 deixando uma porção protuberante 446 acima da superfície plana 422. Em uma segunda modalidade, a aleta é removida na localização 438 para um nível 442 aproximadamente coplanar com a superfície plana 422. Em uma terceira modalidade, a aleta é removida na localização 438 para um nível 444 deixando uma reentrância 448 por baixo da superfície plana 422.
[0129] A Figura 4K ilustra a estrutura da Figura 4J após formação e padronização de um material fotorresistente para formar uma máscara
Petição 870190046922, de 20/05/2019, pág. 44/557
35/326 padronizada 450. Em uma modalidade, a máscara padronizada 450 tem uma abertura 452 aí formada. A abertura 452 expõe uma segunda aleta alvo da pluralidade de aletas 418’ tendo aí a segunda máscara dura padronizada 424 para remoção de aleta final. Em uma modalidade, a operação de padronização se prepara para remover membros indesejados usando coloração ou diferenciação de material de máscara dura para permitir que os tamanhos de corte correspondam ao dobro do afastamento 426 dos membros 418’. Como descrito em associação com a Figura 4I, o processo aqui descrito duplica o montante de erro de colocação de beiras e duplica o tamanho das lacunas ou aberturas requerido para cortar um único membro. Em uma modalidade, a máscara padronizada 450 é composta por um material como descrito em associação com a Figura 4I.
[0130] A Figura 4L ilustra a estrutura da Figura 4K após gravação da segunda aleta selecionada da pluralidade de aletas 418’. Em uma modalidade, a segunda da pluralidade de aletas 418’ é removida na localização 454 para formar uma pluralidade padronizada de aletas 418” tendo um segundo padrão interrompido. Em uma tal modalidade, a segunda máscara dura padronizada 424 exposta é primeiro removida usando um processo de gravação seletivo para qualquer primeira máscara dura padronizada 104 exposta e seletivo para a camada ILD 428. A aleta exposta é depois removida na localização 454 com um processo de gravação seletivo para a primeira máscara dura padronizada 404 exposta e seletivo para a camada ILD 428. Em uma primeira modalidade, a aleta é removida na localização 454 para um nível 456 deixando uma porção protuberante por cima da superfície plana 422 em uma altura por cima da superfície 440 da porção protuberante 446. Em uma segunda modalidade, a aleta é removida na localização 454 para um nível 458 deixando uma porção protuberante 464 por cima da superfície plana 422 e aproximadamente à mesma
Petição 870190046922, de 20/05/2019, pág. 45/557
36/326 altura da superfície 440 da porção protuberante 446. Em uma terceira modalidade, a aleta é removida na localização 454 para um nível 460 aproximadamente coplanar com a superfície plana 422. Em uma quarta modalidade, a aleta é removida na localização 454 para um nível 462 deixando uma reentrância 466 por baixo da superfície plana 422.
[0131] A Figura 4M ilustra a estrutura da Figura 4L após remoção da máscara padronizada 450 e formação de uma camada dielétrica entre camadas (ILD) 468 sobre a pluralidade de aletas 418” e nas localizações 438 e 454 das aletas removidas. Em uma modalidade, a camada ILD 468 é composta por dióxido de silício, como é usado em um processo de fabricação de isolamento de valas rasas. Todavia, podem ser usados em alternativa outros dielétricos, como por exemplo nitretos ou carbonetos. A camada ILD 468 pode ser depositada por uma deposição química de vapor (CVD) ou outro processo de deposição (por exemplo, ALD, PECVD, PVD, CVD assistida por HDP, CVD a baixa temperatura). Os materiais centrífugos são outra opção comum para essas películas. Muitos materiais dielétricos de baixo k podem ser centrifugados no wafer e curados. Esses são comumente usados na indústria.
[0132] A Figura 4N ilustra a estrutura da Figura 4M após planarização da camada ILD 468 e remoção da primeira e segunda máscaras duras padronizadas 404 e 424. Em uma modalidade, é usada uma técnica de polimento químico-mecânico (CMP) para remover a primeira máscara dura padronizada 404 e a segunda máscara dura 424, para colocar em reentrância as camadas ILD 428 e 468 nas camadas ILD planarizadas 428’ e 468’ formadas, respectivamente, e para expor as superfícies da pluralidade de aletas 418”. Em uma modalidade, a camada ILD planarizada 428’ é composta substancialmente pelo mesmo material da camada ILD planarizada 468’. Em outra modalidade, a camada ILD planarizada 428’ é composta por um material diferente da
Petição 870190046922, de 20/05/2019, pág. 46/557
37/326 camada ILD planahzada 468’. Em qualquer caso, em uma modalidade, é formada uma junção entre a camada ILD 468’ e a camada ILD 428’, por exemplo, na localização 438 ou 454. Deve ser reconhecido que, em uma modalidade, a superfície exposta da pluralidade de aletas 418” pode ser usada para formar dispositivos semicondutores planos.
[0133] De acordo com outra modalidade, a Figura 5 ilustra a estrutura da Figura 4N após a exposição de porções superiores da pluralidade de aletas 418”. Em relação à Figura 5, a camada ILD 468’ e a camada ILD 428’ são colocadas em reentrância para expor porções protuberantes 472 de aletas 418’ e para fornecer a camada ILD 468” colocada em reentrância e a camada ILD 428” colocada em reentrância a uma altura de reentrância 476. A altura de reentrância 476 define uma localização entre porções de aleta superiores 472 e porções de aleta inferiores 474. A colocação em reentrância da camada ILD 468’ e da camada ILD 428’ pode ser efetuada por um processo de gravação por plasma, a vapor ou a úmido. Em uma modalidade, é usado um processo de gravação a seco seletivo para aletas de silício 418’, o processo de gravação a seco baseado em um plasma gerado desde gases como, por exemplo, mas não se limitando a, NFs, CHF3, C4F8, HBr e O2 com pressões típicas na faixa de 30 a 100 mTorr e uma polarização do plasma de 50 a 1000 Watts.
[0134] Em uma modalidade exemplificativa, em relação novamente às Figuras 4J, 4L e 5, uma estrutura semicondutora inclui uma pluralidade de aletas semicondutoras 418 se projetando desde uma superfície substancialmente plana 422 de um substrato semicondutor 420. A pluralidade de aletas semicondutoras 418” tem um padrão de grade interrompido por uma primeira localização 438 tendo uma primeira porção de aleta 446 tendo uma primeira altura. O padrão de grade das aletas semicondutoras é ainda interrompido por uma segunda localização 454 tendo uma segunda porção de aleta 464 tendo uma
Petição 870190046922, de 20/05/2019, pág. 47/557
38/326 segunda altura. Em uma modalidade, a segunda altura da segunda porção de aleta 454 é diferente da primeira altura da primeira porção de aleta 446. Em outra modalidade, a segunda altura da segunda porção de aleta 454 é igual à primeira altura da primeira porção de aleta 446. Em uma modalidade, o padrão de grade tem um afastamento constante 126 quando visto sem as interrupções.
[0135] Em uma modalidade exemplificative, em relação novamente às Figuras 4J, 4L e 5, uma estrutura semicondutora inclui uma pluralidade de aletas semicondutoras 418 se projetando desde uma superfície substancialmente plana 422 de um substrato semicondutor 420. A pluralidade de aletas semicondutoras 418” tem um padrão de grade interrompido por uma primeira localização 438 tendo uma primeira reentrância. Em uma modalidade, o padrão de grade das aletas semicondutoras é ainda interrompido por uma segunda localização 454 tendo uma segunda reentrância ou uma porção de aleta. Em uma modalidade, o padrão de grade tem um afastamento constante 426 quando visto sem as interrupções. Em uma modalidade, uma camada de isolamento de valas 468” é disposta na, e sobre a, reentrância.
[0136] Deve ser reconhecido que a abordagem acima pode ser aplicada na fabricação de outras geometries semicondutoras para além das aletas semicondutoras. Por exemplo, em uma modalidade, a abordagem acima é implementada para fabricar nanofios semicondutores ou nanofitas semicondutoras. Em uma modalidade, o termo corpo semicondutor ou corpos semicondutores se refere geralmente a geometries, como por exemplo aletas, nanofios e nanofitas.
[0137] Deve ser reconhecido que as estruturas resultantes dos esquemas de processamento exemplificativos acima, por exemplo, estruturas das Figuras 4N e 5, podem ser usadas de uma forma igual ou similar para operações de processamento subsequentes para
Petição 870190046922, de 20/05/2019, pág. 48/557
39/326 concluir a fabricação de dispositivos, como por exemplo fabricação de dispositivos PMOS e NMOS. Como um exemplo de um dispositivo concluído, as Figuras 6A e 6B ilustram uma vista em corte transversal e uma vista em planta (considerada ao longo do eixo a-a’ da vista em corte transversal), respectivamente, de um dispositivo semicondutor não plano, de acordo com uma modalidade da presente revelação.
[0138] Em relação à Figura 6A, uma estrutura ou dispositivo semicondutor 600 inclui uma região ativa não plana (por exemplo, uma estrutura de aleta incluindo uma porção de aleta protuberante 604 e região de subaleta 605) formada desde o substrato 602 e dentro da região de isolamento 606. Uma linha de porta 608 é disposta sobre as porções protuberantes 604 da região ativa não plana, bem como sobre uma porção da região de isolamento 606. Como mostrado, a linha de porta 608 inclui um eletrodo de porta 650 e uma camada dielétrica de porta 652. Em uma modalidade, a linha de porta 608 pode igualmente incluir uma camada de capa dielétrica 654. Um contato de porta 614 e uma via de contato de porta sobrejacente 616 são igualmente vistos dessa perspectiva, junto com uma interconexão de metal sobrejacente 660, todos dispostos em pilhas ou camadas dielétricas entre camadas 670. Igualmente visto da perspectiva da Figura 6A, o contato de porta 614 é, em uma modalidade, disposto sobre uma região de isolamento 606, mas não sobre as regiões ativas não planas.
[0139] Como é igualmente retratado na Figura 6A, em uma modalidade, um artefato de colocação em reentrância de seleção de aletas permanece na estrutura final. Por exemplo, na modalidade mostrada, permanece uma porção protuberante 699 residual. Em outras modalidades, pode permanecer uma reentrância, como descrito acima. [0140] Como é igualmente retratado na Figura 6A, em uma modalidade, existe uma interface 680 entre uma porção de aleta protuberante 604 e região de subaleta 605. A interface 680 pode ser
Petição 870190046922, de 20/05/2019, pág. 49/557
40/326 uma região de transição entre uma região de subaleta dopada 605 e uma porção de aleta superior ligeiramente dopada ou não dopada 604. Em uma tal modalidade, cada aleta tem aproximadamente 10 nanômetros de largura ou menos, e são fornecidos dopantes de subaleta desde uma camada de dopagem de estado sólido adjacente na localização de subaleta. Em uma modalidade particular assim, cada aleta tem uma largura inferior a 10 nanômetros.
[0141] Em relação à Figura 6B, a linha de porta 608 é mostrada como disposta sobre as porções de aleta protuberantes 604. As regiões de fonte e dreno 604A e 604B das porções de aleta protuberantes 604 podem ser vistas dessa perspectiva. Em uma modalidade, as regiões de fonte e dreno 604A e 604B são porções dopadas de material original das porções de aleta protuberantes 604. Em outra modalidade, o material das porções de aleta protuberantes 604 é removido e substituído por outro material semicondutor, por exemplo, por deposição epitaxial. Em qualquer caso, as regiões de fonte e dreno 604A e 604B podem se estender por baixo da altura da camada dielétrica 606, ou seja, até à região de subaleta 605. De acordo com uma modalidade da presente revelação, as regiões de subaleta mais fortemente dopadas, ou seja, as porções dopadas das aletas por baixo da interface 680, inibem o vazamento de fonte para dreno por essa porção das aletas semicondutores a granel.
[0142] Em uma modalidade, a estrutura ou dispositivo semicondutor 600 é um dispositivo não plano como por exemplo, mas não se limitando a, um dispositivo Fin-FET ou de três portas. Em uma tal modalidade, uma região de canal semicondutora correspondente é composta por, ou é formada em, um corpo tridimensional. Em uma tal modalidade, as pilhas de eletrodos de porta de linhas de porta 608 circundam pelo menos uma superfície superior e um par de paredes laterais do corpo tridimensional.
Petição 870190046922, de 20/05/2019, pág. 50/557
41/326 [0143] O substrato 602 pode ser composto por um material semicondutor que possa suportar um processo de fabricação e no qual a carga possa migrar. Em uma modalidade, o substrato 602 é um substrato a granel composto por uma camada de silício cristalino, silício/germânio ou germânio dopada com um portador de carga, como por exemplo, mas não se limitando a, fósforo, arsênico, boro ou uma combinação dos mesmos, para formar a região ativa 604. Em uma modalidade, a concentração de átomos de silício no substrato a granel 602 é superior a 97%. Em outra modalidade, o substrato a granel 602 é composto por uma camada epitaxial desenvolvida no topo de um substrato cristalino distinto, por exemplo, uma camada epitaxial de silício desenvolvida no topo de um substrato monocristalino de silício a granel dopado com boro. O substrato a granel 602 pode em alternativa ser composto por um material do grupo lll-V. Em uma modalidade, o substrato a granel 602 é composto por um material lll-V, como por exemplo, mas não se limitando a, nitreto de gálio, fosforeto de gálio, arsenieto de gálio, fosforeto de índio, antimoneto de índio, arsenieto de índio-gálio, arsenieto de alumínio-gálio, fosforeto de índio-gálio ou uma combinação dos mesmos. Em uma modalidade, o substrato a granel 602 é composto por um material lll-V e os átomos de impureza dopantes de portador de carga são, por exemplo, mas não se limitam a, carbono, silício, germânio, oxigênio, enxofre, selênio ou telúrio.
[0144] A região de isolamento 606 pode ser composta por um material adequado para por fim isolar eletricamente, ou contribuir para o isolamento de, porções de uma estrutura de porta permanente em relação a um substrato a granel subjacente ou isolar regiões ativas formadas dentro de um substrato a granel subjacente, como por exemplo isolar regiões ativas de aleta. Por exemplo, em uma modalidade, a região de isolamento 606 é composta por um material dielétrico, como por exemplo, mas não se limitando a, dióxido de silício,
Petição 870190046922, de 20/05/2019, pág. 51/557
42/326 oxinitreto de silício, nitreto de silício ou nitreto de silício dopado com carbono.
[0145] A linha de porta 608 pode ser composta por uma pilha de eletrodos de porta que inclui uma camada dielétrica de porta 652 e uma camada de eletrodo de porta 650. Em uma modalidade, o eletrodo de porta da pilha de eletrodos de porta é composto por uma porta de metal e a camada dielétrica de porta é composta por um material de K elevado. Por exemplo, em uma modalidade, a camada dielétrica de porta é composta por um material como, por exemplo, mas não se limitando a, óxido de háfnio, oxinitreto de háfnio, silicato de háfnio, óxido de lantânio, óxido de zircônio, silicato de zircônio, óxido de tântalo, titanato de bárioestrôncio, titanato de bário, titanato de estrôncio, óxido de ítrio, óxido de alumínio, óxido de chumbo-escândio-tântalo, niobato de chumbo-zinco ou uma combinação dos mesmos. Ademais, uma porção da camada dielétrica de porta pode incluir uma camada de óxido nativo formada desde algumas camadas superiores do substrato 602. Em uma modalidade, a camada dielétrica de porta é composta por uma porção superior de K elevado e uma porção inferior composta por um óxido de um material semicondutor. Em uma modalidade, a camada dielétrica de porta é composta por uma porção superior de óxido de háfnio e uma porção inferior de dióxido de silício ou oxinitreto de silício. Em algumas implementações, uma porção do dielétrico de porta é uma estrutura de formato em U que inclui uma porção inferior substancialmente paralela à superfície do substrato e duas porções de parede lateral que são substancialmente perpendiculares à superfície superior do substrato.
[0146] Em uma modalidade, o eletrodo de porta é composto por uma camada de metal como, por exemplo, mas não se limitando a, nitretos de metal, carbonetos de metal, silicietos de metal, aluminetos de metal, háfnio, zircônio, titânio, tântalo, alumínio, rutênio, paládio, platina, cobalto, níquel ou óxidos de metal condutivo. Em uma
Petição 870190046922, de 20/05/2019, pág. 52/557
43/326 modalidade específica, o eletrodo de porta é composto por um material de enchimento sem ser de endurecimento de função de trabalho formado por cima de uma camada de metal de endurecimento de função de trabalho. A camada de eletrodo de porta pode consistir em um metal de função de trabalho de tipo P ou um metal de função de trabalho de tipo N, dependendo de o transistor ser um transistor PMOS ou NMOS. Em algumas implementações, a camada de eletrodo de porta pode consistir em uma pilha de duas ou mais camadas de metal, onde uma ou mais camadas de metal são camadas de metal de função de trabalho e pelo menos uma camada de metal é uma camada de enchimento condutiva. Para um transistor PMOS, os metais que podem ser usados para o eletrodo de porta incluem, mas não se limitam a, rutênio, paládio, platina, cobalto, níquel e óxidos de metal condutivo, por exemplo, óxido de rutênio. Uma camada de metal de tipo P permitirá a formação de um eletrodo de porta PMOS com uma função de trabalho que se encontre entre cerca de 4,9 eV e cerca de 5,2 eV. Para um transistor NMOS, os metais que podem ser usados para o eletrodo de porta incluem, mas não se limitam a, háfnio, zircônio, titânio, tântalo, alumínio, ligas desses metais e carbonetos desses metais, como por exemplo carboneto de háfnio, carboneto de zircônio, carboneto de titânio, carboneto de tântalo e carboneto de alumínio. Uma camada de metal de tipo N permitirá a formação de um eletrodo de porta NMOS com uma função de trabalho que se encontre entre cerca de 3,9 eV e cerca de 4,2 eV. Em algumas implementações, o eletrodo de porta pode consistir em uma estrutura de formato em U que inclui uma porção inferior substancialmente paralela à superfície do substrato e duas porções de parede lateral que são substancialmente perpendiculares à superfície superior do substrato. Em outra implementação, pelo menos uma das camadas de metal que formam o eletrodo de porta pode simplesmente ser uma camada plana que seja substancialmente paralela à superfície superior
Petição 870190046922, de 20/05/2019, pág. 53/557
44/326 do substrato e não inclui porções de parede lateral substancialmente perpendiculares à superfície superior do substrato. Em outras implementações da revelação, o eletrodo de porta pode consistir em uma combinação de estruturas de formato em U e estruturas planas sem ser de formato em U. Por exemplo, o eletrodo de porta pode consistir em uma ou mais camadas de metal de formato em U formadas no topo de uma ou mais camadas planas sem ser de formato em U. [0147] Os espaçadores associados às pilhas de eletrodo de porta 206 podem ser compostos por um material adequado para, por fim, isolar eletricamente, ou contribuir para o isolamento de, uma estrutura de porta permanente desde contatos condutivos adjacentes, como por exemplo contatos autoalinhados. Por exemplo, em uma modalidade, os espaçadores são compostos por um material dielétrico, como por exemplo, mas não se limitando a, dióxido de silício, oxinitreto de silício, nitreto de silício ou nitreto de silício dopado com carbono.
[0148] O contato de porta 614 e a via de contato de porta sobrejacente 616 podem ser compostos por um material condutivo. Em uma modalidade, um ou mais dos contatos ou vias são compostos por uma espécie de metal. A espécie de metal pode ser um metal puro, como por exemplo tungstênio, níquel ou cobalto, ou pode ser uma liga, como por exemplo uma liga de metal-metal ou uma liga de metal semicondutor (por exemplo, um material de silicieto).
[0149] Em uma modalidade (embora não mostrado), o fornecimento da estrutura 600 envolve a formação de um padrão de contato que seja muito bem alinhado com um padrão de portas existente eliminando ao mesmo tempo o uso de uma operação litográfica com um montante de alinhamento excessivamente apertado. Em uma tal modalidade, essa abordagem permite o uso de gravação a úmido altamente seletiva intrinsecamente (por exemplo, versus gravação a seco ou por plasma convencionalmente implementada) para gerar aberturas de contato. Em
Petição 870190046922, de 20/05/2019, pág. 54/557
45/326 uma modalidade, um padrão de contato é formado utilizando um padrão de portas existente em conjunto com uma operação de litografia de plugues de contato. Em uma tal modalidade, a abordagem permite a eliminação da necessidade de uma operação de litografia de outro modo crítica para gerar um padrão de contatos, como usado em abordagens convencionais. Em uma modalidade, uma grelha de contato de vala não é padronizada separadamente, mas é sim formada entre linhas de poli(portas). Por exemplo, em uma tal modalidade, uma grelha de contato de vala é formada subsequente à padronização de grade de porta, mas antes dos cortes de grade de porta.
[0150] Ademais, a estrutura de pilha de portas 608 pode ser fabricada por um processo de porta de substituição. Em um esquema assim, o material de porta fictícia, como por exemplo material de pilar de polissilício ou nitreto de silício, pode ser removido e substituído por material de eletrodo de porta permanente. Em uma tal modalidade, uma camada dielétrica de porta permanente é igualmente formada nesse processo, em oposição a ser realizada desde o anterior processamento. Em uma modalidade, as portas fictícias são removidas por um processo de gravação a seco ou gravação a úmido. Em uma modalidade, as portas fictícias são compostas por silício policristalino ou silício amorfo e são removidas com um processo de gravação a seco incluindo o uso de SFe. Em outra modalidade, as portas fictícias são compostas por silício policristalino ou silício amorfo e são removidas com um processo de gravação a úmido incluindo o uso de NH4OH aquoso ou hidróxido de tetrametilamônio. Em uma modalidade, as portas fictícias são compostas por nitreto de silício e são removidas com uma gravação a úmido incluindo ácido fosfórico aquoso.
[0151] Em uma modalidade, uma ou mais abordagens aqui descritas contemplam essencialmente um processo de porta fictícia e de substituição em conjunto com um processo de contato fictício e de
Petição 870190046922, de 20/05/2019, pág. 55/557
46/326 substituição para chegar à estrutura 600. Em uma tal modalidade, o processo de contato de substituição é efetuado após o processo de porta de substituição para permitir o recozimento a alta temperatura de pelo menos uma porção da pilha de portas permanentes. Por exemplo, em uma modalidade específica assim, um recozimento de pelo menos uma porção das estruturas de porta permanente, por exemplo, após a formação de uma camada dielétrica de porta, é efetuado a uma temperatura superior a aproximadamente 600 graus Celsius. O recozimento é efetuado antes da formação dos contatos permanentes. [0152] Em relação novamente à Figura 6A, a reorganização de estrutura ou dispositivo semicondutor 600 coloca o contato de porta sobre regiões de isolamento. Essa reorganização pode ser vista como uso ineficiente de espaço de layout. Em outra modalidade, todavia, um dispositivo semicondutor tem estruturas de contato que tocam em porções de um eletrodo de porta formado sobre uma região ativa. Em geral, antes da (por exemplo, além da) formação de uma estrutura de contato de porta (como por exemplo uma via) sobre uma porção ativa de uma porta e em uma mesma camada como uma via de contato de vala, uma ou mais modalidades da presente revelação incluem o uso primeiro de um processo de contato de vala alinhada com a porta. Um processo assim pode ser implementado para formar estruturas de contato de vala para fabricação de estruturas semicondutores, por exemplo, para fabricação de circuito integrado. Em uma modalidade, um padrão de contato de vala é formado como alinhado com um padrão de porta existente. Em oposição, abordagens convencionais tipicamente envolvem um processo de litografia adicional com alinhamento apertado de um padrão de contato litográfico para um padrão de porta existente em conjunto com gravações de contato seletivas. Por exemplo, um processo convencional pode incluir padronização de uma grelha de poli(portas) com padronização separada de membros de contato.
Petição 870190046922, de 20/05/2019, pág. 56/557
47/326 [0153] Deve ser reconhecido que nem todos os aspectos dos processos descritos acima necessitam de ser praticados para fazerem parte do espírito e escopo das modalidades da presente revelação. Por exemplo, em uma modalidade, as portas fictícias não necessitam nunca de ser formadas antes da fabricação de contatos de porta sobre porções ativas das pilhas de porta. As pilhas de porta descritas acima podem efetivamente ser pilhas de portas permanentes como inicialmente formadas. Igualmente, os processos aqui descritos podem ser usados para fabricar um ou uma pluralidade de dispositivos semicondutores. Os dispositivos semicondutores podem ser transistores ou dispositivos iguais. Por exemplo, em uma modalidade, os dispositivos semicondutores são transistores semicondutores de óxido de metal (MOS - Metal-Oxide Semiconductor) para lógica ou memória ou são transistores bipolares. Igualmente, em uma modalidade, os dispositivos semicondutores têm uma arquitetura tridimensional, como por exemplo um dispositivo de três portas, um dispositivo de porta dupla independentemente acessado ou um FIN-FET. Uma ou mais modalidades podem ser particularmente úteis para a fabricação de dispositivos semicondutores em um nó de tecnologia sub-10 nanômetros (10 nm).
[0154] Deve ser reconhecido que nas modalidades FEOL exemplificativas acima, em uma modalidade, é implementado o processamento sub-10 nanômetros diretamente nos esquemas de fabricação e nas estruturas resultantes. Em outra modalidade, as considerações FEOL podem ser impulsionadas por requisitos de processamento sub-10 nanômetros BEOL. Por exemplo, os layouts e a seleção de material para camadas e dispositivos FEOL podem necessitar de acomodar processamento sub-10 nanômetros BEOL. Em uma tal modalidade, as arquiteturas de seleção de material e de pilhas de portas são selecionadas para acomodar metalização de alta
Petição 870190046922, de 20/05/2019, pág. 57/557
48/326 densidade das camadas BEOL, por exemplo, para reduzir a capacitância de borda em estruturas de transistor formadas nas camadas FEOL, mas acopladas juntas por metalização de alta densidade das camadas BEOL Desse modo, o processamento e as estruturas FEOL podem ser diretamente impactados por processamento sub~10 nanometres ou podem ser indiretamente impactados como resultado de processamento sub-10 nanometres de camadas BEOL.
[0155] As camadas na extremidade traseira de linha (BEOL) de circuitos integrados incluem comumente estruturas microeletrônicas eletricamente condutivas, que são conhecidas na técnica como vias, para conectar eletricamente linhas de metal ou outras interconexões acima das vias a linhas de metal ou outras interconexões por baixo das vias. As vias são tipicamente formadas por um processo litográfico. Representativamente, uma camada fotorresistente pode ser revestida por rotação sobre uma camada dielétrica, a camada fotorresistente pode ser exposta a radiação actínica padronizada através de uma máscara padronizada, e depois a camada exposta pode ser desenvolvida de modo a formar uma abertura na camada fotorresistente. Em seguida, uma abertura para a via pode ser gravada na camada dielétrica usando a abertura na camada fotorresistente como uma máscara de gravação. Essa abertura é referida como uma abertura de via. Finalmente, a abertura de via pode ser cheia com um ou mais metais ou outros materiais condutivos para formar a via.
[0156] No passado, os tamanhos e o espaçamento das vias diminuíram progressivamente, e se espera que no futuro os tamanhos e o espaçamento das vias continuem diminuindo progressivamente, para pelo menos alguns tipos de circuitos integrados (por exemplo, microprocessadores avançados, componentes de chipset, chips gráficos, etc.). Ao padronizar vias extremamente pequenas com
Petição 870190046922, de 20/05/2019, pág. 58/557
49/326 afastamentos extremamente pequenos mediante esses processos litográficos, se apresentam diversos desafios. Um desses desafios é que a sobreposição entre as vias e as interconexões sobrejacentes e a sobreposição entre as vias e as interconexões de pouso subjacentes geralmente necessitam de ser controladas para tolerâncias elevadas na ordem de um quarto do afastamento da via. Uma vez que os afastamentos de via são cada vez menores ao longo do tempo, as dimensões das tolerâncias de sobreposição tendem a se ajustar com os mesmos a uma velocidade ainda maior do que o equipamento litográfico é capaz de acompanhar.
[0157] Outro desses desafios é que as dimensões críticas das aberturas de via tendem geralmente a ser ajustadas mais rapidamente que as capacidades de resolução dos scanners litográficos. As tecnologias de diminuição existem para diminuir as dimensões críticas das aberturas de via. Todavia, a quantidade de diminuição tende a ser limitada pelo afastamento de via mínimo, bem como pela capacidade de o processo de diminuição ser suficientemente neutro na correção de proximidade óptica (OPC - Optical Proximity Correction) e não comprometer significativamente a rugosidade de largura de linha (LWR
- Line Width Roughness) e/ou a uniformidade de dimensão crítica (CDU
- Critical Dimension Uniformity). Ainda outro desses desafios é que as características LWR e/ou CDU de fotorresistências necessitam geralmente de melhorar à medida que as dimensões críticas das aberturas de via diminuem, de modo a manter a mesma fração global do montante de dimensão crítica. Todavia, atualmente as características LWR e/ou CDU da maioria das fotorresistências não estão melhorando tão rapidamente como as dimensões críticas das aberturas de via estão diminuindo.
[0158] Um outro desses desafios é que os afastamentos de via extremamente pequenos tendem geralmente a se encontrar abaixo das
Petição 870190046922, de 20/05/2019, pág. 59/557
50/326 capacidades de resolução mesmo dos scanners litográficos ultravioleta extrema (EUV). Como resultado, comumente podem ser usadas diversas máscaras litográficas diferentes, que tendem a aumentar os custos. Em algum momento, se os afastamentos continuarem diminuindo, pode não ser possível, mesmo com múltiplas máscaras, imprimir aberturas de via para esses afastamentos extremamente pequenos usando scanners EUV.
[0159] Os fatores acima são igualmente relevantes para considerar a colocação e o dimensionamento de espaços não condutivos ou interrupções entre linhas de metal (referidos como plugues, plugues dielétricos ou extremidades de linha de metal entre as linhas de metal de estruturas de interconexão de metal na extremidade traseira de linha (BEOL). Os fatores acima são igualmente relevantes para pontas condutivas que, por definição, são ligadores condutivos entre duas linhas de metal condutivas, como por exemplo entre duas linhas condutivas paralelas. As pontas se encontram tipicamente em uma mesma camada das linhas de metal. Desse modo, são necessários melhoramentos na área das tecnologias de fabricação de metalização de extremidade traseira para fabricação de linhas de metal, vias de metal, pontas condutivas e plugues dielétricos.
[0160] Em algumas modalidades descritas abaixo, a padronização e o alinhamento de membros de via (ou outros membros BEOL) são alcançados usando diversos retículos e estratégias de alinhamento críticas. Em outras modalidades, em oposição, as abordagens aqui descritas permitem a fabricação de plugues e/ou vias autoalinhados. Em modalidades mais recentes, pode acontecer que somente uma etapa de sobreposição crítica (grade Mx+1) necessite de ser implementada.
[0161] Deve ser reconhecido que as camadas e os materiais descritos abaixo em associação com processamento e estruturas na extremidade traseira de linha (BEOL) são tipicamente formados em ou
Petição 870190046922, de 20/05/2019, pág. 60/557
51/326 por cima de um substrato semicondutor subjacente, ou estrutura, como por exemplo camada(s) de dispositivo subjacente(s) de um circuito integrado. Em uma modalidade, um substrato semicondutor subjacente representa um objeto de peça geral usado para fabricar circuitos integrados. O substrato semicondutor inclui muitas vezes um wafer ou outro pedaço de silício ou outro material semicondutor. Os substratos semicondutores adequados incluem, mas não se limitam a, silício de cristal único, silício policristalino e silício sobre isolador (SOI - Silicon on Insulator), bem como substratos similares formados por outros materiais semicondutores, como por exemplo substratos incluindo germânio, carbono ou materiais do grupo lll-V. O substrato semicondutor, dependendo do estágio de fabricação, inclui muitas vezes transistores, conjuntos de circuitos integrados e afins. O substrato pode igualmente incluir materiais semicondutores, metais, dielétricos, dopantes e outros materiais comumente encontrados em substratos semicondutores. Ademais, as estruturas retratadas podem ser fabricadas em camadas de interconexão de nível inferior subjacentes.
[0162] Embora os seguintes métodos de fabricação de uma camada de metalização, ou porções de uma camada de metalização, de uma camada de metalização na BEOL sejam descritos em detalhe com respeito a operações de seleção, deve ser reconhecido que operações adicionais ou intermédias para fabricação podem incluir processos de fabricação microeletrônicos padrão, como por exemplo litografia, gravação, deposição de películas finas, planarização (como por exemplo polimento químico-mecânico (CMP)), difusão, metrologia, o uso de camadas sacrificiais, o uso de camadas de paragem de gravação, o uso de camadas de paragem de planarização e/ou qualquer outra ação associada à fabricação de componentes microeletrônicos. Igualmente, deve ser reconhecido que as operações de processo descritas para os seguintes fluxos de processo podem ser praticadas
Petição 870190046922, de 20/05/2019, pág. 61/557
52/326 em sequências alternativas, nem toda a operação necessitando de ser efetuada e/ou podendo ser efetuadas operações de processo adicionais.
[0163] Em alguns casos, as estruturas resultantes permitem a fabricação de vias que sejam diretamente centradas em linhas de metal subjacentes. As vias podem ser mais largas que, mais estreitas que, ou ter a mesma espessura que as linhas de metal subjacentes, por exemplo, devido a processamento de gravação seletiva não perfeita. Contudo, em uma modalidade, os centros das vias são alinhados (combinam) com os centros das linhas de metal. Desse modo, em uma modalidade, a compensação devido a padronização de litografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não pode ser um fator para as estruturas resultantes de um ou mais dos seguintes esquemas de processo.
[0164] Deve ser reconhecido que alguns dos esquemas de fabricação de interconexão descritos abaixo podem ser implementados para guardar inúmeros alinhamentos/exposições, podem ser implementados para melhorar o contato elétrico (por exemplo, reduzindo a resistência de via) ou podem ser implementados para reduzir operações de processo total e tempo de processamento de outro modo requeridos para padronização desses membros usando abordagens convencionais. Deve ser igualmente reconhecido que em operações de fabricação subsequentes ou adicionais para além das mostradas, em algumas instâncias, a(s) camada(s) dielétrica(s) pode(m) ser removida(s) de uma camada de linhas de metal para fornecer caixas de ar entre as linhas de metal.
[0165] De acordo com uma modalidade da presente revelação, é descrita uma abordagem de base. A abordagem de base pode envolver múltiplos estágios de deposição de camada atômica (ALD - Atomic Layer Deposition). Em uma modalidade, é alcançada a formação de
Petição 870190046922, de 20/05/2019, pág. 62/557
53/326 afastamento apertado por formação de espaçador iterative, por exemplo, usando processamento ALD.
[0166] Para fornecer contexto, a padronização litográfica de membros para fabricação de semicondutores é limitada à resolução da ferramenta de imagens, quer seja óptica (por exemplo, 193 nm), de feixe de elétrons ou EUV. Os métodos de processo, como por exemplo padronização de múltiplas passagens, métodos de diminuição de padrão e divisão de afastamento baseado em espaçador, podem ser usados para estender a resolução em fatores de 2 a 4 ou mesmo possivelmente um fator de 8. Esses métodos, todavia, podem ser limitados, uma vez que a variação de processo nas etapas litográficas originais permanece com a magnitude similar no padrão final. Por exemplo, uma operação litográfica pode ter uma variação de +/- 3 nm. Se isso for empregue com métodos de processo de divisão de afastamento para gerar um afastamento final de 8 nm (tamanho de funcionalidade de 4 nm), os padrões finais resultantes variam em 4 nm +/- 3 nm.
[0167] Uma ou mais modalidades aqui descritas envolvem o uso de espaçador iterativo ou deposição de película fina para definir todos ou substancialmente todos os pequenos membros críticos finais para uma camada, como por exemplo uma camada BEOL A variação desses membros pode ser melhor que +/- 1 nm, o que é consistente com a tecnologia ALD. Para permitir a coloração de padrões para permitir a abordagem a membros alternativos (por exemplo, vias, cortes, plugues, etc.) com margem alargada para erros de colocação de beiras, podem ser empregues múltiplos materiais.
[0168] As Figuras 7A e 7B ilustram vistas em corte transversal de estruturas de fundações alvo para permitir padrões finais de afastamento muito apertado para camadas semicondutoras, de acordo com modalidades da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 63/557
54/326 [0169] Em relação à Figura 7A, uma camada de base alvo 700 inclui uma camada de padronização 702 por cima de uma camada de máscara dura 704 por cima de uma camada de transferência 706 por cima de um substrato 708. A camada de padronização 702 inclui membros de base 710. Os membros de base 710 são membros relativamente mais largos (por exemplo, 6 a 12 nanômetros) com agrupamentos intervenientes 712 de membros relativamente menores (por exemplo, 6 a 100 s de membros menores entre membros de base adjacentes 710, onde os membros menores têm, por exemplo, 4 a 6 nanômetros de largura).
[0170] Em uma modalidade, cada um dos agrupamentos intervenientes 712 de membros relativamente menores inclui pequenos membros 716 de um primeiro tipo de material, pequenos membros 714 de um segundo tipo de material diferente do primeiro tipo de material e pequenos membros 718 de um terceiro tipo de material diferente do primeiro tipo de material e do segundo tipo de material. A diferença nos tipos de material pode fornecer diferentes características de gravação ou seletividade entre os tipos de material. Em uma modalidade, o material dos membros de base 710 é igual ao material do terceiro tipo de material dos pequenos membros 718, como retratado na Figura 7A. Em outra modalidade, o material dos membros de base 710 é diferente do material do terceiro tipo de material dos pequenos membros 718, mas tem características de gravação ou seletividade similares ao terceiro tipo de material dos pequenos membros 718.
[0171] Em relação à Figura 7B, uma camada de base alvo 750 inclui uma camada de padronização 752 por cima de uma camada de máscara dura 754 por cima de uma camada de transferência 756 por cima de um substrato 758. A camada de padronização 752 inclui membros de base 760. Os membros de base 760 são membros relativamente mais largos (por exemplo, 6 a 12 nanômetros) com
Petição 870190046922, de 20/05/2019, pág. 64/557
55/326 agrupamentos intervenientes 762 de membros relativamente menores (por exemplo, 6 a 100 s de membros menores entre membros de base adjacentes 760, onde os membros menores têm, por exemplo, 4 a 6 nanômetros de largura).
[0172] Em uma modalidade, cada um dos agrupamentos intervenientes 762 de membros relativamente menores inclui pequenos membros 764 de um primeiro tipo de material, pequenos membros 766 de um segundo tipo de material diferente do primeiro tipo de material e pequenos membros 768 de um terceiro tipo de material diferente do primeiro tipo de material e do segundo tipo de material. A diferença nos tipos de material pode fornecer diferentes características de gravação ou seletividade entre os tipos de material. Em uma modalidade, o material dos membros de base 760 é igual ao material do segundo tipo de material dos pequenos membros 766, como é retratado na Figura 7B. Em outra modalidade, o material dos membros de base 760 é diferente do material do segundo tipo de material dos pequenos membros 766, mas tem características de gravação ou seletividade similares ao terceiro tipo de material dos pequenos membros 766.
[0173] Em relação a ambas as Figuras 7A e 7B, em uma modalidade, as estruturas 700 ou 750 incluem diversas camadas verticais iterativas de materiais alternados que definirão por fim as localizações finais de membros em um padrão de semicondutores (por exemplo, metais, transistores, etc.) Membros maiores ocasionais se encontram presentes, uma vez que representam as estruturas litograficamente definidas que, em uma modalidade, são maiores (mais largas), uma vez que têm maior variação de tamanho. Em uma modalidade, seis a centenas de membros estreitos se encontram entre os membros largos.
[0174] As Figuras 8A a 8H ilustram vistas em corte transversal representando várias operações em um método de fabricação de
Petição 870190046922, de 20/05/2019, pág. 65/557
56/326 estruturas de fundações alvo para permitir padrões finais de afastamento muito apertado para camadas semicondutoras, de acordo com modalidades da presente revelação. Globalmente, em uma modalidade, são empregues operações de geração de películas finas iterativas. Por exemplo, é efetuada a deposição de película fina conforme, se seguindo uma gravação anisotrópica (por exemplo, formação de espaçador), um crescimento seletivo ou uma automontagem dirigida (DSA). Um processo de padronização, como por exemplo descrito abaixo, pode ser implementado para fornecer um processo de padronização adequado para gerar padrões finais de afastamento muito apertado para camadas semicondutoras. Em uma modalidade, as vantagens da implementação de um fluxo de processo assim incluem controle dimensional melhorado de membros de afastamento apertado com um método incorporado para colorir membros alternados de modo a permitir a formação de vias, plugues e cortes autoalinhados.
[0175] A Figura 8A ilustra uma operação de processo envolvendo formação de base vertical. Uma pluralidade de membros de base 808 é formada por cima de uma camada de máscara dura 806 formada por cima de uma camada de transferência 804 formada por cima de um substrato 802. Em uma modalidade, a formação da pluralidade de membros de base 808 envolve o uso de uma operação de litografia padrão (por exemplo, 193 nm ou EUV) se seguindo a transferência de gravação para uma máscara dura (por exemplo, SiN, SiOa, SiC) e depois a remoção de quaisquer camadas de resistência e/ou antirreflexo remanescentes (por exemplo, através de incineração ou limpeza a úmido).
[0176] A Figura 8B ilustra uma operação de processo envolvendo a formação de primeiro espaçador (espaçador 1). Um primeiro conjunto de pequenos membros 810 de uma primeira composição de material é
Petição 870190046922, de 20/05/2019, pág. 66/557
57/326 formado ao longo das paredes laterais de cada uma da pluralidade de membros de base 808. Em uma modalidade, é formado o primeiro conjunto de pequenos membros 810 usando uma abordagem de deposição (por exemplo, ALD) e gravação. Em outra modalidade, o primeiro conjunto de pequenos membros 810 é formado usando uma abordagem de crescimento seletivo.
[0177] A Figura 8C ilustra uma operação de processo envolvendo a formação de segundo espaçador (espaçador 2), a formação de terceiro espaçador (espaçador 3) e a formação de quarto espaçador (espaçador 4), como as camadas específicas mostradas como uma modalidade exemplificativa possível. Um segundo conjunto de pequenos membros 812 de uma segunda composição de material é formado ao longo das paredes laterais expostas de cada um do primeiro conjunto de pequenos membros 810. Um terceiro conjunto de pequenos membros 814 de uma terceira composição de material é formado ao longo das paredes laterais expostas de cada um do segundo conjunto de pequenos membros 812. Um quarto conjunto de pequenos membros 816 da segunda composição de material é formado ao longo das paredes laterais expostas de cada um do terceiro conjunto de pequenos membros 814. Em uma modalidade, o segundo conjunto de pequenos membros 812 é primeiro formado usando uma abordagem de deposição (por exemplo, ALD) e gravação ou abordagem de crescimento seletivo. O terceiro conjunto de pequenos membros 814 é depois formado usando outra abordagem de deposição (por exemplo, ALD) e gravação ou abordagem de crescimento seletivo. O quarto conjunto de pequenos membros 816 é depois formado usando outra abordagem de deposição (por exemplo, ALD) e gravação ou abordagem de crescimento seletivo. [0178] A Figura 8D ilustra uma operação de processo envolvendo a geração de camada continuada. Camadas de espaçador 818 adicionais são formadas em sequência com ordenação de seleção de tipos de
Petição 870190046922, de 20/05/2019, pág. 67/557
58/326 material. As camadas de espaçador 818 adicionais podem ser fabricadas usando abordagens de deposição e gravação, abordagens de crescimento seletivo ou uma combinação das mesmas. Deve ser reconhecido que podem ser adicionadas mais camadas que o mostrado. Por exemplo, em uma modalidade, são formados 20 a 200 conjuntos adicionais de espaçadores nesse estágio. A deposição de espaçadores pode ser concluída antes da fusão do crescimento de paredes laterais adjacentes, por exemplo, a formação de espaçadores é detida quando persiste uma abertura 820. Deve ser reconhecido que embora as abordagens de deposição e gravação ou as abordagens de crescimento seletivo sejam descritas como opções para as Figuras 8A a 8D, pode ser usada a automontagem dirigida (DSA) em vez, ou como uma, das opções para a formação de espaçadores aqui descrita. Em um exemplo desses, é usada DAS baseada em tribloco. Um exemplo de DAS baseada em tribloco é descrito abaixo em associação com as Figuras 12Aa 12K.
[0179] Em uma modalidade, em relação coletivamente às Figuras 8A a 8D, é efetuada a geração iterative de camadas finas de materiais alternados nos lados dos membros originais de modelo definidos por litografia. Um método potencial para alcançar uma estrutura assim é através de deposição de película fina seguida pela gravação anisotrópica. Em uma modalidade, é usada uma única ferramenta de processo para efetuar tanto a deposição como a gravação para melhorar grandemente a eficiência dessa abordagem. Outros métodos de geração de camadas finas de espessura bem controlada incluem crescimento seletivo ou DSA.
[0180] A Figura 8E ilustra uma operação de processo envolvendo a remoção de base. Os membros de base 808 são removidos para deixar aberturas 822. Em uma modalidade, as aberturas 822 têm uma largura aproximadamente igual à largura da abertura 820, como é retratado na
Petição 870190046922, de 20/05/2019, pág. 68/557
59/326
Figura 8E. Em uma modalidade, cada uma das aberturas 820 e 822 tem espaçadores 824 como paredes laterais, os espaçadores 824 da primeira composição de material. Como indicado, alguns dos espaçadores 824 são novamente designados desde espaçadores 810 anteriormente identificados. Em uma modalidade, os membros de base 808 são removidos de modo a fornecer mais espaço para outra geração de pequenos membros.
[0181] A Figura 8F ilustra uma operação de processo envolvendo a geração de camada continuada. As aberturas 820 e 822 são, por fim, completamente cheias usando formação de espaçadores continuada. Em uma modalidade exemplificative, os espaçadores 826 são formados ao longo das paredes laterais expostas dos espaçadores 824. Em uma tal modalidade, os espaçadores 826 são da segunda composição de material. Em uma modalidade, um membro largo final 828 é por fim formado nos centros de cada uma das aberturas 820 e 822 em um estágio onde não é desejada nem alcançável mais formação de espaçadores. Em uma modalidade, a formação dos membros largos finais 828 envolve a fusão de crescimento de material formado ao longo de paredes laterais adjacentes dos espaçadores 826. Em uma tal modalidade, a fusão de crescimento de material fornece membros largos finais 828 cada um tendo uma junção aproximadamente centrada dentro do membro largo final 828. Em uma modalidade, os membros largos finais 828 são da terceira composição de material.
[0182] A Figura 8G ilustra uma operação de processo envolvendo a planarização da estrutura da Figura 8F. Em uma modalidade, a planarização é efetuada usando uma operação de polimento químicomecânico (CMP). Em uma modalidade, o processo de planarização fornece uma estrutura plana antes das operações de processo de plugues/cortes e vias. As localizações 828 diretamente centradas sob os membros litográficos originais (que resultaram em aberturas 822) e
Petição 870190046922, de 20/05/2019, pág. 69/557
60/326 espaçados a meio caminho entre as mesmas (que resultaram na abertura 820) podem ser visados para serem maiores de modo a acomodar uma variação de tamanho maior associada a operações Htográficas em comparação com uma operação de película fina única (mais gravação). Em uma modalidade, como mostrado, a estrutura da Figura 8G é similar ou igual à descrita em associação com a Figura 7A. [0183] A Figura 8H ilustra uma operação de processo envolvendo a remoção seletiva de todos os membros da primeira composição de material, por exemplo, espaçadores 810/824 (correspondendo a pequenos membros 716 do primeiro tipo de material da estrutura da Figura 7A, como mostrado na Figura 8G). Em uma modalidade, os pequenos membros 716 do primeiro tipo de material são removidos usando um processo de gravação seletiva que não remove, ou somente remove marginalmente, os materiais de espaçador remanescentes. Na modalidade exemplificativa mostrada na Figura 8H, subsequente à remoção dos pequenos membros 716 do primeiro tipo de material, são formados membros de padronização de linhas de metal 830 nas aberturas criadas após a remoção de todos os pequenos membros 716 do primeiro tipo de material. Alguns dos membros de padronização de linhas de metal 830 são associados a membros de padronização de vias subjacentes 832. Embora não retratado, os selecionados dos pequenos membros 716 do primeiro tipo de material podem ser retidos (por exemplo, através de um processo de bloqueio de fotolitografia que bloqueia os selecionados dos pequenos membros 716 do primeiro tipo de material da remoção) para formar membros de padronização de plugues. Em uma modalidade, os membros de padronização de linhas de metal 830, os membros de padronização de vias 832 e quaisquer membros de padronização de plugues são por fim padronizados para a camada de máscara dura 806 e camada de transferência 804 para padronização final de uma camada subjacente. Em outra modalidade,
Petição 870190046922, de 20/05/2019, pág. 70/557
61/326 como retratado, os membros de padronização de linhas de metal 830, os membros de padronização de vias 832 e quaisquer membros de padronização de plugues representam efetivamente linhas de metal, vias e plugues formados na camada 834 como mostrado. Quer os membros de padronização de linhas de metal 830 quer as linhas de metal efetivas, cada um pode ter uma camada de capa de máscara dura sobrejacente 836 para proteger os membros durante o subsequente processamento da camada 834, como é retratado na Figura 8H. Novamente em relação à Figura 8H, em uma modalidade, removendo somente um tipo de espaçador, é fornecida uma margem adicional para a variação de processo nas operações de padronização de plugues, vias e/ou cortes.
[0184] As Figuras 8H! e 8H” ilustram vistas em corte transversal de estruturas exemplificativas após padronização de vias e plugues, de acordo com uma modalidade da presente revelação.
[0185] A Figura 8H’ ilustra uma operação de processo envolvendo a remoção seletiva de todo o material dos membros de base 710 e todos os pequenos membros 718 do terceiro tipo de material da estrutura de 8H. Em uma modalidade, os membros de base 710 e os pequenos membros 718 do terceiro tipo de material são removidos usando um processo de gravação seletiva que não remove, ou somente remove marginalmente, os materiais de espaçador remanescentes ou materiais de espaçador já substituídos. Na modalidade exemplificativa mostrada na Figura 8H’, subsequente à remoção de membros de base 710 e dos pequenos membros 718 do terceiro tipo de material, são formados segundos membros de padronização de linhas de metal 838 na maioria ou na totalidade das aberturas criadas após remoção dos membros de base 710 e dos pequenos membros 718 do terceiro tipo de material. Em uma modalidade, qualquer uma das remanescentes aberturas criadas após remoção dos membros de base 710 e dos pequenos membros 718
Petição 870190046922, de 20/05/2019, pág. 71/557
62/326 do terceiro tipo de material é cheia com um material de plugue 850 (por exemplo, para fornecer um membro na extremidade de linha composta por material não condutivo, como por exemplo SiN ou SÍO2) ou é preservada como uma região de plugue. Alguns dos segundos membros de padronização de linhas de metal 838 são associados a segundos membros de padronização de vias subjacentes 840. Em uma modalidade, os segundos membros de padronização de linhas de metal 838, os segundos membros de padronização de vias 840 e quaisquer membros de padronização de plugues 850 são por fim padronizados na camada de máscara dura 806 e na camada de transferência 804 para padronização final de uma camada subjacente. Em outra modalidade, como retratado, os segundos membros de padronização de linhas de metal 838, os segundos membros de padronização de vias 840 e quaisquer membros de padronização de plugues 850 representam efetivamente linhas de metal, vias e plugues, respectivamente.
[0186] Quer sejam segundos membros de padronização de linhas de metal 838 quer sejam linhas de metal efetivas, ou quer sejam membros de plugues de padronização 850 quer sejam membros de plugues 850 efetivos, cada um pode ter uma camada de capa de máscara dura sobrejacente 842 para proteger os membros durante subsequentes operações de processamento, como é retratado na Figura 8H’. Em uma modalidade, a composição da camada de capa de máscara dura sobrejacente 842 é diferente em comparação com a camada de capa de máscara dura sobrejacente 836. Desse modo, em uma modalidade, membros alternativos têm diferentes materiais de máscara dura. Uma organização assim pode facilitar melhor a conexão subsequente de uma via desde uma camada subsequentemente formada acima com maior margem de colocação de beiras para prevenir 0 membro de via para metal errado.
[0187] Deve ser reconhecido que uma vez que as linhas de metal
Petição 870190046922, de 20/05/2019, pág. 72/557
63/326
830 (ou membros de padronização) e as segundas Hnhas de metal 838 (ou membros de padronização) são formadas em diferentes operações de processamento, a composição das Hnhas de metal 830 e segundas linhas de metal 838 pode diferir. Em uma modalidade exemplificative, a Figura 8H” ilustra um exemplo onde a composição das linhas de metal 830’ difere das linhas de metal 838. Desse modo, os membros alternativos podem ser compostos por um material condutor diferente. [0188] Deve ser reconhecido que podem ser usadas algumas formas mais antigas de técnicas de divisão de afastamento baseada em espaçador na fabricação de alto volume. As modalidades descritas acima em tomo da abordagem de base podem ser implementadas para estender uma ou duas passagens de divisão de afastamento baseada em espaçador até um número muito elevado de operações de formação iterativa de espaçadores. Uma ou mais modalidades fornecem uma abordagem para dimensionamento de densidade de chip semicondutor em elevadas produções de fabricação. Uma ou mais modalidades fornecem uma abordagem para fabricar interconexões densas, ou mesmo transistores (se aplicado a processamento FEOL), com tamanhos de membro consistentemente bem formados. Deve ser reconhecido que a engenharia reversa de um produto fabricado usando uma abordagem de base pode revelar membros de afastamento predominantemente apertado (por exemplo, membros de afastamento sub 10 nm) com membros ocasionais unidimensionais (1D) largos. A microscopia de elétrons de varredura de corte transversal (XSEM Cross-sectional Scanning Electron Microscopy) pode revelar máscaras duras coloridas (por exemplo, diferindo entre si com respeito a uma propriedade como por exemplo seletividade de gravação) em membros alternativos.
[0189] De acordo com uma modalidade da presente revelação, é aplicada divisão de afastamento para fornecer uma abordagem para
Petição 870190046922, de 20/05/2019, pág. 73/557
64/326 fabricação de linhas de metal alternadas em um esquema de fabricação BEOL. Uma ou mais modalidades aqui descritas são direcionadas para fluxos de processo de padronização de divisão de afastamento que aumentam margens de sobreposição para vias, cortes e plugues. As modalidades podem permitir dimensionamento continuado do afastamento de camadas de metal para além da capacidade de resolução do equipamento de litografia do estado da técnica. Em uma modalidade, o espaçamento entre linhas de metal é constante e pode ser controlado para precisão de nível Angstrom usando ALD. Em uma modalidade, é projetado um fluxo de processo de modo a ser possível um fluxo ILD de substituição. Ou seja, o ILD pode ser depositado após a conclusão do padrão e da metalização. Os fluxos de padronização tipicamente danificam o ILD através das etapas de gravação/limpeza, mas nesse fluxo, o ILD pode ser depositado por último e, por consequência, evitar danos durante a padronização.
[0190] Para fornecer contexto, o erro de colocação de beiras de padronização de vias, cortes e plugues é problemático quando são dimensionados afastamentos e tamanhos de membros. As soluções do estado da técnica para resolver esses problemas envolvem tentativas para restringir o erro de colocação de beiras melhorando a sobreposição de scanner e melhorando o controle de dimensão crítica (CD) ou as tentativas para usar abordagens de integração superautoalinhada. Em oposição, as modalidades aqui descritas envolvem a implementação de um processo que possa alcançar melhoramentos similares na margem de erro de colocação de beiras sem requerer melhoramentos nas ferramentas litográficas ou no superautoalinhamento.
[0191] De acordo com uma modalidade da presente revelação, são fabricadas linhas de metal em duas sequências de operação separadas de modo a duplicar a quantidade de margem de sobreposição para padronização de cortes/plugues e vias. Em uma primeira porção de um
Petição 870190046922, de 20/05/2019, pág. 74/557
65/326 fluxo de processo exemplificative, são usados métodos de divisão de afastamento para padronizar linhas de metal, plugues e depois vias para um material dielétrico entre camadas. Em uma segunda porção do fluxo de processo exemplificative, as valas/aberturas de via são cheias com metal (por exemplo, metalização de damasquinagem dupla) e depois polidas. As camadas de máscara dura sacrificiais são depois removidas entre as linhas de metal. As linhas de metal são depois revestidas com um material dielétrico sacrificial usando, por exemplo, deposição de camada atômica (ALD). Em uma terceira porção do fluxo de processo exemplificativo, é efetuada uma gravação de espaçador isotrópica para expor fundos das valas. Usando um fluxo de padronização de plugues, é adicionado material dielétrico às localizações onde devem ocorrer extremidades de linhas de metal e são concluídas gravações de via nas linhas de metal complementares. O metal das primeiras linhas de metal age como uma paragem de gravação para prevenir a gravação nessas localizações. Em uma quarta porção do fluxo de processo exemplificativo, as valas são cheias com metal e polidas para expor o metal. Após o polimento, é removido material de máscara dura sacrificial e, opcionalmente, substituído por um material dielétrico e depois novamente polido para concluir o processo de metalização. Regulando a deposição do material dielétrico, podem igualmente ser inseridas caixas de ar. Adicionalmente, as modalidades podem envolver o uso de um material de máscara dura sacrificial em vez de metal. A máscara dura sacrificial pode ser removida e substituída por metal na segunda operação de metalização.
[0192] Em um esquema de processamento exemplificativo, as Figuras 9A a 9L ilustram vistas em corte transversal angulares de porções de camadas de circuito integrado representando várias operações em um método envolvendo padronização de divisão de afastamento com maior margem de sobreposição para fabricação de
Petição 870190046922, de 20/05/2019, pág. 75/557
66/326 interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0193] Em relação à Figura 9A, é fornecida uma estrutura de ponto inicial 900 como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 900 inclui uma camada de máscara dura 902 disposta em uma camada sacrificial 904 disposta em uma camada dielétrica entre camadas (ILD) 906. A camada ILD pode ser disposta por cima de um substrato e, em uma modalidade, é disposta sobre uma camada de metalização subjacente. Em uma modalidade, a camada de máscara dura 902 é uma camada de máscara dura de nitreto de silício (SiN) ou nitreto de titânio. Em uma modalidade, a camada sacrificial é uma camada de silício, como por exemplo uma camada de silício policristalina ou uma camada de silício amorfa.
[0194] Em relação à Figura 9B, a camada de máscara dura 902 e a camada sacrificial 904 da estrutura da Figura 9B são padronizadas. A camada de máscara dura 902 e a camada sacrificial 904 são padronizadas para formar a camada de máscara dura padronizada 908 e a camada sacrificial padronizada 910, respectivamente. A camada de máscara dura padronizada 908 e a camada sacrificial padronizada 910 incluem um padrão de primeiras aberturas de linha 912 e regiões de extremidade de linha 914. Em uma modalidade, uma camada sacrificial de silício é adequada para a padronização de membros finos usando um processo de gravação anisotrópica por plasma. Em uma modalidade, é usado um processo de gravação e exposição de máscara de resistência litográfica para formar a camada de máscara dura padronizada 908 e a camada sacrificial padronizada 910, com subsequente remoção da pilha ou camada de resistência. Em uma modalidade, as primeiras aberturas de linha 912 têm um padrão tipo grade, como é retratado na Figura 9B. Em uma modalidade, é usado um esquema de padronização de divisão de afastamento para formar o
Petição 870190046922, de 20/05/2019, pág. 76/557
67/326 padrão de primeiras aberturas de linha 912. Os exemplos de esquemas de divisão de afastamento adequados são descritos abaixo em mais detalhes. Em seguida, pode ser usado um subsequente processo de litografia de preservação de corte de linha ou plugue para definir regiões na extremidade de linha 914.
[0195] A Figura 9C ilustra a estrutura da Figura 9B após a padronização de localizações de vias subjacentes. As aberturas de via 916 podem ser formadas em localizações selecionadas da camada ILD 906 para formar a camada ILD 918 padronizada. Em uma modalidade, as vias são padronizadas usando um processo de vias autoalinhadas. As localizações selecionadas são formadas nas regiões da camada ILD 906 exposta pelas primeiras aberturas de linha 912. Em uma modalidade, é usado um processo litográfico e de gravação separado para formar aberturas de via 916 subsequente ao processo de padronização litográfico usado para formar primeiras aberturas de linha 912.
[0196] A Figura 9D ilustra a estrutura da Figura 9C após um primeiro processo de metalização. Em uma modalidade, é usado um processo de metalização de damasquinagem dupla onde vias e linhas de metal são cheias ao mesmo tempo. Nas primeiras aberturas de linha e nas aberturas de via 916, são formadas de linhas de interconexão 920 e vias condutivas 920. Em uma modalidade, é efetuado um processo de enchimento de metal para fornecer linhas de interconexão 920 e vias condutivas 920. Em uma modalidade, o processo de enchimento de metal é efetuado usando uma deposição de metal e subsequente esquema de processamento de planarização, como por exemplo um processo de planarização química-mecânica (CMP). No caso de a camada de máscara dura sacrificial padronizada 910 ser composta substancialmente por silício, pode ser depositado um material de revestimento antes da formação de uma camada de enchimento
Petição 870190046922, de 20/05/2019, pág. 77/557
68/326 condutivo, de modo a inibir a silicidação da camada de máscara dura sacrificial padronizada 910.
[0197] A Figura 9E ilustra a estrutura da Figura 9D após a exposição das linhas de interconexão 920. A camada de máscara dura padronizada 908 e a camada sacrificial padronizada 910 são removidas para deixar linhas de interconexão 920 expostas, com vias condutivas subjacentes na camada ILD padronizada 918. São reveladas aberturas na extremidade de linha 924. As aberturas na extremidade de linha 924 fornecem quebras no padrão de grade das linhas de interconexão 920. Em uma modalidade, a camada de máscara dura padronizada 908 e a camada sacrificial padronizada 910 são removidas usando um processo de gravação a úmido seletiva.
[0198] A Figura 9F ilustra a estrutura da Figura 9E após a formação de uma camada de padronização conforme. Uma camada de material de espaçador 926 é formada sobre e conforme com o padrão de grade das linhas de interconexão 920. Em uma modalidade, é usada deposição de camada atômica (ALD) devido ao fato de ser altamente conforme e extremamente precisa (por exemplo, controle para o nível Angstrom). Deve ser reconhecido que as aberturas na extremidade de linha 924 são, em uma modalidade, demasiado pequenas para perturbar eficazmente o padrão de grade geral das linhas de interconexão 920 com respeito à formação da camada de material de espaçador 926 conforme. Em uma tal modalidade, as aberturas na extremidade de linha 924 são cheias com a camada de material de espaçador 926 sem perturbar o padrão de grade geral das linhas de interconexão 920. Em uma modalidade, a camada de material de espaçador 926 é depositada usando um processo de deposição química de vapor (CVD) ou deposição de camada atômica (ALD). Em uma modalidade, a camada de material de espaçador 926 é uma camada de silício, como por exemplo uma camada de silício polichstalina ou uma
Petição 870190046922, de 20/05/2019, pág. 78/557
69/326 camada de silício amorfa. Em uma modalidade específica assim, é depositado um material de revestimento nas linhas de interconexão 920 antes da formação de uma camada de material de espaçador de silício, de modo a inibir a silicidação da camada de material de espaçador 926. Em uma modalidade, a espessura dos cortes (plugues) na extremidade de linha é igual ou inferior a 2X a do espaçador, de modo a serem completamente cheios com o material dielétrico conforme. Se forem maiores que 2X a espessura, podem se formar junções e o metal pode encurtar as linhas em conjunto durante o subsequente processamento. [0199] A Figura 9G ilustra a estrutura da Figura 9F após a formação de linhas de espaçador desde a camada de material de espaçador. Em uma modalidade, são formados espaçadores 928 ao longo das paredes laterais das linhas de interconexão 920 usando um processo de gravação anisotrópica por plasma. Em uma modalidade, a camada de material de espaçador 926 permanece nas aberturas na extremidade de linha 924 para formar porções de reserva de espaço na extremidade de linha 930 para linhas de interconexão 920.
[0200] A Figura 9H ilustra a estrutura da Figura 9G após a formação de uma camada de reserva de espaço de plugues. Uma camada de reserva de espaço de plugues 932 é formada entre espaçadores 928 de linhas de interconexão adjacentes 920. A camada de reserva de espaço de plugues 932 é inicialmente formada em localizações onde um segundo conjunto de linhas de interconexão será por fim formado. Em uma modalidade, a camada de reserva de espaço de plugues 932 é formada usando um processo de deposição e planarização, confinando a camada de reserva de espaço de plugues 932 entre os espaçadores 928.
[0201] A Figura 9I ilustra a estrutura da Figura 9H após a padronização da camada de reserva de espaço de plugues. A camada de reserva de espaço de plugues 932 é padronizada para reter reservas
Petição 870190046922, de 20/05/2019, pág. 79/557
70/326 de espaço de plugues 934 em localizações selecionadas onde são por fim formadas extremidades de linha. Em uma modalidade, é usado um processo de gravação e exposição de máscara de resistência litográfica para formar reservas de espaço de plugues 934, com subsequente remoção da pilha ou camada de resistência.
[0202] A Figura 9J ilustra a estrutura da Figura 91 após um segundo processo de metalização. As linhas de interconexão 936 são formadas nas aberturas (segundas aberturas de linha) formadas após padronização da camada de reserva de espaço de plugues 932 para formar reservas de espaço de plugues 934. Adicionalmente, embora as operações de processamento separadas sejam omitidas nas figuras, as aberturas de via, e por fim as vias condutivas 938, podem ser formadas em localizações selecionadas por baixo das linhas condutivas 936. Esse processo resulta em uma camada ILD 940 de padronização dupla (duas operações de padronização de vias diferentes), como é retratado na Figura 9J.
[0203] Em uma modalidade, é efetuado um processo de enchimento de metal para fornecer linhas de interconexão 936 e vias condutivas 938. Em uma modalidade, é efetuado o processo de enchimento de metal usando uma deposição de metal e subsequente esquema de processamento de planarização, como por exemplo um processo de planarização química-mecânica (CMP). No caso de os espaçadores 928 serem compostos substancialmente por silício, um material de revestimento pode ser depositado antes da formação de uma camada de enchimento condutivo, de modo a inibir a silicidação dos espaçadores 928.
[0204] Deve ser reconhecido que, em uma modalidade, uma vez que as linhas de interconexão 936 (e correspondentes vias condutivas 938) são formadas em um processo posterior ao processo usado para fabricar linhas de interconexão 920 (e correspondentes vias condutivas
Petição 870190046922, de 20/05/2019, pág. 80/557
71/326
922), as linhas de interconexão 936 podem ser fabricadas usando um material diferente do usado para fabricar as linhas condutivas 920. Em uma tal modalidade, uma camada de metalização inclui por fim interconexões condutivas de primeiras e segundas composições alternadas diferentes.
[0205] A Figura 9K ilustra a estrutura da Figura 9J após a exposição dos dois conjuntos de linhas de interconexão 920 e 936. Os espaçadores 928, as porções de reserva de espaço na extremidade de linha 930 e as reservas de espaço de plugues 934 são removidos para deixar linhas de interconexão 920 e 936 expostas, com vias condutivas subjacentes 922 e 938, respectivamente, na camada ILD padronizada 940. São reveladas aberturas na extremidade de linha 942. As aberturas na extremidade de linha 942 fornecem quebras no padrão de grade das linhas de interconexão 920 e no padrão de grade das linhas de interconexão 936. Em uma modalidade, os espaçadores 928, as porções de reserva de espaço na extremidade de linha 930 e as reservas de espaço de plugues 934 são removidos usando um processo de gravação seletiva a úmido.
[0206] Em uma modalidade, a estrutura da Figura 9K representa uma estrutura de metalização final tendo uma arquitetura de caixas de ar. Ou seja, uma vez que as linhas de interconexão 920 e 936 são por fim expostas no processo aqui descrito, é permitida uma arquitetura de caixas de ar. Em outra modalidade, uma vez que as linhas de interconexão 920 e 936 são expostas nesse estágio do processo, existe uma oportunidade para remover porções de parede lateral de uma camada de barreira de difusão das linhas de interconexão. Por exemplo, em uma modalidade, a remoção de uma camada de barreira de difusão assim estreita fisicamente os membros condutivos das linhas de interconexão 920 e 936. Em outra modalidade, a resistência dessas linhas de interconexão 920 e 936 é reduzida após remoção de porções
Petição 870190046922, de 20/05/2019, pág. 81/557
72/326 de parede lateral de uma camada de barreira de difusão assim. Como identificado na Figura 9K, são expostas porções de parede lateral de membros 960 de linhas de interconexão 920 e 936, enquanto porções 962 por baixo das linhas não são. Assim, em uma modalidade, uma camada de barreia de difusão de linhas de interconexão 920 e 936 é removida das paredes laterais 960 das linhas de interconexão 920 e 936, mas não das regiões 962 das linhas de interconexão 920 e 936. Em uma modalidade particular, a remoção de porções de parede lateral de uma camada de barreira de difusão assim envolve a remoção de uma camada Ta e/ou TaN.
[0207] Desse modo, com referência às operações 9A a 9K, em uma modalidade, um método de fabricação de uma camada de metalização na extremidade traseira de linha (BEOL) inclui a formação de uma pluralidade de linhas condutivas 920/936 em um material sacrificial 928 formado por cima de um substrato. Cada uma da pluralidade de linhas condutivas 920/936 inclui uma camada de barreira formada ao longo de um fundo e das paredes laterais de uma camada de enchimento condutiva. O material sacrificial 928 é depois removido. A camada de barreira é removida das paredes laterais da camada de enchimento condutiva (por exemplo, nas localizações 960). Em uma modalidade, a remoção da camada de barreira das paredes laterais da camada de enchimento condutiva inclui a remoção de uma camada de tântalo ou nitreto de tântalo das paredes laterais de uma camada de enchimento condutivo incluindo um material selecionado desde o grupo consistindo em Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos.
[0208] A Figura 9L ilustra a estrutura da Figura 9K após a formação de uma camada ILD permanente. A camada dielétrica entre camadas (ILD) 946/948 é formada entre as linhas de interconexão 920 e 936. A camada ILD 946/948 inclui porções 946 entre as linhas de interconexão
Petição 870190046922, de 20/05/2019, pág. 82/557
73/326
920 e 936. A camada ILD 946/948 inclui igualmente porções na extremidade de linha (ou plugue dielétrico) 948 entre localizações das quebras de linha das linhas de interconexão 920 e 936.
[0209] Em relação novamente à Figura 9L, em uma modalidade, uma estrutura semicondutora 999 inclui um substrato (cuja camada ILD subjacente 940 é mostrada). Uma pluralidade do primeiro 920 e segundo 936 tipos de linha condutiva alternados é disposta ao longo de uma mesma direção de uma camada de metalização na extremidade traseira de linha (BEOL) disposta por cima do substrato. Em uma modalidade, como descrito em associação com a Figura 9K, uma composição total do primeiro tipo de linha condutiva 920 é diferente de uma composição total do segundo tipo de linha condutiva 936. Em uma modalidade específica assim, a composição total do primeiro tipo de linha condutiva 920 é substancialmente composta por cobre, e a composição total do segundo tipo de linha condutiva 936 é substancialmente composta por um material selecionado desde o grupo consistindo em Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos, ou vice-versa. Todavia, em outra modalidade, uma composição total do primeiro tipo de linha condutiva 920 é igual a uma composição total do segundo tipo de linha condutiva 936.
[0210] Em uma modalidade, as linhas do primeiro tipo de linha condutiva 920 se encontram espaçadas por um afastamento, e as linhas do segundo tipo de linha condutiva 936 se encontram espaçadas pelo mesmo afastamento. Em uma modalidade, a pluralidade de primeiros e segundos tipos alternados de linha condutiva é disposta em uma camada dielétrica entre camadas (ILD) 946/948. Em outra modalidade, todavia, as linhas da pluralidade de primeiros e segundos tipos alternados de linha condutiva 920/936 se encontram separadas por uma caixa de ar, como descrito em associação com a Figura 9K.
[0211] Em uma modalidade, cada uma das linhas da pluralidade de
Petição 870190046922, de 20/05/2019, pág. 83/557
74/326 primeiros e segundos tipos alternados de linha condutiva 920/936 inclui uma camada de barreira disposta ao longo de um fundo e das paredes laterais da linha. Em outra modalidade, todavia, cada uma das linhas da pluralidade de primeiros e segundos tipos alternados de linha condutiva 920/936 inclui uma camada de barreira disposta ao longo de um fundo 962 da linha, mas não ao longo das paredes laterais 960 da linha, como foi descrito em uma modalidade da Figura 9K. Em uma modalidade, uma ou mais das linhas da pluralidade de primeiros e segundos tipos alternados de linha condutiva são conectadas a uma via subjacente 922/938 conectada a uma camada de metalização subjacente da estrutura semicondutora. Em uma modalidade, uma ou mais das linhas da pluralidade de primeiros e segundos tipos alternados de linha condutiva 920/936 são interrompidas por um plugue dielétrico 948.
[0212] Uma estrutura 999 resultante, como descrito em associação com a Figura 9L (ou a estrutura de caixas de ar da Figura 9K), pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura 999 da Figura 9L (ou a estrutura da Figura 9K) pode representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Deve ser igualmente reconhecido que os exemplos acima se focaram na formação de linhas de metal e extremidades de linhas ou plugues. Todavia, em outras modalidades, podem ser usadas abordagens similares para formar aberturas de vias em uma camada ILD.
[0213] De acordo com uma ou mais modalidades da presente revelação, são descritas abordagens ascendentes de dibloco DAS autoalinhado ou crescimento seletivo. Uma ou mais modalidades aqui
Petição 870190046922, de 20/05/2019, pág. 84/557
75/326 descritas são direcionadas para padronização de vias e plugues autoalinhados. O aspecto autoalinhado dos processos aqui descritos pode se basear em um mecanismo de automontagem dirigida (DSA), como descrito abaixo em mais detalhes. Todavia, deve ser reconhecido que podem ser empregues mecanismos de crescimento seletivo em vez de, ou em conjunto com, abordagens baseadas em DSA. Em uma modalidade, os processos aqui descritos permitem a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha. Mais especificamente, uma ou mais modalidades são direcionadas para uma abordagem que emprega um metal subjacente como um modelo para construir as vias condutivas e interrupções ou espaços não condutivos entre metais (referidos como plugues).
[0214] As Figuras 10A a 10M ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e metais autoalinhados, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em planta no lado esquerdo, e são mostradas vistas em corte transversal correspondentes no lado direito. Essas vistas serão aqui referidas como vistas em corte transversal e vistas em planta correspondentes.
[0215] A Figura 10A ilustra uma vista em planta e correspondentes vistas em corte transversal de opções para uma estrutura de metalização de camada anterior, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondente opção (a) da vista em corte transversal, uma estrutura inicial 1000 inclui um padrão de linhas de metal 1002 e linhas dielétricas entre camadas (ILD) 1004. A estrutura inicial 1000 pode ser padronizada em um padrão tipo grade com linhas de metal espaçadas em um afastamento constante e tendo uma largura constante (por exemplo, para uma modalidade DSA, mas não necessariamente necessária para uma
Petição 870190046922, de 20/05/2019, pág. 85/557
76/326 modalidade de crescimento seletivo dirigido), como é retratado na Figura 10A. O padrão, por exemplo, pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão em quatro do afastamento. Algumas das linhas podem ser associadas a vias subjacentes, como por exemplo a linha 1002’ mostrada como um exemplo nas vistas em corte transversal.
[0216] Novamente em relação à Figura 10A, as opções alternativas (b) a (f) abordam situações onde é formada uma película adicional (por exemplo, depositada, desenvolvida ou deixada como um artefato remanescente de um anterior processo de padronização) em uma superfície de uma das, ou ambas as, linhas de metal 1002 e linhas dielétricas entre camadas 1004. No exemplo (b), é disposta uma película 1006 adicional nas linhas dielétricas entre camadas 1004. No exemplo (c), é disposta uma película 1008 adicional nas linhas de metal 1002. No exemplo (d), é disposta uma película 1006 adicional nas linhas dielétricas entre camadas 1004, e é disposta uma película 1008 adicional nas linhas de metal 1002. Ademais, embora as linhas de metal 1002 e as linhas dielétricas entre camadas 1004 sejam retratadas como coplanares em (a), em outras modalidades, não são coplanares. Por exemplo, em (e), as linhas de metal 1002 se projetam por cima das linhas dielétricas entre camadas 1004. No exemplo (f), as linhas de metal 1002 são colocadas em reentrância por baixo das linhas dielétricas entre camadas 1004.
[0217] Novamente em relação aos exemplos (b) a (d), pode ser usada uma camada adicional (por exemplo, camada 1006 ou 1008) como uma máscara dura (HM - HardMask) ou camada de proteção ou ser usada para permitir um crescimento seletivo e/ou uma automontagem descrita abaixo em associação com subsequentes operações de processamento. Essas camadas adicionais podem igualmente ser usadas para proteger as linhas ILD contra mais
Petição 870190046922, de 20/05/2019, pág. 86/557
77/326 processamento. Além disso, a deposição de forma seletiva de outro material sobre as linhas de metal pode ser benéfica por razões similares. Novamente em relação aos exemplos (e) e (f), pode igualmente ser possível colocar em reentrância tanto as linhas ILD como as linhas de metal com qualquer combinação de materiais protetores/HM em uma ou ambas as superfícies. Globalmente, existem inúmeras opções nesse estágio para a preparação final de superfícies subjacentes para um processo de automontagem seletivo ou dirigido. [0218] A Figura 10B ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10A após formação de linhas dielétricas entre camadas (ILD) 1010 por cima da estrutura da Figura 10A, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (c) consideradas ao longo dos eixos a-a’ e c-c’, respectivamente, as linhas ILD 1010 são formadas em uma estrutura de grade perpendicular à direção de linhas subjacentes 1004. Em uma modalidade, é depositada uma película de cobertura do material de linhas 1010 por deposição química de vapor ou técnicas iguais. Em uma modalidade, a película de cobertura é depois padronizada usando processamento de litografia e gravação que pode envolver, por exemplo, padronização de quádruplos baseada em espaçador (SBQP) ou divisão em quatro do afastamento. Deve ser reconhecido que o padrão em grade de linhas 1010 pode ser fabricado por inúmeros métodos, incluindo litografia EUV e/ou EBDW, automontagem dirigida, etc. Como será descrito abaixo em mais detalhes, a camada de metal subsequente será assim padronizada na direção ortogonal em relação à anterior camada de metal, uma vez que a grade de linhas 1010 é ortogonal à direção da estrutura subjacente. Em uma modalidade, é usada uma única máscara de litografia de 193 nm alinhada com a anterior camada de metal 1002 (por exemplo, a grade de linhas 1010 é
Petição 870190046922, de 20/05/2019, pág. 87/557
78/326 alinhada com o padrão de plugues da camada anterior em X e com a anterior grade de metal em Y). Em relação às estruturas em corte transversal (b) e (d), uma máscara dura 1012 pode ser formada em, ou retida após a padronização de, linhas dielétricas 1010. A máscara dura 1012 pode ser usada para proteger linhas 1010 durante as subsequentes etapas de padronização. Como descrito abaixo em mais detalhes, a formação de linhas 1010 em um padrão de grade expõe regiões das anteriores linhas de metal 1002 e anteriores linhas ILD 1004 (ou correspondentes camadas de máscara dura em 1002/1004). As regiões expostas correspondem a todas as futuras localizações de vias possíveis onde é exposto metal. Em uma modalidade, a anterior camada de metal (por exemplo, linhas 1002) é protegida, identificada, escovada, etc. nesse ponto do fluxo de processo.
[0219] A Figura 10C ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10B após a diferenciação seletiva de todas as potenciais localizações de vias de todas as localizações de plugues, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, aa!, b~b!, c-c’ e d-d’, respectivamente, após a formação de linhas ILD 1010, é formada uma camada de modificação de superfície 1014 nas regiões expostas das linhas ILD subjacentes 1004. Em uma modalidade, a camada de modificação de superfície 1014 é uma camada dielétrica. Em uma modalidade, é formada a camada de modificação de superfície 1014 por uma abordagem de crescimento ascendente seletivo. Em uma tal modalidade, a abordagem de crescimento ascendente envolve um revestimento de escova de automontagem dirigida (DSA) que tem um componente de polímero que é montado preferencialmente nas linhas ILD subjacentes 1004 ou, em alternativa, nas linhas de metal 1002 (ou em uma camada sacrificial
Petição 870190046922, de 20/05/2019, pág. 88/557
79/326 depositada ou desenvolvida no material de metal ou ILD subjacente). [0220] A Figura 10D ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10C após a adição de polímero diferencial às porções expostas de linhas de metal e ILD subjacentes da Figura 10C, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, aa!, b-b’, c-c! e d-d’, respectivamente, é usado o crescimento de automontagem dirigida (DSA) ou seletivo em porções expostas da grade de metal/ILD subjacente 1002/1004 para formar linhas intervenientes 1016 com polímeros alternados ou componentes de polímero alternados entre as linhas ILD 1010. Por exemplo, como mostrado, o polímero 1016A (ou componente de polímero 1016A) é formado nas, ou sobre as, porções expostas de linhas dielétricas entre camadas (ILD) 1004 da Figura 10C, enquanto o polímero 1016B (ou componente de polímero 1016B) é formado nas, ou por cima das, porções expostas das linhas de metal 1002 da Figura 10C. Embora o polímero 1016A seja formado na, ou por cima da, camada de modificação de superfície 1014 descrita em associação com a Figura 10C (consulte vistas em corte transversal (b) e (d) da Figura 10D), deve ser reconhecido que, em outras modalidades, a camada de modificação de superfície 1014 pode ser omitida e os polímeros alternados ou componentes de polímero alternados podem, em vez disso, ser formados diretamente na estrutura descrita em associação com a Figura 10B.
[0221] Novamente em relação à Figura 10D, em uma modalidade, depois de a superfície da estrutura subjacente (por exemplo, estrutura 1000 da Figura 10A) ser preparada (por exemplo, como a estrutura da Figura 10B ou a estrutura da Figura 10C) ou usada diretamente, um copolímero em dibloco 50-50, como por exemplo metacrilato de poliestireno-polimetila (PS-PMMA), é revestido no substrato e recozido
Petição 870190046922, de 20/05/2019, pág. 89/557
80/326 para acionar a automontagem, originando a camada 1016 de polimero 1016A/polímero 1016B da Figura 10D. Em uma tal modalidade, com condições de energia de superfície apropriadas, os copol imeros em bloco são segregados com base no material subjacente exposto entre linhas ILD 1010. Por exemplo, em uma modalidade específica, o poliestireno é alinhado seletivamente com as porções expostas de linhas de metal subjacentes 1002 (ou capa de linha de metal ou material de máscara dura correspondente). Entretanto, o metacrilato de polimetila é alinhado seletivamente com as porções expostas de linhas ILD 1004 (ou capa de linha de metal ou material de máscara dura correspondente).
[0222] Desse modo, em uma modalidade, a grelha de metal e ILD subjacente, como exposto entre linhas ILD 1010, é recriada no copolímero em bloco (BCP, ou seja, polímero 1016A/polímero 1016B). Isso pode ser particularmente assim se o afastamento BCP for proporcional ao afastamento de grade subjacente. A grelha de polímeros (polímero 1016A/polímero 1016B) é, em uma modalidade, robusta relativamente a certos pequenos desvios de uma grelha bem alinhada. Por exemplo, se pequenos plugues colocarem eficazmente um óxido ou material igual onde uma grelha bem alinhada teria metal, ainda pode ser alcançada uma grelha bem alinhada de polímero 1016A/polímero 1016B. Todavia, uma vez que a grade de linhas ILD é, em uma modalidade, uma estrutura de grade idealizada, com nenhumas perturbações de metal da base ILD, pode ser necessário tomar a superfície ILD neutra, uma vez que ambos os tipos de polímero (1016A e 1016B) serão, em uma instância assim, expostos a material tipo ILD enquanto somente um tipo é exposto a metal.
[0223] Em uma modalidade, a espessura do polímero revestido (polímero 1016A/polímero 1016B) é aproximadamente a mesma da, ou ligeiramente mais espessa que a, espessura final de um ILD formado
Petição 870190046922, de 20/05/2019, pág. 90/557
81/326 por fim em seu devido lugar. Em uma modalidade, como descrito abaixo em mais detalhes, a grelha de polímeros é formada não como uma resistência de gravação, mas sim como armação para desenvolver por fim uma camada ILD permanente em tomo da mesma. Desse modo, a espessura do polímero 1016 (polímero 1016A/polímero 1016B) pode ser importante, uma vez que pode ser usada para definir a espessura final de uma camada ILD permanente subsequentemente formada. Ou seja, em uma modalidade, a grade de polímeros mostrada na Figura 10D é eventualmente substituída por uma grade ILD de praticamente a mesma espessura.
[0224] Em uma modalidade, como mencionado acima, a grelha de polímero 1016A/polímero 1016B da Figura 10D é um copolimero em bloco. Em uma tal modalidade, a molécula de copolimero em bloco é uma molécula polimérica formada por uma cadeia de monômeros covalentemente unidos. Em um copolimero em bloco, existem pelo menos dois tipos diferentes de monômeros, e esses diferentes tipos de monômeros são essencialmente incluídos em diferentes blocos ou sequências contíguas de monômeros. A molécula de copolimero em bloco ilustrada inclui um bloco de polímero 1016A e um bloco de polímero 1016AB. Em uma modalidade, o bloco de polímero 1016A inclui predominantemente uma cadeia de monômeros A covalentemente ligados (por exemplo, A-A-A-A-A...), ao passo que o bloco de polímero 1016B inclui predominantemente uma cadeia de monômeros B covalentemente ligados (por exemplo, B-B-B-B-B...). Os monômeros A e B podem representar qualquer um dos diferentes tipos de monômeros usados em copolímeros em bloco conhecidos na técnica. Como exemplo, o monômero A pode representar monômeros para formar poliestireno e o monômero B pode representar monômeros para formar poli(metilmetacrilato) (PMMA), embora o escopo da revelação não seja tão limitado. Em outras modalidades, podem existir
Petição 870190046922, de 20/05/2019, pág. 91/557
82/326 mais de dois biocos. Além do mais, em outras modalidades, cada um dos blocos pode incluir diferentes tipos de monômeros (por exemplo, cada bloco pode ele próprio ser um copolímero). Em uma modalidade, o bloco de polímero 1016A e o bloco de polímero 1016B são covalentemente unidos um ao outro. O bloco de polímero 1016A e o bloco de polímero 1016B podem ter um comprimento aproximadamente igual, ou um bloco pode ser significativamente mais longo que o outro. [0225] Tipicamente, os blocos de copolímeros em bloco (por exemplo, o bloco de polímero 1016A e o bloco de polímero 1016B) podem, cada um deles, ter diferentes propriedades químicas. Como um exemplo, um dos blocos pode ser relativamente mais hidrofóbico (por exemplo, repelente de água) e o outro pode ser relativamente mais hidrofílico (atraente de água). Pelo menos conceptualmente, um dos blocos pode ser relativamente mais similar a óleo e o outro bloco pode ser relativamente mais similar a água. Essas diferenças nas propriedades químicas entre os diferentes blocos de polímeros, quer seja uma diferença hidrofílica-hidrofóbica quer não, podem causar a automontagem das moléculas de copolímero em bloco. Por exemplo, a automontagem pode se basear na separação de microfase dos blocos de polímero. Conceptualmente, isso pode ser similar à separação de fase de óleo e água que são geralmente imiscíveis. Similarmente, as diferenças na hidrofilicidade entre os blocos de polímero (por exemplo, um bloco é relativamente hidrofóbico e o outro bloco é relativamente hidrofílico) podem causar uma separação de microfase praticamente análoga onde os diferentes blocos de polímero tentam se separar uns dos outros devido à aversão química entre si.
[0226] Todavia, em uma modalidade, uma vez que os blocos de polímero são covalentemente unidos uns aos outros, os mesmos não conseguem se separar completamente em uma escala macroscópica. Em vez disso, os blocos de polímero de um dado tipo podem tender a
Petição 870190046922, de 20/05/2019, pág. 92/557
83/326 se segregar ou conglomerar com blocos de polímero do mesmo tipo de outras moléculas em regiões ou fases extremamente pequenas (por exemplo, de tamanho em nanômetros). O tamanho e o formato particulares das regiões ou microfases dependem geralmente pelo menos em parte dos comprimentos relativos dos blocos de polímero. Em uma modalidade, como exemplo (como mostrado na Figura 10D), em dois copolímeros em bloco, se os blocos tiverem aproximadamente o mesmo comprimento, é gerado um padrão tipo grelha de linhas de polímero 1016A e linhas de polímero 1016B alternadas. Em outra modalidade (não mostrada), em dois copolímeros em bloco, se um dos blocos for mais longo que o outro, mas não demasiado muito mais longo que o outro, podem ser formadas estruturas colunares. Nas estruturas colunares, as moléculas de copolímero em bloco podem se alinhar com seus blocos de polímero mais curtos de microfase separada no interior das colunas e seus blocos de polímero mais longos se estendendo para longe das colunas e circundando as colunas. Por exemplo, se o bloco do polímero 1016Afor mais longo que o bloco do polímero 1016B, mas não demasiado muito mais longo, podem ser formadas estruturas colunares nas quais muitas moléculas de copolímero em bloco se alinham com seus blocos mais curtos de polímero 1016B formando estruturas colunares circundadas por uma fase tendo os blocos mais longos de polímero 1016A. Quando isso ocorre em uma área de tamanho suficiente, pode ser formada uma matriz bidimensional de estruturas colunares geralmente acondicionadas hexagonalmente.
[0227] Em uma modalidade, a grade de polímero 1016A/polímero 1016B é primeiro aplicada como uma porção de camada de copolímero em bloco não montada que inclui um material de copolímero em bloco aplicado, por exemplo, por escova ou outro processo de revestimento. O aspecto não montado se refere a cenários onde, no momento da deposição, a fase do copolímero em bloco ainda não foi
Petição 870190046922, de 20/05/2019, pág. 93/557
84/326 substancialmente separada e/ou o mesmo ainda não foi automontado para formar nanoestruturas. Nessa forma não montada, as moléculas de polímero em bloco são relativamente selecionadas de forma altamente aleatória, com os diferentes blocos de polímero relativamente orientados e localizados de forma altamente aleatória, o que é, em oposição à porção de camada de copolímero em bloco montada, discutido em associação com a estrutura resultante da Figura 10D. A porção de camada de copolímero em bloco não montada pode ser aplicada em uma variedade de formas diferentes. Como exemplo, o copolímero em bloco pode ser dissolvido em um solvente e depois revestido por rotação sobre a superfície. Em alternativa, o copolímero em bloco não montado pode ser revestido por pulverização, revestido por mergulho, revestido por imersão ou então revestido ou aplicado sobre a superfície. Outras formas de aplicação de copolímeros em bloco, bem como outras formas conhecidas na técnica para aplicação de revestimentos orgânicos similares, podem ser potencialmente usadas. Em seguida, a camada não montada pode formar uma porção de camada de copolímero em bloco montada, por exemplo, por separação de microfase e/ou automontagem da porção de camada de copolímero em bloco não montada. A separação de microfase e/ou automontagem ocorre através de reorganização e/ou reposicionamento das moléculas de copolímero em bloco, e em particular de reorganização e/ou reposicionamento dos diferentes blocos de polímero das moléculas de copolímero em bloco.
[0228] Em uma tal modalidade, pode ser aplicado um tratamento de recozimento ao copolímero em bloco não montado de modo a iniciar, acelerar, aumentar a qualidade da, ou então provocar a, separação de microfase e/ou automontagem. Em algumas modalidades, o tratamento de recozimento pode incluir um tratamento que seja operável para aumentar uma temperatura do copolímero em bloco. Um exemplo desse
Petição 870190046922, de 20/05/2019, pág. 94/557
85/326 tratamento é a cozedura da camada, o aquecimento da camada em um forno ou sob uma lâmpada térmica, a aplicação de radiação infravermelha na camada ou então a aplicação de calor na, ou o aumento da temperatura da, camada. O aumento desejado de temperatura será geralmente suficiente para acelerar significativamente a velocidade de separação de microfase e/ou automontagem do polímero em bloco sem danificar o copolimero em bloco ou quaisquer outros materiais ou estruturas importantes do substrato de circuito integrado. Comumente, o aquecimento pode variar entre cerca de 50 °C e cerca de 300 °C, ou entre cerca de 75 °C e cerca de 250 °C, mas não excedendo limites de degradação térmica do copolimero em bloco ou substrato de circuito integrado. O aquecimento ou recozimento pode ajudar a fornecer energia às moléculas de copolimero em bloco para as tornar mais móveis/flexíveis de modo a aumentar a velocidade da separação de microfase e/ou melhorar a qualidade da separação de microfase. Essa separação de microfase ou reorganização/reposicionamento das moléculas de copolimero em bloco pode conduzir à automontagem para formar estruturas extremamente pequenas (por exemplo, escala nanométrica). A automontagem pode ocorrer sob a influência de energia da superfície, afinidades moleculares e outras forças relacionadas com a superfície e relacionadas com química.
[0229] Em qualquer caso, em algumas modalidades, a automontagem de copolímeros em bloco, quer baseada em diferenças hidrofóbicas-hidrofilicas quer não, pode ser usada para formar estruturas periódicas extremamente pequenas (por exemplo, estruturas ou linhas de escala nanométrica precisamente espaçadas). Em algumas modalidades, a mesma pode ser usada para formar linhas de escala nanométrica ou outras estruturas de escala nanométrica que possam, por fim, ser usadas para formar vias e aberturas. Em algumas
Petição 870190046922, de 20/05/2019, pág. 95/557
86/326 modalidades, pode ser usada automontagem dirigida de copolímeros em bloco para formar vias que sejam autoalinhadas com interconexões, como descrito abaixo em mais detalhes.
[0230] Em relação novamente à Figura 10D, em uma modalidade, para um processo DSA, além da direção desde as superfícies ILD/de metal 1004/1002 subjacentes, o processo de crescimento pode ser afetado pelas paredes laterais do material de linhas ILD 1010. Desse modo, em uma modalidade, DSA é controlada através de grafoepitaxia (desde as paredes laterais das linhas 1010) e quimioepitaxia (desde as características de superfície exposta subjacente). O constrangimento do processo DSA tanto física como quimicamente pode ajudar significativamente o processo de uma perspectiva dos defeitos. Os polímeros 1016A/1016B resultantes têm menos graus de liberdade e são totalmente constrangidos em todas as direções através de química (por exemplo, linhas ILD ou de metal subjacentes, ou modificações de superfície aí efetuadas por, por exemplo, uma abordagem de escova) e física (por exemplo, desde as valas aí formadas entre as linhas ILD 1010).
[0231] Em uma modalidade alternativa, é usado um processo de crescimento seletivo em vez de uma abordagem DSA. A Figura 10E ilustra uma vista em corte transversal da estrutura da Figura 10B após seleção das porções expostas de linhas de metal e ILD subjacentes, de acordo com outra modalidade da presente revelação. Em relação à Figura 10E, um primeiro tipo de material 1090 é desenvolvido por cima das porções expostas de linhas ILD subjacentes 1004. Um segundo tipo de material 1092 diferente é desenvolvido por cima das porções expostas de linhas de metal subjacentes 1002. Em uma modalidade, o crescimento seletivo é alcançado por uma abordagem de dep-grav-depgrav para cada um do primeiro e segundo materiais, resultando em uma pluralidade de camadas de cada um dos materiais, como retratado na
Petição 870190046922, de 20/05/2019, pág. 96/557
87/326
Figura 10E. Uma abordagem assim pode ser favorável versus técnicas convencionais de crescimento seletivo que podem formar películas em forma de cabeça de cogumelo. A tendência de crescimento de película em cabeça de cogumelo pode ser reduzida através de uma abordagem alternada de deposição/gravação/deposição (dep-grav-dep-grav). Em outra modalidade, uma película é depositada seletivamente sobre o metal, se seguindo uma seletividade de película diferente sobre o ILD (ou vice-versa), e inúmeras vezes repetida criando uma pilha tipo sanduíche. Em outra modalidade, ambos os materiais crescem em simultâneo em uma câmara de reação (por exemplo, por um processo estilo CVD) que cresce seletivamente em cada região exposta do substrato subjacente.
[0232] A Figura 10F ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10D após remoção de uma espécie de polímero, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, aa’, b-b’, c-c’ e d~ds, respectivamente, é removido o polímero ou a porção de polímero 1016A para expor novamente as linhas ILD 1004 (ou camadas de máscara dura ou capa formadas nas linhas ILD 1004), enquanto o polímero ou a porção de polímero 1016B é retido por cima das linhas de metal 1002. Em uma modalidade, é usada uma exposição à inundação ultravioleta profunda (DUV - Deep Ultra-Violet), se seguindo uma gravação a úmido ou uma gravação a seco seletiva para remover seletivamente o polímero 1016A. Deve ser reconhecido que, em vez da primeira remoção do polímero das linhas ILD 1004 (como retratado), a remoção das linhas de metal 1002 pode ser, em vez disso, efetuada primeiro. Em alternativa, uma película dielétrica é desenvolvida seletivamente sobre a região, e não é usada uma armação mista.
Petição 870190046922, de 20/05/2019, pág. 97/557
88/326 [0233] A Figura 10G ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10F após formação de um material ILD nas localizações abertas após remoção de uma espécie de polímero, de acordo com uma modalidade da presente revelação. Relativamente à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a-a’, b-b’, cc’ e d-d’, respectivamente, as regiões expostas de linhas ILD 1004 subjacentes são cheias com uma camada dielétrica entre camadas (ILD) 1018 permanente. Desse modo, os espaços abertos entre todas as posições de vias possíveis são cheios com uma camada ILD 1018 que inclui uma camada de máscara dura 1020 aí disposta, como retratado na vista em planta e nas vistas em corte transversal (b) e (d) da Figura 10G. Deve ser reconhecido que o material da camada ILD 1018 não necessita de ser o mesmo material das linhas ILD 1010. Em uma modalidade, a camada ILD 1018 é formada por um processo de deposição e polimento. No caso em que a camada ILD 1018 é formada com uma camada de máscara dura 1020 acompanhante, pode ser usado um material de enchimento ILD especial (por exemplo, nanopartículas encapsuladas de polímero de ILD que enchem lacunas/valas). Nesse caso, pode não ser necessária uma operação de polimento.
[0234] Novamente em relação à Figura 10G, em uma modalidade, a estrutura resultante inclui uma estrutura ILD uniforme (linhas ILD 1010 + camada ILD 1018), e as localizações de todos os plugues possíveis são cobertas em máscara dura 1020 e todas as vias possíveis se encontram em áreas de polímero 1016B. Em uma tal modalidade, as linhas ILD 1010 e a camada ILD 1018 são compostas por um mesmo material. Em outra tal modalidade, as linhas ILD 1010 e a camada ILD 1018 são compostas por diferentes materiais ILD. Em qualquer caso, em uma modalidade específica, pode ser observada uma distinção,
Petição 870190046922, de 20/05/2019, pág. 98/557
89/326 como por exemplo uma junção, entre os materiais de linhas ILD 1010 e a camada ILD 1018 na estrutura final. As junções 1099 exemplificativas são mostradas na Figura 10G para fins ilustrativos.
[0235] A Figura 10H ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10G após a padronização de vias, de acordo com uma modalidade da presente revelação. Relativamente à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a-a’, b-b’, c~ c’ e d-d’, respectivamente, as localizações de vias 1022A, 1022B e 1022C são abertas mediante remoção de polímero 1016B em localizações selecionadas. Em uma modalidade, a formação de localizações de vias seletiva é realizada usando uma técnica litográfica. Em uma tal modalidade, o polímero 1016B é globalmente removido com uma incineração e reenchido com fotorresistência. A fotorresistência pode ser altamente sensível e ter uma grande difusão de ácido e desproteção agressiva ou reticulação (dependendo do tom de resistência), uma vez que a imagem latente é confinada em ambas as direções por ILD (por exemplo, por linhas ILD 1010 e camada ILD 1018). A resistência serve como um comutador digital para ligar ou desligar dependendo de uma via ser ou não requerida em uma localização particular. Idealmente, a fotorresistência pode ser usada para encher somente as lacunas, sem derramamento. Em uma modalidade, as localizações de vias 1022A, 1022B e 1022C são totalmente confinadas com o processo, de modo que a rugosidade de beira ou largura de linha (LWR) e o colapso e/ou reflexo de linha sejam mitigados, se não eliminados. Em uma modalidade, são usadas doses baixas com EUV/EBDW e aumentam significativamente a taxa de deslocamento. Em uma modalidade, uma vantagem adicional com o uso de EBDW é que somente um único tipo/tamanho de injeção pode aumentar a taxa de deslocamento reduzindo significativamente o número de orifícios
Petição 870190046922, de 20/05/2019, pág. 99/557
90/326 requeridos bem como diminuindo a dose que necessita de ser distribuída. Em um caso em que é usada litografia de imersão de 193 nm, em uma modalidade, o fluxo de processo confina as localizações de vias em ambas as direções, de modo que o tamanho da via que é efetivamente padronizada seja o dobro do tamanho da via efetiva no wafer (por exemplo, assumindo padrões de linha/espaço 1:1). Em alternativa, as localizações de vias podem ser selecionadas no tom reverso onde as vias que necessitam de ser retidas são protegidas com fotorresistência e os locais remanescentes são removidos e posteriormente cheios com ILD. Essa abordagem pode permitir um único processo de enchimento/polimento de metal no fim do fluxo de padronização em vez de duas etapas de deposição de metal separadas. [0236] A Figura 101 ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10H após formação de vias, de acordo com uma modalidade da presente revelação. Relativamente à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a-a’, b-b!, c-c’ e d-d’, respectivamente, as localizações de vias 1022A, 1022B e 1022C são cheias com metal para formar vias 1024A, 1024B e 1024C, respectivamente. Em uma modalidade, as localizações de vias 1022A, 1022B e 1022C são cheias com metal em excesso, e é efetuada uma subsequente operação de polimento. Em outra modalidade, todavia, as localizações de vias 1022A, 1022B e 1022C são cheias sem transbordamento de metal e a operação de polimento é omitida. Deve ser reconhecido que o enchimento de vias ilustrado na Figura 101 pode ser ignorado em uma abordagem de seleção de vias de tom reverso. [0237] A Figura 10J ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 101 após a remoção da segunda espécie de polímero e substituição por um material ILD, de acordo com uma modalidade da presente revelação. Em relação à vista
Petição 870190046922, de 20/05/2019, pág. 100/557
91/326 em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a-a’, b-b’, c-c’ e d-d!, respectivamente, é removido o polímero ou a porção de polímero 1016B remanescente (por exemplo, onde não foram selecionadas localizações de vias) para expor novamente as linhas de metal 1002. Subsequentemente, uma camada ILD 1026 é formada nas localizações onde o polímero ou a porção de polímero 1016B remanescente foi removido, como retratado na Figura 10J.
[0238] Novamente em relação à Figura 10J, em uma modalidade, a estrutura resultante inclui uma estrutura ILD uniforme (linhas ILD 1010 + camada ILD 1018 + camada ILD 1026), e as localizações de todos os plugues possíveis são cobertas em máscara dura 1020. Em uma tal modalidade, as linhas ILD 1010, a camada ILD 1018 e a camada ILD 1026 são compostas por um mesmo material. Em outra tal modalidade, duas entre linhas ILD 1010, camada ILD 1018 e camada ILD 1026 são compostas por um mesmo material e a terceira é composta por um material ILD diferente. Ainda em outra tal modalidade, todas as linhas ILD 1010, a camada ILD 1018 e a camada ILD 1026 são compostas por um material ILD diferente entre si. Em qualquer caso, em uma modalidade específica, pode ser observada uma distinção, como por exemplo uma junção, entre os materiais de linhas ILD 1010 e a camada ILD 1026 na estrutura final. As junções 1097 exemplificativas são mostradas na Figura 10J para fins ilustrativos. Igualmente, pode ser observada uma distinção, como por exemplo uma junção entre os materiais de camada ILD 1018 e camada ILD, na estrutura final. As junções 1098 exemplificativas são mostradas na Figura 10J para fins ilustrativos.
[0239] A Figura 10K ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10J após a padronização de uma resistência ou máscara em localizações de
Petição 870190046922, de 20/05/2019, pág. 101/557
92/326 plugues selecionadas, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a’ e b-b’, respectivamente, as posições de plugues 1028A, 1028B e 1028C são preservadas formando uma máscara ou camada de resistência sobre essas localizações. Essa padronização de preservação pode ser referida como padronização litográfica completa de metal, em que são determinadas posições de plugues onde são requeridas quebras em linhas de metal subsequentemente formadas. Deve ser reconhecido que, uma vez que as localizações de plugues somente podem se situar nas localizações onde a camada ILD 1018/máscara dura 1020 se encontram posicionadas, podem ocorrer plugues sobre as anteriores linhas ILD 1004 de camada. Em uma modalidade, a padronização é alcançada usando uma operação de litografia (por exemplo, EUV, EBDW ou imersão de 193 nm). Em uma modalidade, o processo ilustrado na Figura 10K demonstra o uso de um processo de padronização de tom positivo onde as regiões onde é necessária a ocorrência de espaços entre metal são preservadas. Deve ser reconhecido que, em outra modalidade, é igualmente possível abrir lacunas em alternativa e reverter o tom do processo.
[0240] A Figura 10L ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10K após a remoção da máscara dura e a colocação em reentrância da camada ILD, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a! e b-b’, respectivamente, a máscara dura 1020 é removida e a camada ILD 1018 e a camada ILD 1026 são colocadas em reentrância para formar a camada ILD 1018’ em reentrância e a camada ILD 1026’ em reentrância, respectivamente, por gravação dessas camadas por baixo de suas superfícies mais altas
Petição 870190046922, de 20/05/2019, pág. 102/557
93/326 originais. Deve ser reconhecido que a colocação em reentrância da camada ILD 1018 e da camada ILD 1026 é efetuada sem gravação nem colocação em reentrância das linhas ILD 1010. A seletividade pode ser alcançada usando uma camada de máscara dura 1012 nas linhas ILD (como retratado nas vistas em corte transversal (a) e (b)). Em alternativa, em um caso em que as linhas ILD 1010 são compostas por um material ILD diferente do material da camada ILD 1018 e da camada ILD 1026, pode ser usada uma gravação seletiva mesmo na ausência de uma máscara dura 1012. A colocação em reentrância da camada ILD 1018 e da camada ILD 1026 se destina a fornecer localizações para o segundo nível de linhas de metal, como isolado pelas linhas ILD 1010, como descrito abaixo. A extensão ou profundidade da reentrância é, em uma modalidade, selecionada com base na espessura final desejada das linhas de metal aí formadas. Deve ser reconhecido que a camada ILD 1018 nas localizações de plugues 1028A, 1028B e 1028C não é colocada em reentrância.
[0241 ] A Figura 10M ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 10L após formação de linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a), (b) e (c) consideradas ao longo dos eixos, a-a’, bb’ e c-c’, respectivamente, é formado metal para formação de linhas de interconexão de metal em conformidade por cima da estrutura da Figura 10L. O metal é depois planarizado, por exemplo, por CMP, para fornecer linhas de metal 1030, que são confinadas a localizações por cima da camada ILD 1018’ em reentrância e da camada ILD 1026’ em reentrância. As linhas de metal 1030 são acopladas com linhas de metal 1002 subjacentes através das localizações de vias 1024A, 1024B e 1024C predeterminadas (1024B é mostrada na vista em corte transversal (c); note que, para fins ilustrativos, é retratada outra via 1032
Petição 870190046922, de 20/05/2019, pág. 103/557
94/326 diretamente adjacente ao plugue 1028B na vista em corte transversal (b) mesmo que isso seja inconsistente com as anteriores figuras). As linhas de metal 1030 são isoladas entre si por linhas ILD 1010 e são perturbadas ou quebradas pelos plugues 1028A, 1028B e 1028C preservados. Qualquer máscara dura remanescendo nas localizações de plugues e/ou nas linhas ILD 1010 pode ser removida nessa porção do fluxo de processo, como retratado na Figura 10M. O processo de deposição e planarização de metal (por exemplo, cobre e camadas de barreira e semente associadas) para formar linhas de metal 1030 pode ser o tipicamente usado para processamento por damasquinagem única ou dupla na extremidade traseira de linha (BEOL) padrão. Em uma modalidade, em subsequentes operações de fabricação, as linhas ILD 1010 podem ser removidas para fornecer caixas de ar entre as linhas de metal 1030 resultantes.
[0242] A estrutura da Figura 10M pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 10M pode representar a camada de interconexões de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Ademais, embora o fluxo de processo acima se tenha focado em aplicações de automontagem dirigida (DSA), podem ser usados em alternativa processos de crescimento seletivo em uma ou mais localizações do fluxo de processo. Em qualquer caso, as estruturas resultantes permitem a fabricação de vias que sejam diretamente centradas em linhas de metal subjacentes. Ou seja, as vias podem ser mais largas que, mais estreitas que, ou ter a mesma espessura que as linhas de metal subjacentes, por exemplo, devido a processamento de gravação seletiva não perfeita. Contudo, em
Petição 870190046922, de 20/05/2019, pág. 104/557
95/326 uma modalidade, os centros das vias são diretamente alinhados (combinam) com os centros das linhas de metal. Desse modo, em uma modalidade, a compensação devido à padronização de litografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não é um fator para as estruturas resultantes aqui descritas.
[0243] Uma ou mais modalidades aqui descritas são direcionadas para padronização de vias e plugues autoalinhados de camada anterior. O aspecto autoalinhado dos processos aqui descritos pode se basear em um mecanismo de automontagem dirigida (DSA), como descrito abaixo em mais detalhes. Todavia, deve ser reconhecido que podem ser empregues mecanismos de crescimento seletivo em vez de, ou em conjunto com, abordagens baseadas em DSA. Em uma modalidade, os processos aqui descritos permitem a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha.
[0244] As Figuras 11A a 11M ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e metais autoalinhados, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em planta no lado esquerdo, e são mostradas correspondentes vistas em corte transversal no lado direito. Essas vistas serão aqui referidas como vistas em corte transversal e vistas em planta correspondentes.
[0245] A Figura 11A ilustra uma vista em planta e correspondentes vistas em corte transversal de opções para uma estrutura de metalização de camada anterior, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e opção (a) da vista em corte transversal correspondente, uma estrutura inicial 1100 inclui um padrão de linhas de metal 1102 e linhas dielétricas entre camadas
Petição 870190046922, de 20/05/2019, pág. 105/557
96/326 (ILD) 1104. A estrutura ini ci al 1100 pode ser padronizada em um padrão tipo grade com linhas de metal espaçadas em um afastamento constante e tendo uma largura constante, como é retratado na Figura 11A se estiverem sendo usados materiais de automontagem. Se for usada uma técnica de crescimento seletivo dirigido, então o padrão subjacente não tem de ser um único afastamento ou largura. O padrão, por exemplo, pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão em quatro do afastamento. Algumas das linhas podem ser associadas a vias subjacentes, como por exemplo a linha 1102’ mostrada como um exemplo nas vistas em corte transversal.
[0246] Novamente em relação à Figura 11 A, as opções alternativas (b) a (f) abordam situações onde é formada uma película adicional (por exemplo, depositada, desenvolvida ou deixada como um artefato remanescente de um anterior processo de padronização) em uma superfície de uma das, ou ambas as, linhas de metal 1102 e linhas dielétricas entre camadas 1104. No exemplo (b), é disposta uma película 1106 adicional nas linhas dielétricas entre camadas 1104. No exemplo (c), é disposta uma película 1108 adicional nas linhas de metal 1102. No exemplo (d), é disposta uma película 1106 adicional nas linhas dielétricas entre camadas 1104, e é disposta uma película adicional 1108 nas linhas de metal 1102. Ademais, embora as linhas de metal 1102 e as linhas dielétricas entre camadas 1104 sejam retratadas como coplanares em (a), em outras modalidades, não são coplanares. Por exemplo, em (e), as linhas de metal 1102 se projetam por cima das linhas dielétricas entre camadas 1104. No exemplo (f), as linhas de metal 1102 são colocadas em reentrância por baixo das linhas dielétricas entre camadas 1104.
[0247] Novamente em relação aos exemplos (b) a (d), pode ser usada uma camada adicional (por exemplo, camada 1106 ou 1108)
Petição 870190046922, de 20/05/2019, pág. 106/557
97/326 como uma máscara dura (HM) ou camada de proteção ou ser usada para permitir um crescimento seletivo e/ou automontagem descritos abaixo em associação com subsequentes operações de processamento. Essas camadas adicionais podem igualmente ser usadas para proteger as linhas ILD em relação a mais processamento. Além disso, a deposição de forma seletiva de outro material sobre as linhas de metal pode ser benéfica por razões similares. Novamente em relação aos exemplos (e) e (f), pode igualmente ser possível colocar em reentrância tanto as linhas ILD como as linhas de metal com qualquer combinação de materiais protetores/HM em uma ou ambas as superfícies. Globalmente, existem inúmeras opções nesse estágio para a preparação final de superfícies subjacentes para um processo de automontagem seletiva ou dirigida.
[0248] A Figura 11B ilustra uma vista em planta e correspondentes vistas em corte transversal de opções para crescimento de automontagem dirigida (DSA) em uma grade de metal/ILD subjacente (por exemplo, em uma estrutura, como mostrado na Figura 11 A), de acordo com uma modalidade da presente revelação. Em relação à vista em planta, a estrutura 1110 inclui uma camada com polímeros alternados ou componentes de polímero alternados. Por exemplo, como mostrado, o Polímero A (ou componente de polímero A) é formado nas, ou por cima das, linhas dielétricas entre camadas (ILD) 1104 da Figura 11 A, enquanto o polímero B (ou componente de polímero B) é formado nas, ou por cima das, linhas de metal 1102 da Figura 11A. Em relação às vistas em corte transversal, em (a) o Polímero A (ou componente de polímero A) é formado nas linhas ILD 1104 e o Polímero B (ou componente de polímero B) é formado nas linhas de metal 1102. Em (b), o Polímero A (ou componente de polímero A) é formado em uma película 1106 adicional formada nas linhas ILD 1104, enquanto o Polímero B (ou componente de polímero B) é formado nas linhas de
Petição 870190046922, de 20/05/2019, pág. 107/557
98/326 metal 1102. Em (c), o Polímero A (ou componente de polímero A) é formado nas linhas ILD 1104, enquanto o Polímero B (ou componente de polímero B) é formado em uma película 1108 adicional formada nas linhas de metal 1102. Em (d), o Polímero A (ou componente de polímero A) é formado em uma película 1106 adicional formada nas linhas ILD 1104, e o Polímero B (ou componente de polímero B) é formado em uma película 1108 adicional formada nas linhas de metal 1102.
[0249] Em relação novamente à Figura 11B, em uma modalidade, após a preparação da superfície da estrutura subjacente (por exemplo, estrutura 1100 da Figura 11 A), um copolimero em dibloco 50-50, como por exemplo metacrilato de poliestireno-polimetila (PS-PMMA), é revestido no substrato e recozido para acionar a automontagem, originando a camada de Polímero A/Polímero B da estrutura 1110 da Figura 11B. Em uma tal modalidade, com condições de energia de superfície apropriadas, os copolímeros em bloco são segregados com base no material subjacente da estrutura 1100. Por exemplo, em uma modalidade específica, o poliestireno é alinhado seletivamente com as linhas de metal 1102 subjacentes (ou capa de linha de metal ou material de máscara dura correspondente). Entretanto, o metacrilato de polimetila é alinhado seletivamente com as linhas ILD 1104 (ou capa de linha de metal ou material de máscara dura correspondente).
[0250] Desse modo, em uma modalidade, a grelha de metal e ILD subjacente é recriada no copolimero em bloco (BCP, ou seja, Polímero A/Polímero B). Isso pode ser particularmente assim se o afastamento BCP for proporcional ao afastamento de grade subjacente. A grelha de polímeros (Polímero A/Polímero B) é, em uma modalidade, robusta relativamente a certos pequenos desvios em relação a uma grelha altamente bem alinhada. Por exemplo, se pequenos plugues colocarem eficazmente um óxido ou material igual onde uma grelha altamente bem alinhada teria metal, ainda pode ser alcançada uma grelha altamente
Petição 870190046922, de 20/05/2019, pág. 108/557
99/326 bem alinhada de Polímero A/Polímero B. Todavia, uma vez que a grade de linhas ILD é, em uma modalidade, uma estrutura de grade idealizada, com nenhumas perturbações de metal da base ILD, pode ser necessário tornar a superfície ILD neutra, uma vez que ambos os tipos de polímero (A e B) serão, em uma instância assim, expostos a material tipo ILD enquanto somente um tipo é exposto a metal.
[0251] Em uma modalidade, a espessura do polímero revestido (Polímero A/B) é aproximadamente a mesma da, ou ligeiramente mais espessa que a, espessura final de um ILD por fim formado em seu devido lugar. Em uma modalidade, como descrito abaixo em mais detalhes, a grelha de polímeros é formada não como uma resistência de gravação, mas sim como armação para desenvolver por fim uma camada ILD permanente em tomo da mesma. Desse modo, a espessura do polímero (A/B) pode ser importante, uma vez que pode ser usada para definir a espessura final de uma camada ILD permanente subsequentemente formada. Ou seja, em uma modalidade, a grade de polímeros mostrada na Figura 11B é eventualmente substituída por uma grade ILD de praticamente a mesma espessura.
[0252] Em uma modalidade, como mencionado acima, a grelha de Polímero A/Polímero B da Figura 2 é um copolímero em bloco. Em uma tal modalidade, a molécula de copolímero em bloco é uma como descrito acima em associação com a Figura 10D. Em uma modalidade, como um primeiro exemplo (como mostrado na Figura 11B), em dois copolímeros em bloco, se os blocos tiverem aproximadamente o mesmo comprimento, é gerado um padrão tipo grelha de linhas de Polímero A e linhas de Polímero B alternadas. Em outra modalidade, como um segundo exemplo (não mostrado), em dois copolímeros em bloco, se um dos blocos for mais longo que o outro, mas não demasiado muito mais longo que o outro, podem ser formadas estruturas colunares verticais. Nas estruturas colunares, as moléculas de copolímero em
Petição 870190046922, de 20/05/2019, pág. 109/557
100/326 bloco podem se alinhar com seus blocos de polímero mais curtos de microfase separada no interior das colunas e seus blocos de polímero mais longos se estendendo para longe das colunas e circundando as colunas. Por exemplo, se o bloco do Polímero A for mais longo que o bloco do Polímero B, mas não muito mais longo, podem ser formadas estruturas colunares nas quais muitas moléculas de copolímero em bloco se alinham com seus blocos menores do Polímero B formando estruturas colunares circundadas por uma fase tendo os blocos mais longos do Polímero A. Quando isso ocorre em uma área de tamanho suficiente, pode ser formada uma matriz bidimensional de estruturas colunares geralmente acondicionadas hexagonalmente.
[0253] Em uma modalidade, a grade de Polímero A/Polímero B é primeiro aplicada como uma porção de camada de copolímero em bloco não montada que inclui um material de copolímero em bloco aplicado, por exemplo, por escova ou outro processo de revestimento, como descrito acima em associação com a Figura 10D. Em uma tal modalidade, é aplicado um tratamento de recozimento a um copolímero em bloco não montado de modo a iniciar, acelerar, aumentar a qualidade da, ou então provocar a, separação de microfase e/ou automontagem, como descrito acima em associação com a Figura 10D. [0254] A Figura 11C ilustra uma vista em planta e correspondente vista em corte transversal da estrutura da Figura 11B após a remoção de uma espécie de polímero, de acordo com uma modalidade da presente revelação. Em relação à Figura 11C, o Polímero B é removido para expor novamente as linhas de metal 1102 (ou camadas de máscara dura ou capa formadas nas linhas de metal 1102), enquanto o Polímero A é retido nas linhas ILD 1104, formando a estrutura 1112. Em uma modalidade, é usada uma exposição à inundação ultravioleta profunda (DUV), se seguindo uma gravação a úmido ou uma gravação a seco seletiva para remover seletivamente o Polímero B. Deve ser
Petição 870190046922, de 20/05/2019, pág. 110/557
101/326 reconhecido que, em vez da primeira remoção do polímero das linhas de metal 1102 (como retratado), a remoção das linhas ILD pode, em vez disso, ser efetuada primeiro.
[0255] A Figura 11D ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11C após a formação de uma camada de material sacrificial sobre as linhas de metal 1102, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondente vista em corte transversal (b), a estrutura 1114 inclui uma camada Sacrificial B formada por cima das, ou nas, linhas de metal 1102 e entre as linhas de Polímero A que se encontram por cima das, ou nas, linhas ILD 1104. Em uma modalidade, relativamente à vista em corte transversal (a), uma deposição a baixa temperatura enche valas entre linhas de Polímero A, por exemplo, com um óxido (por exemplo, TiOx) ou outro material sacrificial como uma camada 1116 conforme. A camada 1116 conforme é depois confinada a regiões por cima das linhas de metal 1102 por um processo de gravação a seco ou de planarização química-mecânica (CMP). A camada resultante é aqui referida como Sacrificial B, uma vez que, em algumas modalidades, o material é por fim substituído por um material ILD permanente. Todavia, em outras modalidades, deve ser reconhecido que um material ILD permanente pode, em vez disso, ser formado nesse estágio. No caso em que é usado um material sacrificial, em uma modalidade, o material sacrificial tem as propriedades de deposição, a estabilidade térmica e a seletividade de gravação requeridas para outros materiais usados no processo.
[0256] A Figura 11E ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11D após a substituição do Polímero A por um material dielétrico entre camadas (ILD) permanente, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondente vista em corte
Petição 870190046922, de 20/05/2019, pág. 111/557
102/326 transversal (c), a estrutura 1118 inclui linhas dielétricas entre camadas (ILD) 1120 permanentes nas, ou por cima das, linhas ILD 1104 e entre as linhas de material Sacrificial B. Em uma modalidade, como retratado na vista em corte transversal (a), as linhas de Polímero A são removidas. Em seguida, em relação à vista em corte transversal (b), é formada uma camada de material ILD 1119 em conformidade sobre a estrutura resultante. A camada 1119 conforme é depois confinada a regiões por cima das linhas ILD 1104 por um processo de gravação a seco ou de planarização química-mecânica (CMP). Em uma modalidade, a estrutura 1118 substitui eficazmente a grade de polímero (A/B) da Figura 11B por uma grade de material muito espesso (por exemplo, ILD 1120 permanente e Sacrificial B) proporcional à grade de metal subjacente e alinhada com a grade subjacente. Os dois materiais diferentes podem ser usados para definir por fim possíveis localizações para plugues e vias, como descrito abaixo em mais detalhes.
[0257] A Figura 11F ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11 EL após a formação de máscara dura seletiva nas linhas ILD permanentes, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondente vista em corte transversal (c), a estrutura 1122 inclui uma camada de máscara dura 1124 formada nas linhas dielétricas entre camadas (ILD) 1120 permanentes. Em uma modalidade, em relação à vista em corte transversal (c), é usado um processo de crescimento seletivo para formar a camada de máscara dura 1124 como confinada às superfícies das linhas ILD 1120 permanentes. Em outra modalidade, uma camada de material 1123 conforme é primeiro formada (vista em corte transversal (a)) em uma estrutura tendo linhas ILD 1120 permanentes em reentrância. A camada 1123 conforme é depois submetida a um processo de gravação temporizada e/ou CMP para formar a camada de máscara dura 1124 (vista em corte transversal (b)).
Petição 870190046922, de 20/05/2019, pág. 112/557
103/326
No último caso, as linhas ILD 1120 são colocadas em reentrância em relação ao material Sacrificial B e depois uma máscara dura 1123 (de planarização) não conforme é depositada na grade resultante. O material 1123 é mais fino nas linhas Sacrificiais B que nas linhas ILD 1120 em reentrância, de modo que uma gravação temporizada da máscara dura ou uma operação de polimento remova o material 1123 seletivamente do material Sacrificial B.
[0258] A Figura 11G ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11F após a remoção de linhas Sacrificiais B e da substituição por linhas ILD 1128 permanentes, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondente vista em corte transversal (c), a estrutura 1126 inclui linhas ILD 1128 permanentes no lugar das linhas Sacrificiais B da Figura 11F, ou seja, por cima e alinhadas com as linhas de metal 1102. Em uma modalidade, o material Sacrificial B é removido (vista em corte transversal (a)) e substituído por linhas ILD 1128 permanentes (vista em corte transversal (c)), por exemplo, por deposição de uma camada conforme e subsequente gravação temporizada ou processamento CMP (vista em corte transversal (b)). Em uma modalidade, a estrutura 1126 resultante inclui um material ILD uniforme (linhas ILD 1120 permanentes + linhas ILD 1128 permanentes), onde as localizações de todos os plugues possíveis são cobertas em máscara dura 1124 e todas as possíveis vias se encontram em áreas de linhas ILD 1120 permanentes expostas. Em uma tal modalidade, as linhas ILD 1120 permanentes e as linhas ILD 1128 permanentes são compostas por um mesmo material. Em outra tal modalidade, as linhas ILD 1120 permanentes e as linhas ILD 1128 permanentes são compostas por diferentes materiais ILD. Em qualquer caso, em uma modalidade específica, pode ser observada uma distinção, como por exemplo uma junção entre os materiais de linhas
Petição 870190046922, de 20/05/2019, pág. 113/557
104/326
ILD 1120 permanentes e linhas ILD 1128 permanentes na estrutura 1126 final. Junções 1199 exemplificativas são mostradas na Figura 11F para fins ilustrativos.
[0259] A Figura 11H ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11G após formação de valas (por exemplo, definição de grade), de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a~a’, b-b’, c~c’ e d-d’, respectivamente, é definida uma grade na estrutura 1130 para definir por fim regiões entre um padrão de linhas de metal formando valas 1132 na estrutura da Figura 11G, perpendicular à grade da Figura 11G. Em uma modalidade, as valas 1132 são formadas padronizando e gravando um padrão de grade na grade sacrificial de estruturas anteriores. Em uma modalidade, é formada uma grelha, eficazmente, definindo a localização de todos os espaços entre linhas de metal formadas por fim junto com todos os plugues e vias em simultâneo. Em uma modalidade, as valas 1132 revelam porções de linhas ILD 1104 e linhas de metal 1102 subjacentes. [0260] A Figura 111 ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11H após formação de uma grade de material sacrificial nas valas da Figura 11H, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) a (d) consideradas ao longo dos eixos, a-a’, b-b’, c-c’ e d-d’, respectivamente, é formada uma camada de material 1134, que corresponde a uma camada dielétrica entre camadas ou camada sacrificial, nas valas 1132 da estrutura da Figura 11H. Em uma modalidade, a camada de material 1134 é formada por deposição conforme e subsequente gravação temporizada ou CMP com material ILD permanente ou uma camada sacrificial (por exemplo, que pode ser posteriormente removida se tiver
Petição 870190046922, de 20/05/2019, pág. 114/557
105/326 de ser fabricada uma caixa de ar). No caso anterior, a camada de material 1134 se toma por fim material ILD entre linhas de metal paralelas subsequentemente formadas em uma mesma camada de metal. No último caso, o material pode ser referido como material Sacrificial C, como retratado. Em uma modalidade, a camada de material 1134 tem uma seletividade de gravação elevada para outro material ILD e para a camada de máscara dura 1128.
[0261] A Figura 11J ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 111 após a formação e padronização de uma máscara e subsequente gravação de localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a’ e b-b’, respectivamente, é formada uma máscara 1136 na estrutura da Figura
111. A máscara é padronizada, por exemplo, por um processo litográfico, para ter aberturas 1137 aí formadas. Em uma modalidade, as aberturas são determinadas com base em padronização de vias desejada. Ou seja, nesse estágio, todos os plugues e vias possíveis (por exemplo, como reservas de espaço) foram padronizados e se encontram autoalinhados com as últimas camadas de metal por cima e por baixo. Nesse caso, um subconjunto das localizações de vias e plugues é selecionado para preservação, uma vez que são localizações para gravação de posições de linhas de metal. Em uma modalidade, é usada uma resistência ArF ou EUV ou de feixe de elétrons para cortar ou selecionar as vias a serem gravadas, ou seja, nas localizações das porções expostas de linhas de metal 1102. Deve ser reconhecido que a máscara dura 1124 e a camada de material 1134 funcionam como as máscaras de gravação efetivas que determinam o formato e a posição das vias. A máscara 1136 serve meramente para impedir a gravação das vias remanescentes. Desse modo, a tolerância no tamanho de
Petição 870190046922, de 20/05/2019, pág. 115/557
106/326 abertura 1137 é moderada, uma vez que os materiais circundantes (por exemplo, máscara dura 1124 e camada de material 1134) das localizações de vias selecionadas (ou seja, a porção de aberturas 1137 diretamente por cima das porções expostas das linhas de metal 1102) são resistentes ao processo de gravação usado para remover a linha ILD 1128 por cima das porções selecionadas das linhas de metal 1102 para fabricação de vias final. Em uma modalidade, a máscara 1136 é composta por uma porção de mascaramento topográfico 1136C, uma camada de revestimento antirrefletivo (ARC) 1136B e uma camada fotorresistente 1136A. Em uma modalidade particular assim, a porção de mascaramento topográfico 136C é uma camada de máscara dura de carbono (CHM) e a camada de revestimento antirrefletivo 136B é uma camada ARC de silício.
[0262] A Figura 11K ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11J após remoção da máscara e da máscara dura e subsequentes padronização e gravação de plugues, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a! e b-b’, respectivamente, a máscara 1136 mostrada na Figura 11J é removida após padronização de localizações de vias. Subsequentemente, é formada e padronizada uma segunda máscara 1138 para cobrir localizações de plugues selecionadas. Especificamente, em uma modalidade, e como retratado na Figura 11K, porções de máscara dura 1124 são preservadas em localizações onde serão por fim formados plugues. Ou seja, nesse estágio, existem todos os plugues possíveis na forma de plugues de máscara dura. A operação de padronização da Figura 11K serve para remover todas as porções de máscara dura 1124 exceto as selecionadas para preservação de plugues. A padronização expõe eficazmente uma porção substancial de linhas ILD 1120 e 1128, por
Petição 870190046922, de 20/05/2019, pág. 116/557
107/326 exemplo, como uma camada dielétrica unificada.
[0263] A Figura 11L ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11K após remoção da máscara e gravação de valas de linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a~a! e b-b’, respectivamente, a máscara 1138 mostrada na Figura 11K é removida após a padronização de localizações de vias. Subsequentemente, é efetuada uma gravação parcial das porções expostas de linhas ILD 1120 e 1128 para fornecer linhas ILD 1120’ e 1128’ com reentrância. A extensão da reentrância pode se basear em um processo de gravação temporizada, como direcionado para uma profundidade de uma espessura de linha de metal desejada. As porções de linhas ILD 1120 protegidas pelas porções de máscara dura 1124 preservadas não são colocadas em reentrância pela gravação, como retratado na Figura 11L. Adicionalmente, a camada de material 1134 (que pode ser um material sacrificial ou um material ILD permanente) também não é gravada nem colocada em reentrância. Deve ser reconhecido que não é necessária nenhuma operação de litografia para o processo ilustrado pela Figura 11L, uma vez que as localizações de vias (em porções expostas de linhas de metal 1102) já foram gravadas e os plugues (nas localizações onde a máscara dura 1124 foi preservada).
[0264] A Figura 11M ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 11L após deposição e polimento de linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, aa’ e b-b’, respectivamente, é formado metal para formação de linhas de interconexão de metal em conformidade por cima da estrutura da Figura
Petição 870190046922, de 20/05/2019, pág. 117/557
108/326
11L. O metal é depois planarizado, por exemplo, por CMP, para fornecer linhas de metal 1140. As linhas de metal são acopladas com linhas de metal subjacentes através das localizações de vias predeterminadas e são isoladas pelos plugues 1142 e 1144 preservados. O processo de deposição e planarização de metal (por exemplo, cobre e camadas de barreira e semente associadas) pode ser o do processamento por damasquinagem dupla BEOL padrão. Deve ser reconhecido que, em subsequentes operações de fabricação, as linhas de camada de material 1134 podem ser removidas para fornecer caixas de ar entre as linhas de metal 1140 resultantes.
[0265] A estrutura da Figura 11M pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 11M pode representar a camada de interconexões de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Ademais, embora o fluxo de processo acima se tenha focado em aplicações de automontagem dirigida (DSA), podem ser usados em alternativa processos de crescimento seletivo em uma ou mais localizações do fluxo de processo. Em qualquer caso, as estruturas resultantes permitem a fabricação de vias que sejam diretamente centradas em linhas de metal subjacentes. Ou seja, as vias podem ser mais largas que, mais estreitas que, ou ter a mesma espessura que as linhas de metal subjacentes, por exemplo, devido a processamento de gravação seletiva não perfeita. Contudo, em uma modalidade, os centros das vias são diretamente alinhados (combinam) com os centros das linhas de metal. Desse modo, em uma modalidade, a compensação devido à padronização de litografia/damasquinagem dupla convencional que tem de ser de outro
Petição 870190046922, de 20/05/2019, pág. 118/557
109/326 modo tolerada não é um fator para as estruturas resultantes aqui descritas.
[0266] De acordo com uma modalidade da presente revelação, são descritas abordagens ascendentes de tribloco DSA autoalinhado. Uma ou mais modalidades aqui descritas são direcionadas para copolimeros em tribloco para autoalinhamento de vias ou contatos. Através do uso de copolimeros em bloco mais avançados e uma estratégia de automontagem dirigida, pode ser alcançado o alinhamento para uma camada de metal apertada subjacente. As modalidades aqui descritas podem ser implementadas para melhorar o custo, a escalabilidade, o erro de colocação padrão e a variabilidade.
[0267] Em geral, uma ou mais modalidades aqui descritas envolvem o uso das três fases de um material de copolímero em tribloco para efetuar a separação de fase em photobuckets autoalinhados, por exemplo, é descrito o uso de um copolímero em tribloco de autoalinhamento para gerar photobuckets alinhados. Modalidades adicionais direcionadas para a fabricação e o uso de photobuckets são descritas abaixo em mais detalhes em modalidades para além das presentes modalidades das Figuras 12A a 12K. Todavia, é igualmente reconhecido que as modalidades não são limitadas ao conceito de photobuckets, mas têm aplicações abrangentes em estruturas tendo membros pré-formados fabricados usando a abordagem ascendente e/ou de automontagem dirigida (DSA).
[0268] As Figuras 12A a 12C ilustram vistas em corte transversal angulares representando várias operações em um método usando copolimeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0269] Em relação à Figura 12A, uma camada de estrutura semicondutora 1200 tem um padrão de grade de linhas de metal 1202
Petição 870190046922, de 20/05/2019, pág. 119/557
110/326 e linhas dielétricas entre camadas (ILD) 1204 alternadas. A estrutura 1200 pode ser tratada com uma primeira operação de escova molecular (i) com a primeira espécie molecular 1206. A estrutura 1200 pode igualmente ser tratada com uma segunda operação de escova molecular (ii) com a segunda espécie molecular 1208. Deve ser reconhecido que a ordem das operações (I) e (ii) pode ser invertida, ou as mesmas podem até ser efetuadas substancialmente ao mesmo tempo.
[0270] Em relação à Figura 12B, as operações de escova molecular podem ser efetuadas para alterar ou fornecer uma superfície derivada para as linhas de metal 1202 e linhas ILD 1204 alternadas. Por exemplo, a superfície de linhas de metal 1202 pode ser tratada para ter uma superfície A/B 1210 nas linhas de metal 1202. A superfície de linhas ILD 1204 pode ser tratada para ter uma superfície C 1212 nas linhas ILD 1204.
[0271] Em relação à Figura 12C, a estrutura da Figura 12B pode ser tratada com uma operação de tratamento (iii) que envolva a aplicação de um copolímero em bloco tribloco (BCP tribloco) 1214, e possível subsequente tratamento de segregação, para formar uma estrutura segregada 1220. A estrutura segregada 1220 inclui primeiras regiões 1222 de um BCP tribloco segregado por cima das linhas ILD 1204. As segundas regiões 1224 e terceiras regiões 1226 alternadas do BCP tribloco segregado se encontram por cima das linhas de metal 1202. A organização final dos três blocos do copolímero em tribloco 1214 se baseia em quimioepitaxia, uma vez que somente um padrão subjacente (e não um padrão coplanar, como é usado em grafoepitaxia) é usado para direcionara montagem do copolímero em tribloco 1214 para formar a estrutura segregada 1220.
[0272] Em relação coletivamente às Figuras 12A a 12C, em uma modalidade, uma estrutura 1220 para automontagem dirigida de uma
Petição 870190046922, de 20/05/2019, pág. 120/557
111/326 camada de metalização de estrutura semicondutora na extremidade traseira de linha (BEOL) inclui um substrato (não mostrado, mas descrito abaixo, e que deve ser reconhecido como se encontrando por baixo das linhas ILD 1204 e das linhas de metal 1202). Uma camada de metalização inferior inclui linhas de metal 1202 e linhas dielétricas 1204 alternadas dispostas por cima do substrato. Uma camada de copolimero em tribloco 1214 é disposta por cima da camada de metalização inferior. A camada de copolimero em tribloco inclui um primeiro componente de bloco segregado 1222 disposto sobre as linhas dielétricas 1204 da camada de metalização inferior. A camada de copolimero em tribloco inclui o segundo 1224 e terceiro 1226 componentes de bloco segregado alternados dispostos sobre as linhas de metal 1202 da camada de metalização inferior.
[0273] Em uma modalidade, o terceiro componente de bloco segregado 1226 da camada de copolimero em tribloco 1214 é fotossensível. Em uma modalidade, a camada de copolimero em tribloco 1214 é formada para uma espessura aproximadamente na faixa de 5 a 100 nanômetros. Em uma modalidade, a camada de copolimero em tribloco 1214 inclui uma espécie de copolimero em tribloco selecionada desde o grupo consistindo em quaisquer três de poliestireno e outros polivinilarenos, poli-isopreno e outras poliolefinas, polimetacrilato e outros poliésteres, polidimetilsiloxano (PDMS) e polímeros à base de Si relacionados, poliferrocenilsilanos, óxido de polietieleno (PEO) e poliéteres relacionados e polivinilpiridina. Em uma modalidade, o segundo 1224 e terceiro 1226 componentes de bloco segregado alternados têm uma relação de aproximadamente 1:1, como é retratado na Figura 21C (e como é descrito abaixo em associação com a Figura 12H). Em outra modalidade, o segundo 1224 e terceiro 1226 componentes de bloco segregado alternados têm uma relação de X:1, segundo componente de bloco segregado 1224 para terceiro
Petição 870190046922, de 20/05/2019, pág. 121/557
112/326 componente de bloco segregado 1226, onde X é superior a 1, e onde o terceiro componente de bloco segregado 1226 tem uma estrutura colunar circundada pelo segundo componente de bloco segregado, como é descrito abaixo em associação com a Figura 121. Em outra modalidade, a camada de copolímero em tribloco 1214 é uma mescla de homopolímeros de A, B e/ou C ou BCPs dibloco dos componentes A-B, B-C ou A-C de modo a alcançar a morfologia desejada.
[0274] Em uma modalidade, a estrutura 1220 inclui ainda uma primeira camada de escova molecular 1212 disposta nas linhas dielétricas 1204 da camada de metalização inferior. Nessa modalidade, o primeiro componente de bloco segregado 1222 é disposto na primeira camada de escova molecular. Em uma modalidade, a estrutura 1220 inclui igualmente uma segunda camada de escova molecular 1210 diferente disposta nas linhas de metal 102 da camada de metalização inferior. O segundo 1224 e terceiro 1226 componentes de bloco segregado alternados são dispostos na segunda camada de escova molecular 1210. Em uma modalidade, a primeira camada de escova molecular 1212 inclui uma espécie molecular 1208 incluindo poliestireno com um grupo principal selecionado desde o grupo consistindo em -SH, “PO3H2, “CO2H, -NRH, -NRR! e -Si(OR)3, e a segunda camada de escova molecular 1210 inclui uma espécie molecular 1206 incluindo polimetacrilato com um grupo principal selecionado desde 0 grupo consistindo em -SH, PO3H2, -CO2H, -NRH, -NRR’ e -Si(OR)3.
[0275] Em uma modalidade, as linhas de metal 1202 e linhas dielétricas 1204 alternadas da camada de metalização inferior têm um padrão de grade com um afastamento constante. Em uma modalidade, 0 terceiro componente de bloco segregado 1226 da camada de copolímero em tribloco 1214 define todas as possíveis localizações de vias para uma camada de metalização por cima da camada de metalização inferior. Em uma modalidade, 0 terceiro componente de
Petição 870190046922, de 20/05/2019, pág. 122/557
113/326 bloco segregado 1226 da camada de copolímero em tribloco 1214 é fotossensível a uma fonte ultravioleta extrema (EUV) ou uma fonte de feixe de elétrons.
[0276] A Figura 12D ilustra uma vista em corte transversal angular representando uma operação em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0277] Em relação à Figura 12D, todas as porções do terceiro componente de bloco segregado 1226 da estrutura 1220 da Figura 12C são removidas. Em uma tal modalidade, a remoção de todas as porções do terceiro componente de bloco segregado 1226 abre todas as possíveis localizações de vias que podem ser formadas por cima da camada de metalização subjacente. As aberturas podem ser cheias com uma camada fotorresistente para possibilitar, por fim, a seleção somente das localizações de vias necessárias para um desenho particular. Deve ser reconhecido que, no caso da Figura 12D, o terceiro componente de bloco segregado 1226 da estrutura 1220 pode ser, mas não necessita de ser, fotossensível, uma vez que a remoção de todas as porções do terceiro componente de bloco segregado 1226 da estrutura 1220 da Figura 12C pode ser efetuada apenas por gravação seletiva (por exemplo, seletiva para o primeiro componente de bloco segregado 1222 e para o segundo componente de bloco segregado 1224). Em uma tal modalidade, a gravação seletiva pode ser efetuada usando uma gravação a seco seletiva ou uma gravação a úmido seletiva, ou ambas. [0278] A Figura 12E ilustra uma vista em corte transversal angular representando uma operação em outro método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com outra modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 123/557
114/326 [0279] Em relação à Figura 12E, apenas porções selecionadas do terceiro componente de bloco segregado 1226 da estrutura 1220 da Figura 12C são removidas. Em uma tal modalidade, a remoção somente de porções selecionadas do terceiro componente de bloco segregado 1226 expõe somente as localizações de vias por cima da camada de metalização subjacente necessária para um desenho particular. Deve ser reconhecido que, no caso da Figura 2E, o terceiro componente de bloco segregado 1226 da estrutura 1220 é fotossensível, e a seleção de localização é efetuada usando exposição litográfica localizada, mas altamente tolerante. A exposição pode ser descrita como tolerante, uma vez que os materiais 1222 e 1224 vizinhos e as localizações 1226 adjacentes, em uma modalidade, não são fotossensíveis à litografia usada para selecionar as localizações para porções de remoção de componente 1226.
[0280] A Figura 12F ilustra um copolímero em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0281] Em relação à Figura 12F, um BCP tribloco segregado 1250 pode ser particionado ao longo do eixo 1252 pelas porções 1222, 1224, 1226. Deve ser reconhecido que outras organizações de particionamento podem ser possíveis, como por exemplo organizações assimétricas. Em uma modalidade, existe seletividade de gravação entre componentes 1222, 1224 e 1226, que pode ser tão grande como a seletividade de gravação 10:1 para um componente em relação aos outros dois componentes. Em uma modalidade, o uso de BCP tribloco 1250 pode melhorar a fidelidade do padrão e reduzir a variação de dimensão crítica (CD). Em uma modalidade, o BCP tribloco 1250 segregado pode ser implementado para permitir uma estratégia de autoalinhamento que complemente uma litografia de imersão de 193
Petição 870190046922, de 20/05/2019, pág. 124/557
115/326 nanometres (193i) ou um processo de litografia ultravioleta extrema (EUVL).
[0282] Deve ser reconhecido que, tipicamente, cada um dos blocos de copolimeros em tribloco pode ter diferentes propriedades químicas. Como um exemplo, um dos blocos pode ser relativamente mais hidrofóbico (por exemplo, repelente de água) enquanto os dois blocos podem ser relativamente mais hidrofílicos (atraentes de água), ou viceversa. Pelo menos conceptualmente, um dos blocos pode ser relativamente mais similar a óleo e os outros dois blocos podem ser relativamente mais similares a água, ou vice-versa. Essas diferenças nas propriedades químicas entre os diferentes blocos de polímeros, quer seja uma diferença hidrofílica-hidrofóbica quer não, podem causar a automontagem das moléculas de copolimero em bloco. Por exemplo, a automontagem pode se basear na separação de microfase dos blocos de polímero. Conceptualmente, isso pode ser similar à separação de fase de óleo e água que são geralmente imiscíveis.
[0283] Similarmente, as diferenças na hidrofilicidade entre os blocos de polímero podem causar uma separação de microfase praticamente análoga onde os diferentes blocos de polímero tentam se separar” uns dos outros devido à aversão química entre si. Todavia, em uma modalidade, uma vez que os blocos de polímero são covalentemente unidos uns aos outros, os mesmos não conseguem se separar completamente em uma escala macroscópica. Em vez disso, os blocos de polímero de um dado tipo podem tender a se segregar ou conglomerar com blocos de polímero do mesmo tipo de outras moléculas em regiões ou fases extremamente pequenas (por exemplo, de tamanho em nanômetros). O tamanho e o formato particulares das regiões ou microfases dependem geralmente pelo menos em parte dos comprimentos relativos dos blocos de polímero. Em uma modalidade, como exemplo, as Figuras 12C, 12H e 121 retratam possíveis esquemas
Petição 870190046922, de 20/05/2019, pág. 125/557
116/326 de montagem para um copol imero em tri bloco.
[0284] Deve ser reconhecido que um padrão necessário para abrir uma localização de via ou plugue pré-formado pode ser feito para ser relativamente pequeno, permitindo um aumento na margem de sobreposição de um processo litográfico. Os membros do padrão podem ser feitos de tamanho uniforme, o que pode reduzir o tempo de varredura na complexidade de feixe de elétrons de escrita direta e/ou correção de proximidade óptica (OPC) com litografia óptica. Os membros do padrão podem igualmente ser feitos para serem rasos, o que pode melhorar a resolução de padronização. Um processo de gravação subsequentemente efetuado pode ser uma gravação isotrópica quimicamente seletiva. Esse processo de gravação mitiga questões de outro modo associadas ao perfil e à dimensão crítica e mitiga questões anisotrópicas tipicamente associadas a abordagens de gravação a seco. Esse processo de gravação também é relativamente muito menos dispendioso de uma perspectiva de equipamento e rendimento em comparação com outras abordagens de remoção seletiva.
[0285] Em seguida, são descritas porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e metais autoalinhados. Em particular, as Figuras 12G e 12H ilustram vistas em planta e correspondentes vistas em corte transversal representando várias operações em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0286] A Figura 12G ilustra uma vista em planta e correspondentes vistas em corte transversal consideradas ao longo do eixo a-a! de opções para uma estrutura de metalização de camada anterior, de acordo com uma modalidade da presente revelação. Em relação à vista
Petição 870190046922, de 20/05/2019, pág. 126/557
117/326 em planta e correspondente opção (a) da vista em corte transversal, uma estrutura inicial 1260 inclui um padrão de linhas de metal 1262 e linhas dielétricas entre camadas (ILD) 1264. A estrutura inicial 1260 pode ser padronizada em um padrão tipo grade com linhas de metal espaçadas em um afastamento constante e tendo uma largura constante, como é retratado na Figura 12G no caso de serem aí por fim formados materiais de automontagem. No caso da vista em corte transversal (a), no padrão de linhas de metal 1262 e linhas dielétricas entre camadas (ILD) 1264 as linhas são planas entre si. Algumas das linhas podem ser associadas a vias subjacentes, como por exemplo a linha 1262’ mostrada como um exemplo nas vistas em corte transversal. [0287] Novamente em relação à Figura 12G, as opções alternativas (b) a (f) abordam situações onde é formada uma película adicional (por exemplo, depositada, desenvolvida ou deixada como um artefato remanescente de um anterior processo de padronização) em uma superfície de uma das, ou ambas as, linhas de metal 1262 e linhas dielétricas entre camadas 1264. No exemplo (b), é disposta uma película 1266 adicional nas linhas dielétricas entre camadas 1264. No exemplo (c), é disposta uma película 1268 adicional nas linhas de metal 1262. No exemplo (d), é disposta uma película 1266 adicional nas linhas dielétricas entre camadas 1264, e é disposta uma película adicional 1268 nas linhas de metal 1262. Ademais, embora as linhas de metal 1262 e as linhas dielétricas entre camadas 1264 sejam retratadas como coplanares em (a), em outras modalidades, não são coplanares. Por exemplo, em (e), as linhas de metal 1262 se projetam por cima das linhas dielétricas entre camadas 1264. No exemplo (f), as linhas de metal 1262 são colocadas em reentrância por baixo das linhas dielétricas entre camadas 1264.
[0288] Novamente em relação aos exemplos (b) a (d), uma camada adicional (por exemplo, camada 1266 ou 1268) pode ser usada como
Petição 870190046922, de 20/05/2019, pág. 127/557
118/326 uma máscara dura (HM) ou camada de proteção ou ser usada para permitir uma automontagem descrita abaixo em associação com subsequentes operações de processamento. Essas camadas adicionais podem igualmente ser usadas para proteger as linhas ILD em relação a mais processamento. Além disso, a deposição de forma seletiva de outro material sobre as linhas de metal pode ser benéfica por razões similares. Novamente em relação aos exemplos (e) e (f), pode igualmente ser possível colocar em reentrância tanto as linhas ILD como as linhas de metal com qualquer combinação de materiais protetores/HM em uma ou ambas as superfícies. Globalmente, existem inúmeras opções nesse estágio para a preparação final de superfícies subjacentes para um processo de automontagem dirigida.
[0289] Em relação à Figura 12H, é formada uma camada de copolímero em tribloco 1270 na estrutura da Figura 12G (por exemplo, vista em planta e estrutura em corte transversal (a)). A camada de copolímero em tribloco 1270 é segregada para ter regiões 1272 formadas por cima das linhas ILD 1264 e para ter segundas regiões 1274 e terceiras regiões 1276 alternadas formadas por cima de linhas de metal 1262.
[0290] Em relação à vista em corte transversal ao longo do eixo b~ b’ da Figura 12H, as terceiras regiões 1276 são mostradas por cima das linhas de metal 1262 e as primeiras regiões 1272 são mostradas por cima das linhas ILD 1264. De acordo com uma modalidade, igualmente mostrada entre as primeiras regiões 1272 e as linhas ILD 1264 é a camada 1280 que pode ser um resquício de uma camada de escova molecular. Todavia, deve ser reconhecido que a camada 1280 pode não se encontrar presente. De acordo com uma modalidade, são mostradas terceiras regiões 1276 como formadas diretamente em linhas de metal 1262. Todavia, deve ser reconhecido que os resquícios de uma camada de escova molecular podem se encontrar entre as terceiras regiões
Petição 870190046922, de 20/05/2019, pág. 128/557
119/326
1276 e as linhas de metal 1262.
[0291 ] Em relação à vista em corte transversal ao longo do eixo cc’ da Figura 12H, as segundas regiões 1274 são mostradas por cima das linhas de metal 1262, e as primeiras regiões 1272 são mostradas por cima das linhas ILD 1264. De acordo com uma modalidade, igualmente mostrada entre as primeiras regiões 1272 e as linhas ILD 1264 é a camada 1280 que pode ser um resquício de uma camada de escova molecular. Todavia, deve ser reconhecido que a camada 1280 pode não se encontrar presente. De acordo com uma modalidade, igualmente mostrada entre as segundas regiões 1274 e as linhas de metal 1262 é a camada 1282 que pode ser um resquício de uma camada de escova molecular. Todavia, deve ser reconhecido que a camada 1282 pode não se encontrar presente. Deve ser igualmente reconhecido que as regiões 1276 podem ser formadas como fotossensíveis ou podem ser substituídas por um material fotossensível.
[0292] Desse modo, em uma modalidade, uma grelha de metal e ILD subjacente é recriada em um copolimero em bloco (BCP). Isso pode ser particularmente assim se o afastamento BCP for proporcional ao afastamento de grade subjacente. A grelha de polímeros é, em uma modalidade, robusta relativamente a certos pequenos desvios em relação a uma grelha altamente bem alinhada assim. Por exemplo, se pequenos plugues colocarem eficazmente um óxido ou material igual onde uma grelha altamente bem alinhada teria metal, ainda pode ser alcançado um copolimero em bloco altamente bem alinhado essencialmente.
[0293] Em uma modalidade, em relação novamente à Figura 12H, a espessura da camada revestida com copolimero em tribloco 1270 é aproximadamente a mesma da, ou ligeiramente mais espessa que a, espessura final de um ILD formado por fim em seu devido lugar. Em uma modalidade, como descrito abaixo em mais detalhes, a grelha de
Petição 870190046922, de 20/05/2019, pág. 129/557
120/326 polímeros é formada não como uma resistência de gravação, mas sim como armação para desenvolver por fim uma camada ILD permanente em torno da mesma. Desse modo, a espessura da camada revestida com copolimero em tribloco 1270 pode ser importante, uma vez que pode ser usada para definir a espessura final de uma camada ILD permanente subsequentemente formada. Ou seja, em uma modalidade, a grade de polímeros mostrada na Figura 12H é eventualmente substituída por uma grade ILD/de linhas de metal de praticamente a mesma espessura.
[0294] Em uma modalidade, a molécula da camada de copolimero em tribloco 1270 é uma molécula polimérica formada por uma cadeia de monômeros covalentemente unidos. Em um copolimero em tribloco, existem três tipos diferentes de monômeros, e esses diferentes tipos de monômeros são essencialmente incluídos em diferentes blocos ou sequências contíguas de monômeros. Em uma modalidade, a camada de copolimero em tribloco 1270 é primeiro aplicada como uma porção de camada de copolimero em bloco não montada que inclui um material de copolimero em bloco aplicado, por exemplo, por escova ou outro processo de revestimento. O aspecto não montado se refere a cenários onde, no momento da deposição, a fase do copolimero em bloco ainda não foi substancialmente separada e/ou o mesmo ainda não foi automontado para formar nanoestruturas. Nessa forma não montada, as moléculas de polímero em bloco são relativamente selecionadas de forma altamente aleatória, com os diferentes blocos de polímero relativamente orientados e localizados de forma altamente aleatória, o que é, em oposição à camada de copolimero em tribloco 1270 montada, discutido em associação com a estrutura resultante da Figura 12H. A porção de camada de copolimero em bloco não montada pode ser aplicada em uma variedade de formas diferentes. Como exemplo, o copolimero em bloco pode ser dissolvido em um solvente e depois
Petição 870190046922, de 20/05/2019, pág. 130/557
121/326 revestido por rotação sobre a superfície. Em alternativa, o copolimero em bloco não montado pode ser revestido por pulverização, revestido por mergulho, revestido por imersão ou então revestido ou aplicado sobre a superfície. Outras formas de aplicação de copolímeros em bloco, bem como outras formas conhecidas na técnica para aplicação de revestimentos orgânicos similares, podem ser potencialmente usadas. Em seguida, a camada não montada pode formar uma porção de camada de copolimero em bloco montada, por exemplo, por separação de microfase e/ou automontagem da porção de camada de copolimero em bloco não montada. A separação de microfase e/ou automontagem ocorrem através de reorganização e/ou reposicionamento das moléculas de copolimero em bloco e em particular de reorganização e/ou reposicionamento dos diferentes blocos de polímero das moléculas de copolimero em bloco para formar a camada de copolimero em tribloco 1270.
[0295] Em uma tal modalidade, pode ser aplicado um tratamento de recozimento no copolimero em bloco não montado de modo a iniciar, acelerar, aumentar a qualidade da, ou então provocar a, separação de microfase e/ou automontagem para formar a camada de copolimero em tribloco 1270. Em algumas modalidades, o tratamento de recozimento pode incluir um tratamento que seja operável para aumentar uma temperatura do copolimero em bloco. Um exemplo desse tratamento é a cozedura da camada, o aquecimento da camada em um forno ou sob uma lâmpada térmica, a aplicação de radiação infravermelha na camada ou então a aplicação de calor na, ou o aumento da temperatura da, camada. O aumento desejado de temperatura será geralmente suficiente para acelerar significativamente a velocidade de separação de microfase e/ou automontagem do polímero em bloco sem danificar o copolimero em bloco ou quaisquer outros materiais ou estruturas importantes do substrato de circuito integrado. Comumente, o
Petição 870190046922, de 20/05/2019, pág. 131/557
122/326 aquecimento pode variar entre cerca de 50 °C e cerca de 300 °C, ou entre cerca de 75 °C e cerca de 250 °C, mas não excedendo limites de degradação térmica do copolimero em bloco ou substrato de circuito integrado. O aquecimento ou recozimento pode ajudar a fornecer energia às moléculas de copolimero em bloco para as tomar mais móvel s/flexíveis de modo a aumentar a velocidade da separação de microfase e/ou melhorar a qualidade da separação de microfase. Essa separação de microfase ou reorganização/reposicionamento das moléculas de copolimero em bloco pode conduzir à automontagem para formar estruturas extremamente pequenas (por exemplo, escala nanométrica). A automontagem pode ocorrer sob a influência de forças, como por exemplo tensão de superfície, preferências e aversões moleculares e outras forças relacionadas com a superfície e relacionadas com química.
[0296] Em qualquer caso, em algumas modalidades, a automontagem de copolimeros em bloco, quer baseada em diferenças hidrofóbicas-hidrofílicas quer não, pode ser usada para formar estruturas periódicas extremamente pequenas (por exemplo, estruturas ou linhas de escala nanométrica precisamente espaçadas) na forma de camada de copolimero em tribloco 12720. Em algumas modalidades, pode ser usada para formar linhas de escala nanométrica ou outras estruturas de escala nanométrica que possam, por fim, ser usadas para formar aberturas de via. Em algumas modalidades, pode ser usada automontagem dirigida de copolimeros em bloco para formar vias que sejam autoalinhadas com interconexões, como descrito abaixo em mais detalhes.
[0297] Deve ser reconhecido que os dois componentes de uma estrutura de copolimero em tribloco que são formados por cima de linhas de metal não necessitam de ter uma relação 1:1 (uma relação 1:1 foi mostrada nas Figuras 12C e 12H). Por exemplo, o terceiro
Petição 870190046922, de 20/05/2019, pág. 132/557
123/326 componente de bloco segregado pode se encontrar presente em uma menor quantidade que o segundo componente e pode ter uma estrutura colunar circundada pelo segundo componente de bloco segregado. As Figuras 121 a 12L ilustram vistas em planta e correspondentes vistas em corte transversal representando várias operações em um método usando copolímeros em tribloco para formação de vias ou contatos de autoalinhamento para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0298] Em relação à Figura 121, uma vista em planta e correspondente vista em corte transversal considerada ao longo do eixo d~d! mostram o terceiro componente 1276 em menor quantidade que o segundo componente 1274. O terceiro componente de bloco segregado 1276 tem uma estrutura colunar circundada pelo segundo componente de bloco segregado 1274.
[0299] Em relação à Figura 12J, uma vista em planta mostra que a seleção de litografia 1290 de certos 1292 do terceiro componente de bloco segregado 1276 é efetuada para fornecer por fim localizações de vias para uma estrutura de metalização superior.
[0300] Deve ser reconhecido que a Figura 121 ilustra eficazmente uma estrutura DSA fotossensível não exposta, enquanto a Figura 12J ilustra uma estrutura DAS fotossensível exposta. Em oposição à Figura 12H, as Figuras 121 e 12J demonstram um exemplo de uma estrutura colunar que pode ser formada quando muitas moléculas de copolímero em bloco se alinham com seus blocos menores de um dos polímeros formando estruturas colunares circundadas por uma fase tendo os blocos mais longos de outro polímero. De acordo com uma modalidade da presente revelação, as propriedades fotoativas de uma estrutura DSA fornecem a capacidade de plugar ou cortar eficazmente um tipo de região de polímero DSA com, por exemplo, exposição de feixe de elétrons ou EUV.
Petição 870190046922, de 20/05/2019, pág. 133/557
124/326 [0301] Em relação à Figura 12K, uma vista em planta mostra regiões expostas/quimicamente ampliadas 1294 nas zonas de exposição. Mediante seletividade, a única modificação ativa é do material de porções expostas do terceiro componente de bloco segregado 1276. Deve ser reconhecido que, embora mostrado como já desobstruídas na Figura 12K, as regiões selecionadas podem ainda não se encontrar desobstruídas.
[0302] Em relação à Figura 12L, uma vista em planta e correspondente vista em corte transversal considerada ao longo do eixo e-e’ mostram o desenvolvimento pós-litográfico para fornecer regiões 1294 desobstruídas. As regiões 1294 desobstruídas podem, por fim, ser usadas para formação de vias.
[0303] A estrutura DSA padronizada resultante da Figura 12L (ou Figuras 12C, 12D, 12E ou 12H) descrita acima pode, por fim, ser usada como uma armação desde a qual são por fim formadas camadas permanentes. Ou seja, pode acontecer que não exista nenhum dos materiais DSA em uma estrutura final, mas sejam sim usados para fabricação direta de uma estrutura de interconexão finalizada. Em uma tal modalidade, um ILD permanente substitui uma ou mais regiões do material DAS, e o subsequente processamento (como por exemplo fabricação de linhas de metal) é concluído. Ou seja, é possível que todos os componentes DSA sejam por fim removidos para formação final de vias e plugues autoalinhados. Em outras modalidades, pelo menos algum do material DSA pode permanecer por trás da estrutura final.
[0304] Novamente com referência às Figuras 12A a 12C, 12G, 12H e 121 a 12L, em uma modalidade, um método de fabricação de uma estrutura de interconexões para uma pastilha de semicondutor inclui a formação de uma camada de metalização inferior que tenha linhas de metal e linhas dielétricas alternadas por cima de um substrato. Uma
Petição 870190046922, de 20/05/2019, pág. 134/557
125/326 camada de copolimero em tribloco 1214 é formada por cima da camada de metalização inferior. A camada de copolimero em tribloco é segregada para formar um primeiro componente de bloco segregado sobre as linhas dielétricas da camada de metalização inferior, e para formar o segundo e terceiro componentes de bloco segregado alternados dispostos sobre as linhas de metal da camada de metalização inferior. O terceiro componente de bloco segregado é fotossensível. O método inclui igualmente a irradiação e o desenvolvimento de localizações selecionadas do terceiro componente de bloco segregado para fornecer aberturas de via sobre as linhas de metal da camada de metalização inferior.
[0305] Em uma modalidade, o segundo e terceiro componentes de bloco segregado alternados têm uma relação de aproximadamente 1:1, como foi descrito em associação com as Figuras 12C e 12H. Em outra modalidade, o segundo e terceiro componentes de bloco segregado alternados têm uma relação de X:1, segundo componente de bloco segregado para terceiro componente de bloco segregado, onde X é superior a 1. Nessa modalidade, o terceiro componente de bloco segregado tem uma estrutura colunar circundada pelo segundo componente de bloco segregado, como foi descrito em associação com a Figura 121.
[0306] Em uma modalidade, o método inclui ainda, subsequente à irradiação e ao desenvolvimento de localizações selecionadas do terceiro componente de bloco segregado para fornecer as aberturas de via, o uso da camada de copolimero em tribloco padronizada resultante como uma armação para formar um segundo nível de linhas de metal e linhas dielétricas alternadas por cima do, acopladas no, e ortogonais em relação ao primeiro nível de linhas de metal e linhas dielétricas alternadas. Em uma modalidade, um ou mais componentes da camada de copolimero em tribloco são retidos na estrutura final. Todavia, em
Petição 870190046922, de 20/05/2019, pág. 135/557
126/326 outras modalidades, todos os componentes da camada de copolímero em tribloco são por fim sacrificiais no sentido em que nenhum dos materiais é retido no produto final. Uma modalidade exemplificative de uma implementação da última modalidade é descrita abaixo em associação com a Figura 13.
[0307] Em uma modalidade, o método inclui ainda, antes da formação da camada de copolímero em tribloco, a formação de uma primeira camada de escova molecular nas linhas dielétricas da camada de metalização inferior, e a formação de uma segunda camada de escova molecular diferente nas linhas de metal da camada de metalização inferior, cujas modalidades exemplificativas foram descritas acima em associação com as Figuras 12A a 12C. Em uma modalidade, a irradiação e o desenvolvimento das localizações selecionadas do terceiro componente de bloco segregado incluem a exposição das localizações selecionadas do terceiro componente de bloco segregado a uma fonte ultravioleta extrema (EUV) ou uma fonte de feixe de elétrons.
[0308] Fornecida meramente como um exemplo de uma estrutura final que pode, por fim, ser obtida, a Figura 13 ilustra uma vista em planta e correspondentes vistas em corte transversal de uma estrutura de vias autoalinhadas após a formação de linhas de metal, vias e plugues, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, f-f e g-g’, respectivamente, é fornecido um nível superior de linhas de metal 1302 em um quadro dielétrico (por exemplo, na camada dielétrica 1304 e adjacente às linhas dielétricas 1314. As linhas de metal 1302 são acopladas com linhas de metal 1262 subjacentes através das localizações de vias predeterminadas (cujo um exemplo 1306 é mostrado na vista em corte transversal (a)), e são isoladas por plugues (cujos exemplos incluem
Petição 870190046922, de 20/05/2019, pág. 136/557
127/326 plugues 1308 e 1310). As linhas 1262 e 1264 subjacentes podem ser como descritas acima em associação com a Figura 12G, como formadas em uma direção ortogonal às linhas de metal 1302. Deve ser reconhecido que, em subsequentes operações de fabricação, as linhas dielétricas 1314 podem ser removidas para fornecer caixas de ar entre as linhas de metal 1302 resultantes.
[0309] Uma estrutura resultante, como a descrita em associação com a Figura 13, pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura da Figura 13 pode representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em qualquer caso, as estruturas resultantes permitem a fabricação de vias que sejam diretamente centradas em linhas de metal subjacentes. Ou seja, as vias podem ser mais largas que, mais estreitas que, ou ter a mesma espessura que as linhas de metal subjacentes, por exemplo, devido a processamento de gravação seletiva não perfeita. Contudo, em uma modalidade, os centros das vias são diretamente alinhados (combinam) com os centros das linhas de metal. Desse modo, em uma modalidade, a compensação devido à padronização de litografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não é um fator para as estruturas resultantes aqui descritas. Deve ser reconhecido que os exemplos acima se focaram na formação de vias/contatos. Todavia, em outras modalidades, podem ser usadas abordagens similares para preservar ou formar regiões para terminação (plugues) na extremidade de linha dentro de uma camada de linhas de metal.
[0310] Deve ser reconhecido que os fluxos de processo aqui
Petição 870190046922, de 20/05/2019, pág. 137/557
128/326 descritos podem ser descritos como predominantemente baseados em DSA (como, por exemplo, diversos dos esquemas de processo descritos acima), enquanto outros podem ser predominantemente baseados em gravação. De acordo com uma modalidade da presente revelação, é implementada uma abordagem subtrativa profunda para processamento BEOL. Uma ou mais modalidades aqui descritas são direcionadas para abordagens subtrativas para padronização de vias e plugues autoalinhados e estrutura daí resultante. Em uma modalidade, os processos aqui descritos permitem a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha. Os problemas de sobreposição antecipados para padronização de vias e plugues da próxima geração podem ser resolvidos por uma ou mais abordagens aqui descritas. Em geral, uma ou mais modalidades aqui descritas envolvem o uso de um método subtrativo para pré-formar todas as vias e todos os plugues usando as valas já gravadas. Uma operação adicional é depois usada para selecionar qual via ou plugue a reter.
[0311] As Figuras 14A a 14N ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias e plugues autoalinhados, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, é fornecida uma vista em corte transversal tridimensional angular.
[0312] A Figura 14A ilustra uma estrutura 1400 de ponto inicial para um processo subtrativo de vias e plugues após a fabricação de linhas de metal profundas, de acordo com uma modalidade da presente revelação. Em relação à Figura 14A, a estrutura 1400 inclui linhas de metal 1402 com linhas dielétricas entre camadas (ILD) 1404 intervenientes. As linhas ILD 1404 incluem uma camada de capa de plugue 1406. Em uma modalidade, como descrito abaixo em mais
Petição 870190046922, de 20/05/2019, pág. 138/557
129/326 detalhes em associação com a Figura 14E, a camada de capa de plugue 1406 é depois padronizada para, por fim, definir todas as possíveis localizações para posterior formação de plugues.
[0313] Em uma modalidade, a estrutura de grade formada por linhas de metal 1402 é uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscara de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da Figura 14A pode ter linhas de metal espaçadas em um afastamento constante e tendo uma largura constante. O padrão pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão em quatro do afastamento. É igualmente reconhecido que algumas das linhas 1402 podem ser associadas a vias subjacentes para acoplamento em uma camada de interconexão anterior.
[0314] Em uma modalidade, as linhas de metal 1402 são formadas padronizando valas em um material ILD (por exemplo, o material ILD das linhas 1404) tendo a camada de capa de plugue 1406 aí formada. As valas são depois cheias por metal e, se necessário, planarizadas para a camada de capa de plugue 1406. Em uma modalidade, o processo de enchimento e valas de metal envolve membros de elevada relação de aspecto. Por exemplo, em uma modalidade, a relação de aspecto da altura da linha de metal (h) para a largura da linha de metal (w) se encontra aproximadamente na faixa de 5 a 10.
[0315] A Figura 14B ilustra a estrutura da Figura 14A após a colocação em reentrância das linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 14B, as linhas
Petição 870190046922, de 20/05/2019, pág. 139/557
130/326 de metal 1402 são colocadas em reentrância seletivamente para fornecer linhas de metal 1408 de primeiro nível. A colocação em reentrância é efetuada seletivamente para as linhas ILD 1404 e a camada de capa de plugue 1406. A colocação em reentrância pode ser efetuada gravando através de gravação a seco, gravação a úmido ou uma combinação das mesmas. A extensão da reentrância pode ser determinada pela espessura (th) direcionada das linhas de metal 1408 de primeiro nível para uso como linhas de interconexão condutivas adequadas dentro de uma estrutura de interconexões na extremidade traseira de linha (BEOL).
[0316] A Figura 14C ilustra a estrutura da Figura 14B após o enchimento de máscara dura nas regiões com reentrância das linhas de metal com reentrância, de acordo com uma modalidade da presente revelação. Em relação à Figura 14C, a camada de máscara dura 1410 é formada nas regiões formadas durante a colocação em reentrância para formar as linhas de metal 1408 de primeiro nível. A camada de máscara dura 1410 pode ser formada por uma deposição de material e um processo de planarização química-mecânica (CMP) para o nível de camada de capa de plugue 1406, ou por um processo de crescimento somente ascendente controlado. Em uma modalidade específica, a camada de máscara dura 1410 é composta por um material rico em carbono.
[0317] A Figura 14D ilustra a estrutura da Figura 14C após deposição e padronização de uma camada de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 14D, é formada uma segunda camada de máscara dura 1412 na, ou por cima da, camada de máscara dura 1410 e camada de capa de plugue 1406. Em uma tal modalidade, a segunda camada de máscara dura 1412 é formada com um padrão de grade ortogonal ao padrão de grade das linhas de metal 1408/linhas ILD 1404 de primeiro nível, como é retratado
Petição 870190046922, de 20/05/2019, pág. 140/557
131/326 na Figura 14D. Em uma modalidade específica, a segunda camada de máscara dura 1412 é composta por um material de revestimento antirrefletivo à base de silício. Em uma modalidade, a estrutura de grade formada pela segunda camada de máscara dura 1412 é uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser formado primeiro, mas o afastamento pode ser reduzido para metade mediante o uso de padronização de máscara de espaçador, como é conhecido na técnica. Mais ainda, o afastamento original pode ser dividido em quatro por uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da segunda camada de máscara dura 1412 da Figura 14D pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante.
[0318] A Figura 14E ilustra a estrutura da Figura 14D após formação de valas definida usando o padrão da máscara dura da Figura 14D, de acordo com uma modalidade da presente revelação. Em relação à Figura 14E, as regiões expostas (ou seja, não protegidas por 1412) da camada de máscara dura 1410 e da camada de capa de plugue 1406 são gravadas para formar valas 1414. A gravação é parada nas, e expõe assim as, superfícies superiores das linhas de metal 1408 e das linhas ILD 1404 de primeiro nível.
[0319] A Figura 14F ilustra a estrutura da Figura 14E após formação ILD nas valas da Figura 14E e remoção da segunda máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 14F, são formadas segundas linhas ILD 1416 nas valas 1414 da Figura 14E. Em uma modalidade, é usado um material ILD circulável para encher valas 1414. Em uma modalidade, as valas 1414 são cheias e o material de enchimento é subsequentemente planarizado. A
Petição 870190046922, de 20/05/2019, pág. 141/557
132/326 planarização pode ainda ser usada para remover a segunda camada de máscara dura 1412, expondo novamente a camada de máscara dura 1410 e a camada de capa de plugue 1406, como é retratado na Figura 14F.
[0320] Em relação novamente à Figura 14F, em uma modalidade, a estrutura resultante inclui uma estrutura ILD uniforme (linhas ILD 1404 + linhas ILD 1416). As localizações de todos os possíveis plugues são ocupadas pelas porções remanescentes da camada de capa de plugue 1406, enquanto todas as possíveis localizações de vias são ocupadas pelas porções remanescentes da camada de máscara dura 1410. Em uma tal modalidade, as linhas ILD 1404 e as linhas ILD 1416 são compostas por um mesmo material. Em outra tal modalidade, as linhas ILD 1404 e as linhas ILD 1416 são compostas por diferentes materiais ILD. Em qualquer caso, em uma modalidade específica, pode ser observada uma distinção, como por exemplo uma junção, entre os materiais das linhas ILD 1404 e linhas ILD 1416 na estrutura final. Ademais, em uma modalidade, não existe nenhuma camada de paragem de gravação distinta onde as linhas ILD 1404 e as linhas ILD 1416 se cruzem, em oposição à padronização por damasquinagem única ou dupla convencional.
[0321] A Figura 14G ilustra a estrutura da Figura 14F após a remoção das porções remanescentes da camada de máscara dura ocupando todas as possíveis localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 14G, as porções remanescentes da camada de máscara dura 1410 são seletivamente removidas para formar aberturas 1418 para todas as possíveis localizações de vias. Em uma tal modalidade, a camada de máscara dura 1410 é composta substancialmente por carbono e é removida seletivamente com um processo de incineração.
[0322] Em geral, uma ou mais modalidades aqui descritas envolvem
Petição 870190046922, de 20/05/2019, pág. 142/557
133/326 o uso de um método subtrativo para pré-formar todas as vias e todos os plugues usando as valas já gravadas. Uma operação adicional é depois usada para selecionar qual das vias e plugues a reter. Essas operações podem ser ilustradas usando photobuckets”, embora o processo de seleção possa igualmente ser efetuado usando uma abordagem de exposição à resistência e reaterro ILD mais convencional. É igualmente reconhecido que as modalidades não são limitadas ao conceito de photobuckets, mas têm aplicações abrangentes em estruturas tendo membros pré~formados fabricados usando a abordagem ascendente e/ou de automontagem dirigida (DSA). Modalidades adicionais direcionadas para a fabricação e o uso de photobuckets são descritas abaixo em mais detalhes em modalidades para além das presentes modalidades das Figuras 14A a 14N e 15A a 15D.
[0323] A Figura 14H ilustra a estrutura da Figura 14G após a formação de photobuckets em todas as possíveis localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 14H, os photobuckets 1420 são formados em todas as possíveis localizações de vias por cima das porções expostas das linhas de metal 1408 de primeiro nível. Em uma modalidade, as aberturas 1418 da Figura 14G são cheias com uma fotorresistência ultrarrápida ou resistência de feixe de elétrons ou outro material fotossensível. Em uma tal modalidade, é usado um refluxo térmico de um polímero nas aberturas 1418 após uma aplicação de revestimento por rotação. Em uma modalidade, a fotorresistência rápida é fabricada removendo um resfriador de um material fotorresistente existente. Em outra modalidade, os photobuckets 1420 são formados por um processo de nova gravação e/ou um processo de litografia/diminuição/gravação. Deve ser reconhecido que os photobuckets não necessitam de ser cheios com fotorresistência efetiva, desde que o material funcione como um comutador fotossensível.
Petição 870190046922, de 20/05/2019, pág. 143/557
134/326 [0324] A Figura 141 ilustra a estrutura da Figura 14H após seleção de localização de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 141, são removidos os photobuckets 1420 da Figura 14H em localizações de vias selecionadas. Em localizações onde não são selecionadas vias para serem formadas, os photobuckets 1420 são retidos, convertidos em um material ILD permanente ou substituídos por um material ILD permanente. Como um exemplo, a Figura 141 ilustra uma localização de via 1422 com o correspondente photobucket 1420 sendo removido para expor uma porção de uma das linhas de metal 1408 de primeiro nível. As outras localizações anteriormente ocupadas por photobuckets 1420 são agora mostradas como regiões 1424 na Figura 141. As localizações 1424 não são selecionadas para formação de vias e, em vez disso, constituem parte da estrutura ILD final. Em uma modalidade, o material dos photobuckets 1420 é retido nas localizações 1424 como um material ILD final. Em outra modalidade, o material dos photobuckets 1420 é modificado, por exemplo, por reticulação, nas localizações 1424 para formar um material ILD final. Ainda em outra modalidade, o material dos photobuckets 1420 nas localizações 1424 é substituído por um material ILD final.
[0325] Novamente em relação à Figura 141, para formar a localização de via 1422, é usada litografia para expor o correspondente photobucket 1420. Todavia, os constrangimentos litográficos podem ser moderados e a tolerância ao desalinhamento pode ser alta, uma vez que o photobucket 1420 é circundado por materiais não fotolizáveis. Ademais, em uma modalidade, em vez de expor em, por exemplo, 30 mJ/cm2, esse photobucket poderá ser exposto em, por exemplo, 3 mJ/cm2. Normalmente, isso resultaria em rugosidade e controle CD muito maus. Contudo, nesse caso, o controle de rugosidade e CD será definido peto photobucket 1420, o que pode ser muito bem controlado e
Petição 870190046922, de 20/05/2019, pág. 144/557
135/326 definido. Desse modo, a abordagem de photobuckets pode ser usada para contornar o compromisso entre imagens/doses que limita o rendimento de processos litográficos de próxima geração.
[0326] Em relação novamente à Figura 141, em uma modalidade, a estrutura resultante inclui uma estrutura ILD uniforme (ILD 1424 + linhas ILD 1404 + linhas ILD 1416). Em uma tal modalidade, duas ou todas entre ILD 1424, linhas ILD 1404 e linhas ILD 1416 são compostas por um mesmo material. Em outra tal modalidade, ILD 1424, linhas ILD 1404 e linhas ILD 1416 são compostas por diferentes materiais ILD. Em qualquer caso, em uma modalidade específica, é observada uma distinção, como por exemplo uma junção entre os materiais de ILD 1424 e linhas ILD 1404 (por exemplo, junção 1497) e/ou entre ILD 1424 e linhas ILD 1416 (por exemplo, junção 1498) na estrutura final.
[0327] A Figura 14J ilustra a estrutura da Figura 141 após enchimento de máscara dura nas aberturas da Figura 141, de acordo com uma modalidade da presente revelação. Em relação à Figura 14J, é formada uma camada de máscara dura 1426 na localização de via 1422 e por cima das localizações ILD 1424. Acamada de máscara dura 1426 pode ser formada por deposição e subsequente planarização química-mecânica.
[0328] A Figura 14K ilustra a estrutura da Figura 14J após remoção da camada de capa de plugues e formação de uma segunda pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 14K, a camada de capa de plugues 1406 é removida, por exemplo, por um processo de gravação seletiva. Os photobuckets 1428 são depois formados em todas as localizações de plugues possíveis por cima de porções expostas das linhas ILD 1404. Em uma modalidade, as aberturas formadas após remoção da camada de capa de plugues 1406 são cheias com uma fotorresistência ultrarrápida ou resistência de feixe de elétrons ou outro material
Petição 870190046922, de 20/05/2019, pág. 145/557
136/326 fotossensível. Em uma tal modalidade, é usado um refluxo térmico de um polímero nas aberturas após uma aplicação de revestimento por rotação. Em uma modalidade, a fotorresistência rápida é fabricada removendo um resfriador de um material fotorresistente existente. Em outra modalidade, os photobuckets 1428 são formados por um processo de nova gravação e/ou um processo de litografia/diminuição/gravação. Deve ser reconhecido que os photobuckets não necessitam de ser cheios com fotorresistência efetiva, desde que o material funcione como um comutador fotossensível.
[0329] A Figura 14L ilustra a estrutura da Figura 14K após seleção de localizações de plugues, de acordo com uma modalidade da presente revelação. Em relação à Figura 14L, são removidos os photobuckets 1428 da Figura 14K que não se encontram em localizações de plugues selecionadas. Em localizações onde são selecionados plugues para serem formados, os photobuckets 1428 são retidos, convertidos em um material ILD permanente ou substituídos por um material ILD permanente. Como um exemplo, a Figura 14L ilustra localizações sem ser de plugues 1430 com photobuckets 1428 correspondentes sendo removidos para expor uma porção das linhas ILD 1404. A outra localização anteriormente ocupada peto photobucket 1428 é agora mostrada como região 1432 na Figura 14L. A região 1432 é selecionada para formação de plugues e constitui parte da estrutura ILD final. Em uma modalidade, o material do photobucket 1428 correspondente é retido na região 1432 como um material ILD final. Em outra modalidade, o material do photobucket 1428 é modificado, por exemplo, por reticulação, na região 1432 para formar um material ILD final. Ainda em outra modalidade, o material do photobucket 1428 na região 1432 é substituído por um material ILD final. Em qualquer caso, a região 1432 pode igualmente ser referida como plugue 1432.
[0330] Novamente em relação à Figura 14L, para formar aberturas
Petição 870190046922, de 20/05/2019, pág. 146/557
137/326
1430, é usada litografia para expor os photobuckets 1428 correspondente. Todavia, os constrangimentos litográficos podem ser moderados e a tolerância ao desalinhamento pode ser alta, uma vez que os photobuckets 1428 são circundados por materiais não fotolizáveis. Ademais, em uma modalidade, em vez de expor em, por exemplo, 30 mJ/cm2, esses photobuckets poderão ser expostos em, por exemplo, 3 mJ/cm2. Normalmente, isso resultaria em rugosidade e controle CD muito maus. Contudo, nesse caso, o controle de rugosidade e CD serão definidos pelos photobuckets 1428, o que pode ser muito bem controlado e definido. Desse modo, a abordagem de photobuckets pode ser usada para contornar o compromisso entre imagens/doses que limita o rendimento de processos litográficos de próxima geração.
[0331] Em relação novamente à Figura 14L, em uma modalidade, a estrutura resultante inclui uma estrutura ILD uniforme (plugue 1432 + ILD 1424 + linhas ILD 1404 + linhas ILD 1416). Em uma tal modalidade, dois ou mais entre plugue 1432, ILD 1424, linhas ILD 1404 e linhas ILD 1416 são compostos por um mesmo material. Em outra tal modalidade, plugue 1432, ILD 1424, linhas ILD 1404 e linhas ILD 1416 são compostos por diferentes materiais ILD. Em qualquer caso, em uma modalidade específica, é observada uma distinção, como por exemplo uma junção entre os materiais de plugue 1432 e linhas ILD 1404 (por exemplo, junção 1499) e/ou entre plugue 1432 e linhas ILD 1416 (por exemplo, junção 1496), na estrutura final.
[0332] A Figura 14M ilustra a estrutura da Figura 14L após remoção da camada de máscara da Figura 14L, de acordo com uma modalidade da presente revelação. Em relação à Figura 14M, a camada de máscara dura 1426 é seletivamente removida para formar aberturas 1434 de vias e linhas de metal. Em uma tal modalidade, a camada de máscara dura 1426 é composta substancialmente por carbono e é removida seletivamente com um processo de incineração.
Petição 870190046922, de 20/05/2019, pág. 147/557
138/326 [0333] A Figura 14N ilustra a estrutura da Figura 14M após formação de vias e linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 14N, as linhas de metal 1434 e as vias (uma mostrada como 1438) são formadas após enchimento de metal das aberturas 1434 da Figura 14M. As linhas de metal 1436 são acopladas nas linhas de metal 1408 subjacentes por vias 1438 e são interrompidas por plugues 1432. Em uma modalidade, as aberturas 1434 são cheias em uma abordagem de damasquinagem, onde é usado metal para fazer transbordar as aberturas e é depois novamente planarizado para fornecer a estrutura mostrada na Figura 14N. Desse modo, o processo de deposição e planarização de metal (por exemplo, cobre e camadas de barreira e semente associadas) para formar vias e linhas de metal na abordagem acima pode ser o tipicamente usado para processamento por damasquinagem única ou dupla na extremidade traseira de linha (BEOL) padrão. Em uma modalidade, em subsequentes operações de fabricação, as linhas ILD 1416 podem ser removidas para fornecer caixas de ar entre as linhas de metal 1436 resultantes.
[0334] A estrutura da Figura 14N pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 14N pode representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em qualquer caso, as estruturas resultantes permitem a fabricação de vias que sejam diretamente centradas em linhas de metal subjacentes. Ou seja, as vias podem ser mais largas que, mais estreitas que, ou ter a mesma espessura que as linhas de metal subjacentes, por exemplo, devido a processamento de
Petição 870190046922, de 20/05/2019, pág. 148/557
139/326 gravação seletiva não perfeita. Contudo, em uma modalidade, os centros das vias são diretamente alinhados (combinam) com os centros das linhas de metal. Ademais, o ILD usado para selecionar que plugues e vias serão provavelmente muito diferentes do ILD primário e serão altamente bem alinhados em ambas as direções. Desse modo, em uma modalidade, a compensação devido à padronização de Htografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não é um fator para as estruturas resultantes aqui descritas. Em relação novamente à Figura 14N, então, a fabricação autoalinhada pela abordagem subtrativa pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante pode envolver a realização do processo descrito mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexão adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única.
[0335] O fluxo de processo descrito acima envolve o uso de gravação de valas profundas. Em outro aspecto, uma abordagem mais superficial envolve um esquema de processamento subtrativo autoalinhado somente de plugues. Como um exemplo, as Figuras 15A a 145D ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de plugues autoalinhados, de acordo com outra modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em planta no topo, e são mostradas vistas em corte transversal correspondentes no fundo. Essas vistas serão aqui referidas como vistas em corte transversal correspondentes e vistas em planta.
[0336] A Figura 15A ilustra uma vista em planta e correspondentes vistas em corte transversal de uma grelha de plugues inicial, de acordo com uma modalidade da presente revelação. Em relação à vista em
Petição 870190046922, de 20/05/2019, pág. 149/557
140/326 planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos a-a’ e b-b’, respectivamente, uma estrutura de grelha de plugues inicial 1500 inclui uma camada ILD 1502 tendo uma primeira camada de máscara dura 1504 aí disposta. Uma segunda camada de máscara dura 1508 é disposta na primeira camada de máscara dura 1504 e é padronizada para ter uma estrutura de grade. Uma terceira camada de máscara dura 1506 é disposta na segunda camada de máscara dura 1508 e na primeira camada de máscara dura 1504. Adicionalmente, permanecem aberturas 1510 entre a estrutura de grade da segunda camada de máscara dura 1508 e da terceira camada de máscara dura 1506.
[0337] A Figura 15B ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 15A após enchimento, exposição e desenvolvimento de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a! e b-b’, respectivamente, são formados photobuckets 1512 nas aberturas 1510 da Figura 15A. Subsequentemente, os photobuckets selecionados são expostos e removidos para fornecer localizações de plugues 1514 selecionadas, como retratado na Figura 15B.
[0338] A Figura 15C ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 15B após a formação de plugues, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a’ e b-b’, respectivamente, são formados plugues 1516 nas aberturas 1514 da Figura 15B. Em uma modalidade, os plugues 1516 são formados por uma abordagem centrífuga e/ou uma abordagem de deposição e nova gravação.
[0339] A Figura 15D ilustra uma vista em planta e correspondentes
Petição 870190046922, de 20/05/2019, pág. 150/557
141/326 vistas em corte transversal da estrutura da Figura 15C após remoção de uma camada de máscara dura e dos photobuckets remanescentes, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos a-a’ e b-b!, respectivamente, a terceira camada de máscara dura 1506 é removida, deixando a segunda camada de máscara dura 1508 e os plugues 1516. O padrão resultante (segunda camada de máscara dura 1508 e plugues 1516) pode subsequentemente ser usado para padronizar a camada de máscara dura 1504 para padronização final da camada ILD 1502. Em uma modalidade, a terceira camada de máscara dura 1506 é composta substancialmente por carbono e é removida efetuando um processo de incineração.
[0340] Desse modo, a estrutura da Figura 15D pode subsequentemente ser usada como uma fundação para formar padrões de linhas ILD e plugues. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em qualquer caso, as estruturas resultantes permitem a fabricação de plugues autoalinhados. Desse modo, em uma modalidade, a compensação devido à padronização de litografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não é um fator para as estruturas resultantes aqui descritas.
[0341] De acordo com uma modalidade da presente revelação, são descritas abordagens baseadas em capacete dielétrico e/ou abordagens baseadas em seletividade de máscara dura para fabricação de interconexões na extremidade traseira de linha (BEOL), e as estruturas resultantes. Uma ou mais modalidades aqui descritas são direcionadas para métodos de uso de um capacete dielétrico para
Petição 870190046922, de 20/05/2019, pág. 151/557
142/326 automontagem dirigida (DSA) ou crescimento seletivo para permitir a fabricação de interconexões autoalinhadas. As modalidades podem abordar ou implementar um ou mais entre o uso de um capacete dielétrico, automontagem dirigida, deposição seletiva, autoalinhamento ou interconexões de padronização em afastamento apertado. As modalidades podem ser implementadas para fornecer uma melhor margem de curto-circuito de via por autoalinhamento com coloração através de deposição seletiva e subsequente automontagem dirigida, por exemplo, para nós de tecnologia sub~10 nm.
[0342] Para contextualizar, as atuais soluções para melhorar a margem de curto-circuito podem incluir: (1) uso de reentrância de metal para encher valas de metal alternadas com diferentes máscaras duras, (2) uso de diferentes capas de metal de cor como um modelo para automontagem dirigida (DSA) ou crescimento seletivo, ou (3) colocação em reentrância do metal ou ILD para conduzir a via em direção à linha de interesse. Globalmente, fluxos de processo típicos para melhorar a margem de curto-circuito de via requerem uma reentrância de metal. Todavia, a colocação em reentrância de metal com uniformidade aceitável mostrou ser um desafio em muitos desses esquemas de processamento.
[0343] De acordo com uma modalidade da presente revelação, um ou mais dos problemas acima são resolvidos implementando um método de deposição de uma capa dielétrica não conforme em metade de uma população de interconexões. A capa dielétrica não conforme é usada como um modelo para crescimento seletivo ou automontagem dirigida. Em uma tal modalidade, essa abordagem pode ser aplicada em qualquer camada de metal de interconexão e, possivelmente, em contatos de porta. Em uma modalidade específica, uma necessidade de reentrância de metal como é visto nas abordagens do estado da técnica é eficazmente eliminada dos esquemas de processamento aqui
Petição 870190046922, de 20/05/2019, pág. 152/557
143/326 descritos.
[0344] Como uma visão geral dos conceitos aqui envolvidos, as Figuras 16A a 16D ilustram vistas em corte transversal de porções de camadas de circuito integrado representando várias operações em um método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0345] Em relação à Figura 16A, é fornecida uma estrutura de ponto inicial 1600 como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 1600 inclui uma camada de máscara dura 1604 disposta em uma camada dielétrica entre camadas (ILD) 1602. Como descrito abaixo, a camada ILD pode ser disposta por cima de um substrato e, em uma modalidade, é disposta sobre uma camada de metalização subjacente. Na camada de máscara dura 1604, são formadas aberturas que correspondem a valas formadas na camada ILD 1602. Em alternativa, uma das valas é cheia com uma camada condutiva para fornecer primeiras linhas de metal 1606 (e, em alguns casos, correspondentes vias condutivas 1607). As valas remanescentes não são cheias, fornecendo valas 1608 abertas. Em uma modalidade, a estrutura inicial 1600 é fabricada padronizando uma camada de máscara dura e ILD e depois metalizando metade da população de valas de metal (por exemplo, alternando uma das valas), deixando a outra metade da população aberta. Em uma modalidade, as valas no ILD são padronizadas usando um fluxo de processo de padronização de divisão de afastamento. Deve ser reconhecido que a seguinte operação de processo descrita abaixo pode envolver primeiro divisão de afastamento, ou então não. Em qualquer caso, mas particularmente quando é igualmente usada divisão de afastamento, as modalidades podem permitir dimensionamento continuado do afastamento de camadas de metal para além da capacidade de
Petição 870190046922, de 20/05/2019, pág. 153/557
144/326 resolução do equipamento de litografia do estado da técnica.
[0346] A Figura 16B ilustra a estrutura da Figura 16A após deposição de uma camada de capa dielétrica não conforme 1610 sobre a estrutura 1600. A camada de capa dielétrica não conforme 1610 inclui uma primeira porção 1600A que cobre superfícies expostas da camada de máscara dura 1604 e as linhas de metal 1606. A camada de capa dielétrica não conforme 1610 inclui uma segunda porção 1610B contínua com a primeira porção 1610A. A segunda porção 1610B da camada de capa dielétrica não conforme 1610 é formada nas valas 1608 abertas, ao longo de paredes laterais 1608A e no fundo 1608B das valas 1608 abertas. Em uma modalidade, a segunda porção 1610B da camada de capa dielétrica não conforme 1610 é substancialmente mais fina que a primeira porção 1610A, como é retratado na Figura 16B. Em outras modalidades, a porção 1610B é não existente ou é descontínua. Dessa forma, a deposição da camada de capa dielétrica não conforme 1610 é considerada como sendo uma deposição não conforme, uma vez que a espessura da camada de capa dielétrica não conforme 1610 não é a mesma em todas as localizações. A geometria resultante pode ser referida como um formato de capacete para a camada de capa dielétrica não conforme 1610, uma vez que as porções mais altas da camada ILD 1602 têm aí a porção mais espessa da camada de capa dielétrica não conforme 1610 e, desse modo, são protegidas em maior escala que outras regiões. Em uma modalidade, a camada de capa dielétrica não conforme 1610 é um material dielétrico, como por exemplo, mas não se limitando a, nitreto de silício ou oxinitreto de silício. Em uma modalidade, a camada de capa dielétrica não conforme 1610 é formada usando um processo de deposição química de vapor por plasma melhorado (PECVD - Plasma-Enhanced Chemical Vapor Deposition) ou, em outra modalidade, deposição física de vapor (PVD Physical Vapor Deposition).
Petição 870190046922, de 20/05/2019, pág. 154/557
145/326 [0347] A Figura 16C ilustra a estrutura da Figura 16B após padronização, metalização e planarização de vias da segunda metade das linhas de metal. Em uma modalidade, é efetuado um processo de enchimento de metal para fornecer segundas linhas de metal 1612. Em uma modalidade, todavia, antes do enchimento de metal, são primeiro selecionadas e abertas localizações de vias. Em seguida, após o enchimento de metal, as vias 1613 são formadas como associadas a certas das segundas linhas de metal 1612. Em uma tal modalidade, as aberturas de vias são formadas estendendo uma certa vala das valas 1608 abertas por gravação através da camada de capa dielétrica não conforme 1610 no fundo das valas 1608 selecionadas e depois estendendo a vala pela camada dielétrica 1602. O resultado é uma quebra da continuidade da camada de capa dielétrica não conforme 1610 nas localizações de vias das segundas linhas de metal 1612, como é retratado na Figura 16C.
[0348] Em uma modalidade, o processo de enchimento de metal usado para formar segundas linhas de metal 1612 e vias condutivas 1613 é efetuado usando uma deposição de metal e subsequente esquema de processamento de planarização, como por exemplo um processo de planarização química-mecânica (CMP). O processo de planarização expõe, mas não remove, a camada de capa dielétrica não conforme 1610, como é retratado na Figura 16C. Deve ser reconhecido que, em uma modalidade, uma vez que as segundas linhas de metal 1612 (e correspondentes vias condutivas 1613) são formadas em um processo posterior ao processo usado para fabricar primeiras linhas de metal 1606 (e correspondentes vias condutivas 1607), as segundas linhas de metal 1612 podem ser fabricadas usando um material diferente do usado para fabricar as primeiras linhas de metal 1606. Em uma tal modalidade, uma camada de metalização inclui por fim interconexões condutivas de primeiras e segundas composições
Petição 870190046922, de 20/05/2019, pág. 155/557
146/326 diferentes alternadas. Em outra modalidade, todavia, as linhas de metal 1612 e 1606 são fabricadas substancialmente desde o mesmo material. [0349] Em uma modalidade, as primeiras linhas de metal 1606 são espaçadas por um afastamento, e as segundas linhas de metal 1612 são espaçadas pelo mesmo afastamento. Em outras modalidades, as linhas não se encontram necessariamente espaçadas por um afastamento. Todavia, mediante inclusão da camada de capa dielétrica não conforme 1610, ou capacete dielétrico, somente as superfícies das segundas linhas de metal 1612 são expostas. Como resultado, o afastamento entre as primeiras e segundas linhas de metal vizinhas que seriam de outro modo expostas é moderado para somente o afastamento das segundas linhas de metal. Desse modo, superfícies dielétricas expostas alternadas da camada de capa dielétrica não conforme 1610 e superfícies expostas das segundas linhas de metal 1612 fornecem uma superfície diferenciada no afastamento das segundas linhas de metal 1612.
[0350] A Figura 16D ilustra a estrutura da Figura 16C após uma abordagem de automontagem dirigida ou deposição seletiva para, por fim, formar uma primeira e uma segunda camadas de máscara dura 1614 e 1616, respectivamente, diferentes alternadas. Em uma modalidade, os materiais das camadas de máscara dura 1614 e 1616 exibem diferente seletividade de gravação entre si. A primeira camada de máscara dura 1614 é alinhada com regiões expostas da camada de capa dielétrica não conforme 1610. A segunda camada de máscara dura 1616 é alinhada com regiões expostas das segundas linhas de metal 1612. Como descrito abaixo em mais detalhes, pode ser usado crescimento seletivo ou automontagem dirigida para alinhar a primeira e segunda camadas de máscara dura 1614 e 1616 seletivamente com superfícies dielétricas e de metal, respectivamente.
[0351] Em uma primeira modalidade geral, de modo a formar, por
Petição 870190046922, de 20/05/2019, pág. 156/557
147/326 fim, a primeira e segunda camadas de máscara dura 1614 e 1616, é efetuado um processo de montagem de polímero e deposição de copolimero em bloco de automontagem dirigida (DSA). Em uma modalidade, um copolimero em bloco DSA é revestido na superfície e recozido para segregar o polímero nos primeiros blocos e nos segundos blocos. Em uma modalidade, os primeiros blocos de polímero se fixam preferencialmente à camada de capa dielétrica não conforme 1610. Os segundos blocos de polímero aderem às segundas linhas de metal 1612. Em uma modalidade, a molécula de copolimero em bloco é uma molécula polimérica formada por uma cadeia de monômeros covalentemente unidos, cujos exemplos são descritos acima.
[0352] Em relação novamente à Figura 16D, no caso de um processo DSA, em uma primeira modalidade, a primeira e segunda camadas de máscara dura 1614 e 1616 correspondem ao primeiro e segundo polímeros em bloco, respectivamente. Em uma segunda modalidade, todavia, o primeiro e segundo polímeros em bloco são, cada um deles, sequencialmente substituídos pelos materiais da primeira e segunda camadas de máscara dura 1614 e 1616. Em uma tal modalidade, o processo de deposição e a gravação seletiva são usados para substituir o primeiro e segundo polímeros em bloco pelos materiais da primeira e segunda camadas de máscara dura 1614 e 1616, respectivamente.
[0353] Em uma segunda modalidade geral, de modo a formar, por fim, a primeira e segunda camadas de máscara dura 1614 e 1616, é usado um processo de crescimento seletivo em vez de uma abordagem DSA. Em uma tal modalidade, o material da primeira camada de máscara dura 1614 cresce por cima das porções expostas da camada de capa dielétrica não conforme 1610 subjacente. Um segundo material diferente da segunda camada de máscara dura 1616 cresce por cima das porções expostas das segundas linhas de metal 1612 subjacentes.
Petição 870190046922, de 20/05/2019, pág. 157/557
148/326
Em uma modalidade, o crescimento seletivo é alcançado por uma abordagem de dep-grav-dep-grav para cada um dos primeiros e segundos materiais, resultando em uma pluralidade de camadas de cada um dos materiais. Uma abordagem assim pode ser favorável versus técnicas convencionais de crescimento seletivo que podem formar películas em forma de cabeça de cogumelo. A tendência de crescimento de películas de cabeça de cogumelo pode ser reduzida através de uma abordagem de deposição/gravação/deposição (depgrav-dep-grav) alternada. Em outra modalidade, uma película é depositada seletivamente sobre o metal seguida por uma película diferente seletivamente sobre o ILD (ou vice-versa) e repetida inúmeras vezes criando uma pilha tipo sanduíche. Em outra modalidade, ambos os materiais crescem em simultâneo em uma câmara de reação (por exemplo, por um processo estilo CVD) que cresce seletivamente em cada região exposta do substrato subjacente.
[0354] Como descrito abaixo em mais detalhes, em uma modalidade, a estrutura resultante da Figura 16D permite melhores margens de curto-circuito de via na fabricação de camadas de vias posteriores na estrutura da Figura 16D. Em uma modalidade, é alcançada uma melhor margem de curto-circuito, uma vez que a fabricação de uma estrutura com máscaras duras de cor alternadas reduz o risco de um curto-circuito de via na linha de metal errada. Em uma modalidade, é alcançado o autoalinhamento, uma vez que as máscaras duras de cor alternadas são autoalinhadas com as valas de metal que se encontram por baixo. Em uma modalidade, a necessidade de uma reentrância de metal é removida do esquema de processamento, uma vez que isso pode reduzir a variação de processo. [0355] Em um primeiro fluxo de processo exemplificativo mais detalhado, as Figuras 16E a 16P ilustram vistas em corte transversal de porções de camadas de circuito integrado representando várias
Petição 870190046922, de 20/05/2019, pág. 158/557
149/326 operações em outro método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação. [0356] Em relação à Figura 16E, é fornecida uma estrutura de ponto inicial 1630 após o processamento da primeira passagem de metal como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 1630 inclui uma camada de máscara dura 1634 (por exemplo, nitreto de silício) disposta em uma camada dielétrica entre camadas (ILD) 1632. Como descrito abaixo, a camada ILD pode ser disposta por cima de um substrato e, em uma modalidade, é disposta sobre uma camada de metalização subjacente. As primeiras linhas de metal 1636 (e, em alguns casos, correspondentes vias condutivas 1637) são formadas na camada ILD 1632. As porções protuberantes 1636A das linhas de metal 1636 têm espaçadores dielétricos 1638 adjacentes. Uma camada de máscara dura sacrificial 1640 (por exemplo, silício amorfo) é incluída entre espaçadores dielétricos 1638 vizinhos. Embora não retratado, em uma modalidade, as linhas de metal 1636 são formadas primeiro pela remoção de um segundo material de máscara dura sacrificial entre espaçadores dielétricos 1638 e depois pela gravação da camada de máscara dura 1634 e da camada ILD 1632 para formar valas que são depois cheias em um processo de metalização.
[0357] A Figura 16F ilustra a estrutura da Figura 16E após o processamento da segunda passagem de metal até e incluindo gravação de valas. Em relação à Figura 16F, a camada de máscara dura sacrificial 1640 é removida para expor a camada de máscara dura 1634. As porções expostas da camada de máscara dura 1634 são removidas e são formadas valas 1642 na camada ILD 1632.
[0358] A Figura 16G ilustra a estrutura da Figura 16F após o enchimento de material sacrificial. Um material sacrificial 1644 é
Petição 870190046922, de 20/05/2019, pág. 159/557
150/326 formado nas valas 1642 e sobre os espaçadores 1638 e as linhas de metal 1636. Em uma modalidade, o material sacrificial 1644 é formado em um processo de centrifugação, deixando uma camada substancialmente plana, como é retratado na Figura 16G.
[0359] A Figura 16H ilustra a estrutura da Figura 16G após um processo de planarização para expor novamente a camada de máscara dura 1634, para remover os espaçadores dielétricos 1638 e para remover porções protuberantes 1636A das linhas de metal 1636. Adicionalmente, o processo de planarização confinou o material sacrificial 1644 às valas 1642 formadas na camada dielétrica 1632. Em uma modalidade, o processo de planarização é efetuado usando um processo de polimento químico-mecânico (CMP).
[0360] A Figura 161 ilustra a estrutura da Figura 16H após a remoção de material sacrificial. Em uma modalidade, o material sacrificial 1644 é removido das valas 1642 usando um processo de gravação a úmido ou gravação a seco.
[0361] A Figura 16J ilustra a estrutura da Figura 161 após deposição de uma camada de capa dielétrica não conforme 1646, que pode ser referida como um capacete dielétrico. Em uma modalidade, a camada de capa dielétrica não conforme 1646 é formada usando um processo de deposição física de vapor (PVD) ou deposição química de vapor (CVD), como por exemplo um processo CVD por plasma melhorado (PECVD). A camada de capa dielétrica não conforme 1646 pode ser como descrito acima em associação com a camada de capa dielétrica não conforme 1610.
[0362] A Figura 16K ilustra a estrutura da Figura 16J após deposição de uma camada de capa sacrificial. Uma camada de capa sacrificial 1648 é formada nas superfícies superiores da camada de capa dielétrica não conforme 1646 e pode ser implementada para proteger a camada de capa dielétrica não conforme 1646 durante um
Petição 870190046922, de 20/05/2019, pág. 160/557
151/326 subsequente processo de gravação ou CMP. Em uma modalidade, a camada de capa sacrificial 1648 é uma camada de nitreto de titânio (TiN) formada, por exemplo, por processamento PVD ou CVD.
[0363] A Figura 16L ilustra a estrutura da Figura 16K após processamento de gravação e litografia de vias. As selecionadas das valas 1638 são expostas e submetidas a um processo de gravação que rompe a camada de capa dielétrica não conforme 1646 na localização 1650 e estende a vala para fornecer uma localização de via 1652, como foi descrito acima.
[0364] A Figura 16M ilustra a estrutura da Figura 16L após a fabricação de segundas linhas de metal. Em uma modalidade, as segundas linhas de metal 1654 (e em alguns casos, vias condutivas 1656 associadas) são formadas efetuando um processo de polimento e enchimento de metal. O processo de polimento pode ser um processo CMP que remove ainda a camada de capa sacrificial 1648.
[0365] A Figura 16N ilustra a estrutura da Figura 16M após a automontagem dirigida (DSA) ou o crescimento seletivo, por exemplo, para fornecer o primeiro e segundo materiais de reserva de espaço 1658 e 1660 alternados (ou podem ser materiais permanentes, como descrito em associação com a Figura 16D).
[0366] A Figura 160 ilustra a estrutura da Figura 16N após substituição do primeiro e segundo materiais de reserva de espaço 1658 e 1660 alternados pela primeira e segunda camadas de máscara dura 1662 e 1664 permanentes, respectivamente. O processamento para as Figuras 16N e 160 pode ser como descrito em associação com a Figura 16D.
[0367] A Figura 16P ilustra a estrutura da Figura 160 após padronização de vias de camada seguinte. Uma camada ILD 1666 superior é formada por cima da primeira e segunda camadas de máscara dura 1662 e 1664. Uma abertura 1668 é formada na camada
Petição 870190046922, de 20/05/2019, pág. 161/557
152/326
ILD 1666 superior. Em uma modalidade, a abertura 1668 é formada mais larga que um tamanho de membros de vias. Uma selecionada das localizações da primeira e segunda camadas de máscara dura 1662 e 1664 expostas é selecionada para remoção seletiva, por exemplo, por um processo de gravação seletiva. Nesse caso, uma primeira região de máscara dura 1662 é removida seletivamente para porções expostas da segunda camada de máscara dura 1664. Uma via condutiva 1670 é depois formada na abertura 1668 e nas regiões onde a primeira região de máscara dura 1662 foi removida. A via condutiva 1670 toca em uma das primeiras linhas de metal 1636. Em uma modalidade, a via condutiva 1670 toca em uma das primeiras linhas de metal 1636 sem curto-circuito para uma das segundas linhas de metal 1654 adjacentes. Em uma modalidade específica, uma porção 1672 da via condutiva 1670 é disposta em uma porção da segunda camada de máscara dura 1664 sem tocar em uma segunda linha de metal 1654 subjacente, como é retratado na Figura 16P. Em uma modalidade, é realizada então uma melhor margem de curto-circuito.
[0368] Em uma modalidade, como descrito na modalidade acima, é removida uma região de primeira máscara dura 1662 para a fabricação de vias 1670. Nesse caso, a formação da abertura após remoção da região de primeira máscara dura 1662 selecionada requer ainda gravação através de uma porção mais alta da camada de capa dielétrica não conforme 1646. Em outra modalidade, todavia, uma região de segunda máscara dura 1664 é removida para fabricação de vias 1670. Nesse caso, a formação da abertura após remoção dessa região de segunda máscara dura 1664 selecionada expõe diretamente a linha de metal 1654 à qual a via 1670 é conectada.
[0369] Em um segundo fluxo de processo exemplificative mais detalhado, envolvendo uma primeira abordagem de gravação de vias, as Figuras 17A a 17J ilustram vistas em corte transversal de porções de
Petição 870190046922, de 20/05/2019, pág. 162/557
153/326 camadas de circuito integrado representando várias operações em outro método envolvendo formação de capacetes dielétricos para fabricação de interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0370] Em relação à Figura 17A, é fornecida uma estrutura de ponto inicial 1700 após o processamento de primeira passagem de metal como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 1700 inclui uma camada de máscara dura 1704 (por exemplo, nitreto de silício) disposta em uma camada dielétrica entre camadas (ILD) 1702. Como descrito abaixo, a camada ILD pode ser disposta por cima de um substrato e, em uma modalidade, é disposta sobre uma camada de metalização subjacente. As primeiras linhas de metal 1706 (e, em alguns casos, correspondentes vias condutivas 1707) são formadas na camada ILD 1702. As porções protuberantes 1706A das linhas de metal 1706 têm espaçadores dielétricos 1708 adjacentes. Uma camada de máscara dura sacrificial 1710 (por exemplo, silício amorfo) é incluída entre espaçadores dielétricos 1708 vizinhos. Embora não retratado, em uma modalidade, as linhas de metal 1706 são formadas primeiro pela remoção de um segundo material de máscara dura sacrificial entre espaçadores dielétricos 1708 e depois pela gravação da camada de máscara dura 1704 e da camada ILD 1702 para formar valas que são depois cheias em um processo de metalização.
[0371] A Figura 17B ilustra a estrutura da Figura 17A após processamento de segunda passagem de metal até e incluindo gravação de localizações de valas e vias. Em relação à Figura 17B, a camada de máscara dura sacrificial 1710 é removida para expor a camada de máscara dura 1704. As porções expostas da camada de máscara dura 1704 são removidas e são formadas valas 1712 na camada ILD 1702. Adicionalmente, em uma modalidade, são formadas
Petição 870190046922, de 20/05/2019, pág. 163/557
154/326 localizações de vias 1722 em localizações selecionadas usando um processo de litografia e gravação de vias, como é retratado na Figura 17B.
[0372] A Figura 17C ilustra a estrutura da Figura 17B após enchimento de material sacrificial. Um material sacrificial 1714 é formado nas valas 1712 e sobre os espaçadores 1708 e as linhas de metal 1706. Em uma modalidade, o material sacrificial 1714 é formado em um processo de centrifugação, deixando uma camada substancialmente plana, como é retratado na Figura 17C.
[0373] A Figura 17D ilustra a estrutura da Figura 17C após um processo de planarização para expor novamente a camada de máscara dura 1704, para remover os espaçadores dielétricos 1708 e para remover porções protuberantes 1706A das linhas de metal 1706. Adicionalmente, o processo de planarização confinou o material sacrificial 1714 às valas 1712 formadas na camada dielétrica 1702. Em uma modalidade, o processo de planarização é efetuado usando um processo de polimento químico-mecânico (CMP).
[0374] A Figura 17E ilustra a estrutura da Figura 17D após remoção parcial do material sacrificial 1714 para fornecer material sacrificial 1715 com reentrância. Em uma modalidade, o material sacrificial 1714 é colocado em reentrância dentro de valas 1712 usando um processo de gravação a úmido e gravação a seco. O material sacrificial 1715 com reentrância pode ser retido nesse momento para proteger uma localização de vias 1722 subjacentes de camada de metal.
[0375] A Figura 17F ilustra a estrutura da Figura 17E após deposição de uma camada de capa dielétrica não conforme 1716, que pode ser referida como um capacete dielétrico. Em uma modalidade, a camada de capa dielétrica não conforme 1716 é formada usando uma deposição física de vapor (PVD), um processo de crescimento seletivo ou um processo de deposição química de vapor (CVD), como por
Petição 870190046922, de 20/05/2019, pág. 164/557
155/326 exemplo um processo CVD por plasma melhorado (PECVD). A camada de capa dielétrica não conforme 1716 pode ser como descrito acima em associação com a camada de capa dielétrica não conforme 1710. Em alternativa, a camada de capa dielétrica não conforme 1716 pode somente incluir porções superiores 1716A, essencialmente com nenhuma porção da camada de capa dielétrica não conforme 1716 sendo formada nas valas 1712, como é retratado na Figura 17F.
[0376] A Figura 17G ilustra a estrutura da Figura 17F após fabricação de segundas linhas de metal. Em uma modalidade, segundas linhas de metal 1724 (e em alguns casos, vias condutivas 1726 associadas) são formadas efetuando um processo de enchimento e polimento de metal subsequente à remoção do material sacrificial 1715 com reentrância. O processo de polimento pode ser um processo CMP. [0377] A Figura 17H ilustra a estrutura da Figura 17G após automontagem dirigida (DSA) ou crescimento seletivo, por exemplo, para fornecer o primeiro e segundo materiais de reserva de espaço 1728 e 1730 alternados (ou podem ser materiais permanentes, como descrito em associação com a Figura 16D).
[0378] A Figura 171 ilustra a estrutura da Figura 17H após substituição do primeiro e segundo materiais de reserva de espaço 1728 e 1730 alternados pela primeira e segunda camadas de máscara dura 1732 e 1734 permanentes, respectivamente. O processamento para as Figuras 17H e 3I pode ser como descrito em associação com a Figura 16D.
[0379] A Figura 17J ilustra a estrutura da Figura 171 após padronização de vias de camada seguinte. Uma camada ILD 1736 superior é formada por cima da primeira e segunda camadas de máscara dura 1732 e 1734. Uma abertura 1738 é formada na camada ILD 1736 superior. Em uma modalidade, a abertura 1738 é formada mais larga que um tamanho de membros de vias. Uma selecionada das
Petição 870190046922, de 20/05/2019, pág. 165/557
156/326 localizações da primeira e segunda camadas de máscara dura 1732 e 1734 expostas é selecionada para remoção seletiva, por exemplo, por um processo de gravação seletiva. Nesse caso, uma primeira região de máscara dura 1732 é removida seletivamente para as porções expostas da segunda camada de máscara dura 1734. Uma via condutiva 1740 é depois formada na abertura 1738 e nas regiões onde a primeira região de máscara dura 1732 foi removida. A via condutiva 1740 toca em uma das primeiras linhas de metal 1706. Em uma modalidade, a via condutiva 1740 toca em uma das primeiras linhas de metal 1706 sem curto-circuito para uma das segundas linhas de metal 1724 adjacentes. Em uma modalidade específica, uma porção 1742 da via condutiva 1740 é disposta em uma porção da segunda camada de máscara dura 1734 sem tocar em uma segunda linha de metal 1724 subjacente, como é retratado na Figura 17J. Em uma modalidade, é realizada então uma melhor margem de curto-circuito.
[0380] Em uma modalidade, como descrito na modalidade acima, é removida uma primeira região de máscara dura 1732 para a fabricação de vias 1740. Nesse caso, a formação da abertura após remoção da região da primeira máscara dura 1732 selecionada requer ainda a gravação através de uma porção mais alta da camada de capa dielétrica não conforme 1716. Em outra modalidade, todavia, uma região de segunda máscara dura 1734 é removida para fabricação de vias 1740. Nesse caso, a formação da abertura após remoção dessa região de segunda máscara dura 1734 selecionada expõe diretamente a linha de metal 1724 à qual a via 1740 é conectada.
[0381] Em relação novamente às Figuras 16P e 17J, mediante análise do corte transversal, pode ser visto um capacete dielétrico sobre metade das populações de metal. Adicionalmente, as máscaras duras de diferentes materiais são autoalinhadas com o capacete dielétrico. Essas estruturas podem incluir um ou mais entre uma via condutiva com
Petição 870190046922, de 20/05/2019, pág. 166/557
157/326 melhor margem de curto-circuito, materiais de máscara dura alternados, a presença de um capacete dielétrico. Uma estrutura resultante, como descrito em associação com a Figura 16P ou 17J, pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, as estruturas da Figura 16P ou 17J podem representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. [0382] De acordo com uma modalidade da presente revelação, são descritas camadas de acumulação de padrões para vias e plugues. Uma ou mais modalidades aqui descritas são direcionadas para processar esquemas para controle de dimensão crítica (CD) de vias. As modalidades podem incluir melhoramentos relacionados com controle CD de vias, uniformidade CD de vias, erro de colocação de beiras (EPE), autoalinhamento de vias. As modalidades podem melhorar o erro de colocação de beiras (EPE) na padronização de semicondutores de vias e pode permitir o autoalinhamento de múltiplas passagens de litografia de vias. Em uma modalidade, todas as beiras de via são definidas com grades em vez de beiras de resistência padrão. É criada uma grade sacrificial por baixo da resistência de via na mesma direção do metal onde as vias se situam. As vias são padronizadas com fotorresistência padrão. Todavia, durante subsequentes gravações através da grade sacrificial e da grade de uma grade de metal de vias autoalinhadas (SAV - Self-Aligned Vias) (por exemplo, duas grades cruzadas), todas as beiras de via são definidas pelas grades. Em uma modalidade, nenhuma variabilidade da beira de resistência de via é transferida para o substrato, e a capacidade de processo resultante permite um melhor controle de CDs de via e melhora produções e
Petição 870190046922, de 20/05/2019, pág. 167/557
158/326 capacidade de processo.
[0383] Para fornecer contexto para modalidades descritas abaixo, as soluções atualmente conhecidas envolvem o uso de uma beira de resistência para definir uma beira de via que determine a margem de curto-circuito para o metal abaixo. Todavia, a padronização de resistência de vias padrão é conhecida por ter um erro de colocação de beiras muito mais elevado que a padronização de grade. Em oposição, de acordo com modalidades aqui descritas, o uso de uma grade sacrificial para definir beiras de via fornece um controle muito melhor da beira de via, e o risco de curto-circuito no metal errado é grandemente melhorado.
[0384] De acordo com modalidades aqui descritas, é descrito um fluxo de acumulação de padrões para múltiplos padrões de via com uma grade sacrificial na pilha para definir pós-gravação de beiras de vias. Uma pilha de crivo é construída revestindo uma máscara dura em uma camada dielétrica entre camadas de metal superior padronizada (M1) com plugues já presentes. A máscara dura planariza o wafer para subsequente processamento. A camada seguinte formada pode ser usada como uma paragem de gravação, seguida pela formação de uma camada de acumulação. Nesse estágio, pode ser criada uma grade no dobro do afastamento da camada de metal inferior (MO) subjacente e na mesma direção da grade MO. Essa grade bloqueia eficazmente duas a duas linhas MO abaixo e por fim define a dimensão crítica (CD) da pósgravação de vias. Em uma modalidade, uma vez que a grade é o dobro do afastamento do MO subjacente, é incluída uma quantidade substancial de máscara dura (+/-20 nm) entre vias para possibilitar erro de colocação de beiras (EPE) de um membro de resistência sobrejacente.
[0385] Em seguida, múltiplos padrões de máscara de vias são acumulados através de uma grade e em uma camada de acumulação.
Petição 870190046922, de 20/05/2019, pág. 168/557
159/326
Após acumulação, a grade é invertida sem uma operação de litografia extra de modo a expor outras linhas de metal (MO) inferior e proteger as vias que já foram criadas. Um revestimento é adicionado entre grades para garantir que as vias em linhas MO adjacentes não se fundem. O espaçamento entre vias pode ser modulado com a espessura do revestimento.
[0386] Finalmente, os padrões de via de uma a diversas máscaras de via podem ser acumulados através da grade invertida para concluir a padronização na acumulação de todas as vias desenhadas. A grade é depois removida e o padrão de vias acumuladas na camada de acumulação é gravado no sentido descendente através da grade de máscaras duras de metal (M1) superior no dielétrico entre camadas por baixo das linhas M1 e do MO abaixo. A pilha por cima da grade M1 e a camada de máscara dura sobrejacente são removidas. Subsequentemente, valas e vias são metalizadas e depois polidas. O resultado é um controle CD muito bom das vias formadas em ambas as direções e o autoalinhamento de todas as vias entre si.
[0387] Em um aspecto, assim, uma ou mais modalidades aqui descritas são direcionadas para uma abordagem que emprega uma estrutura de grade de metal subjacente, ou um par dessas estruturas ortogonais, como um modelo para construir vias condutivas sobrejacentes. Em um esquema de processamento exemplificative, as Figuras 18A a 18W ilustram vistas em planta (porções superiores das Figuras) e correspondentes vistas angulares (porções médias das Figuras) e em corte transversal (porções inferiores das Figuras) representando várias operações em esquemas de processamento de uma via de metal para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0388] Em relação à Figura 18A, é fornecida uma estrutura de ponto inicial 1800 como um ponto de início para a fabricação de uma nova
Petição 870190046922, de 20/05/2019, pág. 169/557
160/326 camada de metalização. A estrutura de ponto inicial 1800 inclui uma matriz de linhas de metal 1802 e linhas dielétricas 1804 alternadas. As linhas de metal 1802 têm superfícies superiores que são aproximadamente coplanares com superfícies superiores das linhas dielétricas 1804. Uma camada de paragem de gravação 1806 é depois formada na estrutura inicial 1800, como é retratado na Figura 18B.
[0389] Em relação à Figura 18C, é formada uma camada dielétrica entre camadas 1808 na estrutura da Figura 18B. Uma máscara dura padronizada 1810 é depois formada na estrutura da Figura 18C, e o padrão da máscara dura padronizada 1810 é transferido parcialmente para a camada dielétrica entre camadas 1808 para formar a camada dielétrica entre camadas padronizada 1812 tendo regiões de linha de metal 1814 aí formadas, como é retratado na Figura 18D. Em uma modalidade, a máscara dura padronizada 1810 tem um padrão tipo grade, como é retratado. Em uma modalidade específica, a máscara dura padronizada 1810 é composta por nitreto de titânio (ΊΊΝ).
[0390] Em relação à Figura 18E, uma camada de máscara dura 1816 é formada na estrutura da Figura 18D. Em uma modalidade, a superfície inferior da camada de máscara dura 1816 é conforme com a topografia da estrutura da Figura 18D, enquanto a superfície superior da camada de máscara dura 1816 é planarizada. Em uma modalidade específica, a camada de máscara dura 1816 é uma camada de máscara dura de carbono (CHM). Uma camada de paragem de gravação 1818 é depois formada na estrutura da Figura 18E, como é retratado na Figura 18F. Em uma modalidade específica, a camada de paragem de gravação 1818 é composta por óxido de silício (SiOx ou S1O2).
[0391] Em relação à Figura 18G, uma camada de acumulação de padrões 1820 é depois formada na estrutura da Figura 18F. Em uma modalidade, a camada de acumulação de padrões 1820 é uma camada na qual mais de um padrão irá por fim se acumular, por exemplo, para
Petição 870190046922, de 20/05/2019, pág. 170/557
161/326 eventual padronização de vias. Em uma modalidade específica, a camada de acumulação de padrões 1820 é composta por silício amorfo (a-Si). Uma máscara dura 1822 padronizada é depois formada na estrutura da Figura 18G, como é retratado na Figura 18H. Em uma modalidade, a máscara dura 1822 padronizada tem um padrão tipo grade, como é retratado. Em uma tal modalidade, o padrão tipo grade é ortogonal à grade da máscara dura padronizada 1810 e paralelo à grade das linhas de metal 1802. Todavia, em uma modalidade, de uma perspectiva de cima para baixo, a máscara dura padronizada 1822 expõe somente duas a duas das linhas de metal 1802 (por exemplo, linha de metal 1802 (A)) e bloqueia as linhas de metal 1802 (por exemplo, linha de metal 1802 (B)) alternadas, como é retratado na Figura 18H. Em uma modalidade específica, a máscara dura padronizada 1822 é composta por nitreto de silício (SIN).
[0392] Em relação à Figura 181, uma camada de máscara dura 1824 é depois formada na estrutura da Figura 18H. Em uma modalidade específica, a máscara dura 1824 é uma máscara dura de carbono (CHM). A máscara dura 1824 é depois padronizada (por exemplo, por um processo litográfico usando estruturas de resistência de camada única ou múltiplas camadas) e o padrão é transferido para porções da camada de acumulação de padrões 1820 exposta pela máscara dura padronizada 1822 para formar uma camada de memória padronizada uma vez 1826, como é retratado na Figura 18J. Em uma modalidade, o padrão é transferido para porções da camada de acumulação de padrões 1820 por um processo de gravação que usa a camada de paragem de gravação 1818 como um ponto de terminação. Em uma modalidade, subsequente à formação da camada de memória padronizada uma vez 1826, a máscara dura 1824 é removida, como é igualmente retratado na Figura 18J. Deve ser reconhecido que o processo pode ser repetido para diversas operações de mascaramento
Petição 870190046922, de 20/05/2019, pág. 171/557
162/326 diferentes.
[0393] Em relação à Figura 18K, uma linha de bloqueio 1828 é depois formada enchendo a abertura na máscara dura padronizada 1822 da estrutura da Figura 18J com uma camada de material de bloqueio. Em uma modalidade específica, a camada de material de bloqueio é um material de óxido de silício circulável. Em outras modalidades, a camada de material de bloqueio corresponde a qualquer um de diversos outros materiais adequados. A máscara dura padronizada 1822 é depois removida da estrutura da Figura 18K para deixar a linha de bloqueio 1828 remanescente, como é retratado na Figura 18L.
[0394] Em relação à Figura 18M, uma camada de material de formação de espaçadores 1830 isolante é depois formada na estrutura da Figura 18L, conforme com a linha de bloqueio 1828. Em uma modalidade, a camada de material de formação de espaçadores 1830 isolante é composta por um material dielétrico. Em uma modalidade, a camada de material de formação de espaçadores 1830 é composta por óxido de silício (SiOx ou S1O2). A camada de material de formação de espaçadores 1830 é depois padronizada para formar espaçadores 1832 adjacentes às paredes laterais da linha de bloqueio 1828, como é retratado na Figura 18N. Em uma modalidade, a camada de material de formação de espaçadores 1830 é padronizada para formar espaçadores 1832 usando um processo de gravação a seco anisotrópica.
[0395] Em relação à Figura 180, um padrão coletivo da linha de bloqueio 1828, dos espaçadores 1832 e das regiões protetoras de uma máscara de padronização formada subsequente à formação dos espaçadores 1832 é depois transferido para a camada de memória padronizada uma vez 1826 para formar uma camada de memória padronizada duas vezes 1834. Em uma modalidade, 0 padrão é transferido para a camada de memória padronizada uma vez 1826 por
Petição 870190046922, de 20/05/2019, pág. 172/557
163/326 um processo de gravação que usa a camada de paragem de gravação 1818 como um ponto de terminação. A linha de bloqueio 1828, os espaçadores 1832 e qualquer material de máscara adicional da estrutura da Figura 180 são depois removidos para expor a camada de memória padronizada duas vezes 1834, como é retratado na Figura 18P.
[0396] Em relação à Figura 18Q, o padrão da camada de memória padronizada duas vezes 1834 da estrutura da Figura 18P é depois transferido para a camada de paragem de gravação 1818 para formar a camada de paragem de gravação padronizada 1836 e para expor a porção da camada de máscara dura 1816. Em uma modalidade, o padrão da camada de memória padronizada duas vezes 1834 é transferido para a camada de paragem de gravação 1818 usando um processo de gravação a seco. A camada de memória padronizada duas vezes 1834 da estrutura da Figura 18Q é depois removida, como é retratado na Figura 18R.
[0397] Em relação à Figura 18S, o padrão da camada de paragem de gravação padronizada 1836 da estrutura da Figura 18R é depois transferido para a camada de máscara dura 1816 para formar a camada de máscara dura padronizada 1838. A camada de máscara dura padronizada 1838 expõe porções das regiões de linha 1814 da camada dielétrica entre camadas padronizada 1812 e porções da máscara dura padronizada 1810. Ou seja, embora a camada de máscara dura padronizada 1838 exponha áreas mais largas que as regiões de linha 1814 da camada dielétrica entre camadas padronizada 1812, a máscara dura padronizada 1810 protege regiões expostas da camada dielétrica entre camadas padronizada 1812 fora das regiões de linha 1814. O padrão da camada de máscara dura padronizada 1838 da estrutura da Figura 18S é depois transferido para a camada dielétrica entre camadas padronizada 1812 para formar a camada dielétrica entre camadas
Petição 870190046922, de 20/05/2019, pág. 173/557
164/326 padronizada 1840 duas vezes e para expor a camada de paragem de gravação 1806, como é retratado na Figura 18T. Todavia, em uma modalidade, a máscara dura padronizada 1810 inibe o padrão de transferência total, como é igualmente retratado na Figura 18T. Em uma modalidade, o padrão da camada de máscara dura padronizada 1838 é transferido para a camada dielétrica entre camadas padronizada 1812 por um processo de gravação que usa a camada de paragem de gravação 1806 como um ponto de terminação.
[0398] Em relação à Figura 18U, porções expostas da camada de paragem de gravação 1806 da estrutura da Figura 18T são removidas para formar a camada de paragem de gravação padronizada 1842 e para expor localizações de vias 1844 para linhas de metal 1802. A camada de paragem de gravação padronizada 1836, a camada de máscara dura padronizada 1838 e a máscara dura padronizada 1810 da estrutura da Figura 18U são depois removidas, como é retratado na Figura 18V. A remoção expõe a camada dielétrica entre camadas padronizada duas vezes 1840 e localizações de vias 1844 para linhas de metal 1802, bem como localizações 1846 para linhas de metal superiores. Em uma modalidade, a camada de paragem de gravação padronizada 1836, a camada de máscara dura padronizada 1838 e a máscara dura padronizada 1810 são removidas usando um processo de gravação a úmido seletiva.
[0399] Em relação à Figura 18W, é formada uma camada de metalização superior para a estrutura da Figura 18V. Em particular, é efetuado um processo de enchimento de metal para fornecer vias de metal 1848 e linhas de metal 1850. Em uma modalidade, é efetuado o processo de enchimento de metal usando uma deposição de metal e subsequente esquema de processamento de planarização, como por exemplo um processo de planarização química-mecânica (CMP). Em uma modalidade, a superfície da estrutura formada da Figura 18W é
Petição 870190046922, de 20/05/2019, pág. 174/557
165/326 substancialmente igual à superfície da, embora ortogonal à, estrutura inicial 1800 da Figura 18A. Desse modo, em uma modalidade, o processo descrito em associação com as Figuras 18B a 18W pode ser repetido na estrutura da Figura 18W para formar uma camada de metalização seguinte, e assim sucessivamente.
[0400] Uma estrutura resultante, como descrito em associação com a Figura 18W, pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura da Figura 18W pode representar a camada de interconexões de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Deve ser igualmente reconhecido que os exemplos acima se focaram na formação de vias/contatos. Todavia, em outras modalidades, podem ser usadas abordagens similares para preservar ou formar regiões para terminação (plugues) na extremidade de linha dentro de uma camada de linhas de metal.
[0401] De acordo com uma modalidade da presente revelação, são descritas abordagens de padronização de vias e plugues baseada em grelha. Uma ou mais modalidades aqui descritas são direcionadas para esquemas de processamento de vias de metal autoalinhadas na grelha e superautoalinhadas. As modalidades aqui descritas podem ser implementadas para fornecer metodologia de autoalinhamento para camadas de metal/via. Quase todas as geometries de plugues e vias são tornadas possíveis implementando abordagens aqui descritas. Adicionalmente, a dimensão crítica (CD) de via final pode ser independente da litografia implementada para padronização. Ademais, as abordagens aqui descritas podem fornecer um fluxo circular, de modo que a extremidade do fluxo de processo tenha um layout e uma
Petição 870190046922, de 20/05/2019, pág. 175/557
166/326 pilha de camadas idênticos ou substancialmente idênticos ao início do fluxo de processo. Desse modo, depois de desenvolvida cada operação no fluxo de processo, o fluxo de processo pode ser repetido as vezes necessárias para adicionar a quantidade de camadas de metal/vias como requerido. Em uma ou mais modalidades, é usada a sobreposição entre grelhas perpendiculares para definir a colocação de vias e linhas de metal. O tamanho da via pode ser determinado pelas áreas sobrepostas entre duas grelhas.
[0402] Para fornecer contexto para modalidades descritas abaixo, em comparação com abordagens atualmente conhecidas para autoalinhamento de vias, as abordagens aqui descritas podem possibilitar praticamente qualquer colocação disponível de plugues e vias. As abordagens aqui descritas podem requerer menos gravações seletivas. As abordagens aqui descritas podem possibilitar CDs de plugues e vias finais que sejam independentes da litografia utilizada. Em um aspecto, assim, uma ou mais modalidades aqui descritas são direcionadas para uma abordagem que emprega uma estrutura de grade de metal subjacente como um modelo para construir vias condutivas sobrejacentes. Deve ser reconhecido que podem ser implementadas abordagens similares para fabricar espaços ou interrupções não condutivos entre metais (plugues).
[0403] Em um esquema de processamento exemplificative, as Figuras 19A a 19L ilustram vistas em planta (porções superiores das Figuras) e correspondentes vistas em corte transversal angulares (porções inferiores das Figuras) representando várias operações em esquemas de processamento de uma via de metal autoalinhada na grelha para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação. Deve ser reconhecido que, embora na realidade não sejam, são mostradas diferentes camadas de metalização como separadas (superior e inferior)
Petição 870190046922, de 20/05/2019, pág. 176/557
167/326 nas vistas em corte transversal angulares por motivos de clareza.
[0404] Em relação à Figura 19A, é fornecida uma estrutura de ponto inicial 1900 como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 1900 inclui uma matriz de linhas de metal 1902 e linhas dielétricas 1904 alternadas. As linhas de metal 1902 são colocadas em reentrância por baixo das linhas dielétricas 1904. Uma camada de máscara dura 1906 é disposta por cima das linhas de metal 1902 e alternando com as linhas dielétricas 1904. Em uma modalidade, as linhas dielétricas 1904 são compostas por nitreto de silício (SiN) e a camada de máscara dura 1906 é composta por carboneto de silício (SiC) ou óxido de silício (SiOa). Uma camada de padronização 1908 seguinte é depois fabricada por cima da estrutura de ponto inicial 1900, como é retratado na Figura 19B. Em uma modalidade, a camada de padronização 1908 seguinte inclui uma camada de paragem de gravação 1910, uma camada dielétrica 1912 e uma estrutura de grade 1914. Em uma modalidade, a camada de paragem de gravação 1910 é composta por óxido de silício (SiO), a camada dielétrica 1912 é composta por nitreto de silício (SiN) e a estrutura de grade 1914 é composta por óxido de silício (SiO). Em uma modalidade, a estrutura de grade 1914 é formada usando um esquema de redução a metade do afastamento ou divisão em quatro do afastamento, por exemplo, por padronização de espaçador.
[0405] Em relação à Figura 19C, 0 padrão da estrutura de grade 1914 é transferido para a camada dielétrica 1912 para formar a camada dielétrica padronizada 1916. Em uma modalidade, 0 padrão da estrutura de grade 1914 é transferido para a camada dielétrica 1912 usando um processo de gravação que utiliza a camada de paragem de gravação 1910 como um ponto final para 0 processo de gravação. Uma gravação de avanço é depois efetuada para remover porções expostas da camada de paragem de gravação 1910 para formar a camada de
Petição 870190046922, de 20/05/2019, pág. 177/557
168/326 paragem de gravação padronizada 1918, como é retratado na Figura 19D. Em uma modalidade, a gravação de avanço revela todas as possíveis localizações de vias 1920 que podem potencialmente ser formadas na estrutura 1900.
[0406] Em relação à Figura 19E, a padronização de plugues é depois efetuada formando uma máscara dura padronizada 1922 na estrutura da Figura 19D em localizações onde plugues têm de ser preservados. Um padrão unificado da máscara dura padronizada 1922 e da estrutura de grade 1914 é depois transferido para a estrutura 1900 para formar a estrutura 1900’ tendo regiões 1924 para formação de linhas de metal dentro da estrutura 1900, como é retratado na Figura 19F. Em uma modalidade, o padrão unificado da máscara dura padronizada 1922 e da estrutura de grade 1914 é transferido para a estrutura 1900 usando um processo de gravação. Um processo de gravação assim pode gravar ambas as camadas 1904 e 1906 substancialmente à mesma velocidade (ou podem ser efetuadas como diversas operações de gravação) e podem ser seguidas por um processo de limpeza para remover a máscara dura padronizada 1922, como é igualmente retratado na Figura 19F.
[0407] Em relação à Figura 19G, a padronização de vias é depois efetuada formando uma máscara litográfica padronizada 1926 na estrutura da Figura 19F, a máscara litográfica padronizada 1926 expondo localizações onde vias têm de ser formadas (por exemplo, um processo de seleção de vias). Um padrão unificado da máscara litográfica padronizada 1926 e da estrutura de grade 1914 é depois transferido para a estrutura 1900’ para formar a estrutura 1900’ tendo regiões 1928 para formação de vias de metal dentro da estrutura 1900’, como é retratado na Figura 19H. Em uma modalidade, o padrão unificado da máscara litográfica padronizada 1926 e da estrutura de grade 1914 é transferido para a estrutura 1900 usando um processo de
Petição 870190046922, de 20/05/2019, pág. 178/557
169/326 gravação. Esse processo de gravação pode gravar a camada 1906 seletivamente para a camada 1904, e pode ser seguido por um processo de limpeza para remover a máscara litográfica padronizada 1926, como é igualmente retratado na Figura 19H.
[0408] Em relação à Figura 191, é efetuado um processo de enchimento de metal na estrutura da Figura 191 para fornecer a estrutura subjacente 1930. O processo de enchimento de metal forma vias de metal 1932 e linhas de metal 1934 na estrutura 1930. O processo de enchimento de metal pode igualmente encher as regiões entre a estrutura de grade 1914 com linhas de metal 1936, como é retratado na Figura 191. Em uma modalidade, o processo de enchimento de metal é efetuado usando uma deposição de metal e subsequente esquema de processamento de planarização. A estrutura da Figura 191 pode depois ser reduzida em espessura para remover a estrutura de grade 1914, para expor o dielétrico padronizado 1916 e parar de fornecer linhas de metal 1938, que são reduzidas em espessura em relação às linhas de metal 1936, como é retratado na Figura 19J. Em uma modalidade, a estrutura da Figura 191 pode depois ser reduzida em espessura usando um processo de planarização, como por exemplo um processo de planarização química-mecânica (CMP).
[0409] Em relação à Figura 19K, as linhas de metal 1938 são removidas da estrutura da Figura 19J para deixar a camada dielétrica padronizada 1916 e a camada de paragem de gravação padronizada 1918. As linhas de metal 1938 podem ser removidas por um processo de gravação seletiva que remove as linhas de metal 1938 e garante igualmente que nenhum metal é deixado ficar em uma altura por cima da camada de material 1904 e 1906 (ou seja, de modo que nenhum metal permaneça por cima das regiões de plugue da estrutura 1930). Uma camada de máscara dura 1940 é depois formada na estrutura da Figura 19K, entre as linhas da camada dielétrica padronizada 1916,
Petição 870190046922, de 20/05/2019, pág. 179/557
170/326 como é retratado na Figura 19L. Em uma modalidade, a camada de máscara dura 1940 é composta por carboneto de silício (SiC) ou óxido de silício (S1O2) e é formada usando um esquema de processamento de deposição e planarização. Em uma modalidade, a camada de máscara dura 1940 é composta pelo mesmo material da camada de máscara dura 1906. Em uma modalidade, a superfície da estrutura formada desde a camada dielétrica padronizada 1916 e da camada de máscara dura 1940 é substancialmente a mesma da superfície da, embora ortogonal à, estrutura inicial 1900 da Figura 19A. Desse modo, em uma modalidade, 0 processo descrito em associação com as Figuras 19B a 19L pode ser repetido na estrutura da Figura 19L para formar uma camada de metalização seguinte, e assim sucessivamente.
[0410] Deve ser reconhecido que 0 processo descrito em associação com as Figuras 19B a 19L como repetido na estrutura da Figura 19L para formar uma camada de metalização seguinte pode ser referido como um fluxo circular, uma vez que a extremidade do fluxo de processo tem um layout e uma pilha de camadas idênticos ou substancialmente idênticos ao início do fluxo de processo. Em uma modalidade, a formação de uma camada de metalização adicional inclui 0 uso de um fluxo circular assim. Todavia, deve ser igualmente reconhecido que um fluxo circular ou repetitivo somente pode ser implementado para camadas de metalização selecionadas. Outras camadas de metalização em uma pilha resultante (por exemplo, camadas por cima ou por baixo de, ou entre, camadas fabricadas usando 0 esquema de processamento das Figuras 19B a 19L) podem ser fabricadas usando abordagens de damasquinagem dupla convencionais ou outras.
[0411] Uma estrutura resultante como 1931 descrito em associação com a Figura 19L pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de
Petição 870190046922, de 20/05/2019, pág. 180/557
171/326 metal e ILD. Em alternativa, a estrutura 1931 da Figura 19L pode representar a camada de interconexões de metal final em um circuito integrado. Deve ser igualmente reconhecido que, em subsequentes operações de fabricação, as linhas dielétricas podem ser removidas para fornecer caixas de ar entre as linhas de metal resultantes. Deve ser reconhecido que os exemplos acima se focaram na formação de vias/contatos. Todavia, em outras modalidades, podem ser usadas abordagens similares para preservar ou formar regiões para terminação (plugues) na extremidade de linha dentro de uma camada de linhas de metal.
[0412] De acordo com uma modalidade da presente revelação, é descrita a padronização de vias e plugues baseada em grade. Uma ou mais modalidades aqui descritas são direcionadas para plugues e cortes baseados em grade para formação de extremidades de membros. As modalidades podem envolver uma ou mais entre padronização de litografia, produção CD na extremidade de linha associada e padronização baseada em espaçador. As modalidades empregam métodos para criar plugues e cortes com controle de colocação e uniformidade de membros unidimensionais (1D). Deve ser reconhecido que existe compromisso entre melhor controle para extremidades de linha (plugues) ou colocações de vias com a implicação de que as extremidades de linhas e vias são colocadas em localizações mais restritas.
[0413] Para fornecer contexto para modalidades aqui descritas, de modo a permitir a padronização de membros de afastamento mais apertado na fabricação de semicondutores, estão sendo aplicadas abordagens de grades e plugues ou grades e cortes em mais camadas. Uma vez que as dimensões dos membros continuam diminuindo, a capacidade de padronizar robustamente cortes e plugues pode limitar o dimensionamento e a produção. Os membros de cortes e plugues são
Petição 870190046922, de 20/05/2019, pág. 181/557
172/326 geralmente definidos diretamente por uma operação litográfica com primeiramente dois membros bidimensionais (2D). Esses membros 2D têm uma variação e não uniformidade muito mais elevadas que os membros unidimensionais (1D).
[0414] Com respeito às Figuras 20A a 20G descritas abaixo, em uma modalidade, é apresentada uma visão geral de um processo de padronização simplificado para gerar plugues definidos por grade. Um padrão 1D sacrificial é gerado ortogonal a uma direção primária de uma camada sendo padronizada. Uma máscara de seleção é depois usada para cortar ou manter as porções do padrão 1D que serão por fim usadas para cortar ou manter porções da grade primária. As beiras finais do corte/manutenção no padrão primário são assim definidas pelas beiras da grade sacrificial 1D, com um controle e uma uniformidade muito melhores. As Figuras 20A a 20G ilustram vistas em planta (superiores) e correspondentes vistas em corte transversal (médias e inferiores) representando várias operações em um método de fabricação de plugues e cortes baseados em grade para formação de extremidades de membros para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0415] Em relação à Figura 20A, é fornecida uma estrutura de ponto inicial 2000 como um ponto de início para a fabricação de uma nova camada de metalização. A estrutura de ponto inicial 2000 inclui uma camada de material dielétrico entre camadas (ILD) 2002 tendo uma primeira camada de máscara dura 2004 aí formada. Uma segunda camada de máscara dura 2006 é formada na primeira camada de máscara dura 2004. A segunda camada de máscara dura 2006 tem um padrão de grade que pode ser visto como um padrão de grade primeiramente unidimensional (1D). Em uma modalidade, o padrão de grade da segunda máscara dura 2006 é por fim usado para definir
Petição 870190046922, de 20/05/2019, pág. 182/557
173/326 localizações 1D da camada final a ser padronizada, mas ainda não tem a extremidade de posições de membros aí padronizada. A primeira camada de máscara dura 2004 e/ou segunda camada de máscara dura 2006 podem ser fabricadas desde um material como, por exemplo, mas não se limitando a, nitreto de silício (SiN), óxido de silício (S1O2), nitreto de titânio (TiN) ou silício (Si). Em uma modalidade, a primeira camada de máscara dura 2004 e a segunda camada de máscara dura 2006 são fabricadas desde diferentes materiais entre si.
[0416] Em relação à Figura 20B, uma terceira camada de máscara dura 2008 é formada na estrutura da Figura 20A. Em uma modalidade, a terceira camada de máscara dura 2008 tem um padrão de grade, que pode ser visto como um padrão de grade primeiramente unidimensional (1D) ortogonal ao padrão de grade 1D da segunda camada de máscara dura 2006. A terceira camada de máscara dura 2008 pode ser fabricada desde um material como, por exemplo, mas não se limitando a, nitreto de silício (SiN), óxido de silício (S1O2), nitreto de titânio (TiN) ou silício (Si). Em uma modalidade, a terceira camada de máscara dura 2008 é fabricada desde um material diferente dos materiais da primeira camada de máscara dura 2004 e da segunda camada de máscara dura 2006. Deve ser reconhecido que qualquer uma das camadas de máscara dura descritas acima pode efetivamente incluir uma pluralidade de subcamadas, por exemplo, para fornecer melhor seletividade de gravação.
[0417] Em uma modalidade, 0 padrão de grade da terceira camada de máscara dura 2008 e 0 padrão de grade da segunda camada de máscara dura 2006 juntos definem todas as localizações de extremidade de linha permitidas para uma camada de metalização de linhas de metal. Em uma tal modalidade, 0 padrão de grade da terceira camada de máscara dura 2008 e 0 padrão de grade da segunda camada de máscara dura 2006 juntos definem localizações de
Petição 870190046922, de 20/05/2019, pág. 183/557
174/326 extremidade de linha em localizações onde as linhas dos padrões de grade se sobrepõem. Em outra tal modalidade, o padrão de grade da terceira camada de máscara dura 2008 e o padrão de grade da segunda camada de máscara dura 2006 juntos definem localizações de extremidade de linha em localizações onde espaços são expostos entre linhas dos padrões de grade.
[0418] Em relação à Figura 20C, as regiões de uma máscara de padronização litográfica 2010 são formadas na estrutura da Figura 20B. As regiões da máscara de padronização litográfica 2010 podem ser formadas desde uma camada ou camadas fotorresistentes, ou máscara de padronização litográfica igual. Em uma modalidade, as regiões da máscara de padronização litográfica 2010 fornecem um padrão de regiões de corte/manutenção na grade sacrificial formada desde a segunda camada de máscara dura 2006 e a terceira camada de máscara dura 2008. Em uma modalidade, assim, é usado um processo de litografia para selecionar (cortar ou manter) porções da grade sacrificial que irá eventualmente definir as localizações de extremidade de um padrão primário de linhas de metal. Em uma tal modalidade, é usada a litografia de 193 nm ou EUV junto com uma transferência de gravação do padrão de resistência em camadas subjacentes antes da gravação do padrão de grade sacrificial. Em uma modalidade, o processo litográfico envolve múltiplas exposições de camadas de resistência ou processamento repetitivo de deposição/gravação/deposição. Deve ser reconhecido que as regiões mascaradas podem ser referidas como localizações de corte ou manutenção, onde as regiões ou os espaços de sobreposição de grade ortogonal entre grades são usados para definir localizações de plugues (ou talvez de vias).
[0419] Em relação à Figura 20D, usando as regiões da máscara de padronização litográfica 2010 da estrutura da Figura 20C como uma
Petição 870190046922, de 20/05/2019, pág. 184/557
175/326 máscara, a terceira camada de máscara dura 2008 é seletivamente gravada para formar a camada de máscara dura padronizada 2012. Ou seja, uma porção da grade sacrificial é gravada para assumir porções do padrão das regiões da máscara de padronização litográfica 2010 que protegem porções da terceira camada de máscara dura 2008 contra um processo de gravação. Em uma modalidade, as porções da terceira camada de máscara dura 2008 que são removidas no processo de gravação não constituem parte do desenho alvo final. Em uma modalidade, as regiões da máscara de padronização litográfica 2010 são removidas após formação da camada de máscara dura padronizada 2012, como é retratado na Figura 20D.
[0420] Em relação à Figura 20E, um padrão combinado formado pela segunda camada de máscara dura 2006 e pela camada de máscara dura padronizada 2012 da estrutura da Figura 20D é transferido para a primeira camada de máscara dura 2004 e para a camada de material ILD 2002, por exemplo, por um processo de gravação seletiva. A padronização forma uma camada ILD padronizada 2014 e uma camada de máscara dura padronizada 2016.
[0421] Em relação à Figura 20F, a camada de máscara dura padronizada 2012 e a segunda camada de máscara dura 2006 (ou seja, a grade sacrificial) da estrutura da Figura 20E são depois removidas. A camada de máscara dura padronizada 2016 pode ser retida nesse estágio, como é retratado na Figura 20F, ou pode ser removida. Técnicas de processamento a seco ou úmido seletivas podem ser empregues para remoção da camada de máscara dura padronizada 2012 e da segunda camada de máscara dura 2006 (e, possivelmente, a camada de máscara dura padronizada 2016). Deve ser reconhecido que a estrutura resultante da Figura 20F pode subsequentemente ser usada como um ponto inicial para enchimento de metal com a opção de remover primeiro a camada de máscara dura padronizada 2016
Petição 870190046922, de 20/05/2019, pág. 185/557
176/326 remanescente. As localizações de extremidade (extremidades de linha) do que serão membros de metal são definidas pelas beiras da grade sacrificial 1D transferida para a camada de material ILD 2002 e, por isso, são bem controladas.
[0422] Em relação à Figura 20G, é efetuado um processo de enchimento de metal na estrutura da Figura 20F para formar linhas de metal 2018 na camada ILD 2014 padronizada das aberturas. As linhas de metal têm extremidades de linha formadas pelas quebras em continuidade formadas na camada ILD padronizada 2014. Em uma modalidade, o processo de enchimento de metal é efetuado depositando e depois planarizando uma ou mais camadas de metal sobre a camada ILD padronizada 2014. A camada de máscara dura padronizada 2016 pode ser retida durante o processo de deposição de metal e depois removida durante o processo de planarização, como é retratado nas Figuras 20F e 20G. Todavia, em outras modalidades, a camada de máscara dura 2016 padronizada é removida antes do processo de enchimento de metal. Ainda em outras modalidades, a camada de máscara dura padronizada 2016 é retida na estrutura final. Em relação novamente à Figura 20G, deve ser reconhecido que as linhas de metal 2018 podem ser formadas sobre membros subjacentes, como por exemplo via condutiva 2020 mostrada como um exemplo.
[0423] Uma estrutura resultante, como descrito em associação com a Figura 20G, pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura da Figura 20G pode representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em uma modalidade, a compensação devido à padronização de
Petição 870190046922, de 20/05/2019, pág. 186/557
177/326
Htografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada não é um fator para as estruturas resultantes aqui descritas. Deve ser reconhecido que os exemplos acima se focaram na formação ou preservação de extremidades de Hnha/plugues/cortes. Todavia, em outras modalidades, podem ser usadas abordagens similares para formar vias/contatos por cima ou por baixo de uma camada de linha de metal. Deve ser igualmente reconhecido que, em subsequentes operações de fabricação, as linhas dielétricas podem ser removidas para fornecer caixas de ar entre as linhas de metal resultantes.
[0424] Em relação novamente às Figuras 20A a 20G, em uma modalidade, foi descrito um processo de padronização para gerar plugues definidos por grade. As vantagens dessa modalidade podem incluir um melhor controle dimensional de membros completos que reduz a probabilidade de curto-circuito completo (falha de produção) de outro modo observado em condições de variação de processo de pior cenário. O controle dimensional melhorado de membros completos fornece mais área na variação de processo de pior cenário para cobertura e pouso de vias. Conformemente, em uma modalidade, pode ser alcançada conexão elétrica melhorada de camada para camada, com maior desempenho de produção e produto. O controle dimensional melhorado de membros completos pode permitir larguras completas menores e, por consequência, pode ser alcançada melhor densidade de produto (custo por funcionalidade).
[0425] Na modalidade, uma vantagem de modalidades da presente revelação é que todas as localizações na extremidade de linha são definidas por uma única operação de litografia. Por exemplo, quando os afastamentos de plugues/cortes se tornam muito pequenos, a solução comum é usar múltiplas passagens de litografia com processamento adicional para gerar um padrão de plugues/cortes composto. Em
Petição 870190046922, de 20/05/2019, pág. 187/557
178/326 oposição, em modalidades aqui descritas, as localizações na extremidade de membros são uma função de múltiplas operações de litografia e, por isso, têm maior variação que quando é usada uma única operação de litografia para definir a extremidade de membro como é o caso com modalidades aqui descritas.
[0426] De acordo com uma modalidade da presente revelação, são descritas abordagens de corte de extremidade de linha. Uma ou mais modalidades aqui descritas são direcionadas para técnicas para padronização de extremidades de linha de metal. As modalidades podem incluir aspectos de um ou mais entre fabricação de contatos, processamento por damasquinagem, processamento por damasquinagem dupla, fabricações de interconexões e padronização de valas de linhas de metal.
[0427] Para contextualizar, nos nós avançados de fabricação de semicondutores, as interconexões de baixo nível são criadas por processos de padronização separados da grade de linhas, extremidades de linha e vias. A fidelidade do padrão composto tende a se degradar, uma vez que as vias invadem as extremidades de linha e vice-versa. As modalidades aqui descritas possibilitam um processo de extremidade de linha igualmente conhecido como um processo de plugues que elimina regras de proximidade associadas. As modalidades podem possibilitar que uma via seja colocada na extremidade de linha e que uma grande via seja presa a uma extremidade de linha.
[0428] Para contextualizar mais, a Figura 21A ilustra uma vista em planta e correspondente vista em corte transversal considerada ao longo do eixo a-a’ da vista em planta de uma camada de metalização de um dispositivo semicondutor convencional. A Figura 21B ilustra uma vista em corte transversal de uma extremidade de linha ou plugue fabricado usando um esquema de processamento atualmente conhecido. A Figura 21C ilustra outra vista em corte transversal de uma
Petição 870190046922, de 20/05/2019, pág. 188/557
179/326 extremidade de linha ou plugue fabricado usando um esquema de processamento atualmente conhecido.
[0429] Em relação à Figura 21 A, uma camada de metalização 2100 inclui linhas de metal 2102 formadas em uma camada dielétrica 2104. As linhas de metal 2102 podem ser acopladas em vias subjacentes 2103. A camada dielétrica 2104 pode incluir regiões de extremidade de linha ou plugue 2105. Em relação à Figura 21B, uma região de extremidade de linha ou plugue 2105 convencional de uma camada dielétrica 2104 pode ser fabricada padronizando uma camada de máscara dura 2110 na camada dielétrica 2104 e depois gravando porções expostas da camada dielétrica 2104. As porções expostas da camada dielétrica 2104 podem ser gravadas até uma profundidade adequada para formar uma vala de linhas 2106 ou mais gravadas até uma profundidade adequada para formar uma vala de vias 2108. Em relação à Figura 21C, duas vias adjacentes às paredes laterais opostas da extremidade de linha ou plugue 2105 podem ser fabricadas em uma única grande exposição 2116 para formar por fim valas de linhas 2112 e valas de vias 2114.
[0430] Todavia, novamente em relação às Figuras 21A a 21C, problemas de fidelidade e/ou problemas de erosão de máscara dura podem originar regimes de padronização imperfeitos. Em oposição, uma ou mais modalidades aqui descritas incluem implementação de um fluxo de processo envolvendo construção de um dielétrico (plugue) de extremidade de linha após um processo de padronização de valas e vias. Em um esquema de processamento exemplificativo, as Figuras 21D a 21J ilustram vistas em corte transversal representando várias operações em um processo para padronização de extremidades de linha de metal para interconexões na extremidade traseira de linha (BEOL), de acordo com uma modalidade da presente revelação.
[0431] Em relação à Figura 21 D, um método de fabricação de uma
Petição 870190046922, de 20/05/2019, pág. 189/557
180/326 camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor Inclui a formação de uma vala de linhas 2128 em uma porção superior (por cima de uma porção inferior 2130) de uma camada de material dielétrico entre camadas (ILD) 2126 formada por cima de uma camada de metalização subjacente 2120. A camada de metalização subjacente 2120 inclui linhas de metal 2122 dispostas em uma camada dielétrica 2124.
[0432] Em relação à Figura 21E, são formadas valas de vias 2132A e 2132B na porção inferior 2130 da camada de material ILD 2126 para formar uma porção inferior padronizada 2130’ da camada de material ILD 2126. Como uma modalidade exemplificativa, a vala de vias 2132A expõe duas linhas de metal 2122 da camada de metalização subjacente 2120, enquanto a vala de vias 2132B expõe uma linha de metal 2122 da camada de metalização subjacente 2120.
[0433] Em relação à Figura 21F, é formado um material sacrificial 2134, como por exemplo um material de matriz, por cima da camada de material ILD (porções 2130’ mostradas na Figura 21F) e na vala de linhas 2128 e nas valas de vias 2132A e 2132B. Em uma modalidade, é formada uma camada de máscara dura padronizada 2136 no material sacrificial 2134, como é retratado na Figura 21F.
[0434] Em relação à Figura 21G, o material sacrificial 2134 é padronizado para formar uma abertura (abertura do lado esquerdo da Figura 21G) expondo uma porção da camada de metalização inferior 2120 entre as duas linhas de metal 2122 da camada de metalização subjacente 2120 associada à vala de vias 2132A da Figura 21E. Na modalidade exemplificativa mostrada, o material sacrificial 2134 é ainda padronizado para formar uma abertura (abertura do lado direito da Figura 21G) expondo uma porção da porção inferior padronizada 2130’ da camada de material ILD adjacente à vala de vias 2132B da Figura 2E. Em uma modalidade, o material sacrificial 2134 é padronizado
Petição 870190046922, de 20/05/2019, pág. 190/557
181/326 transferindo o padrão de máscaras duras padronizadas 2136 para o material sacrificial 2134 por um processo de gravação.
[0435] Em relação à Figura 21H, as aberturas do material sacrificial 2134 (agora mostradas como material sacrifical 2134’ padronizado e cheio) são cheias com um material dielétrico 2138. Em uma modalidade, as aberturas do material sacrificial 2134 são cheias com o material dielétrico 2138 usando um processo de deposição selecionado desde o grupo consistindo na deposição de camada atômica (ALD) e deposição química de vapor (CVD). Em uma modalidade, as aberturas do material sacrificial 2134 são cheias com o material dielétrico 2138 de uma primeira composição de material dielétrico. Em uma tal modalidade, a camada de material ILD 2126 inclui um segundo material dielétrico composto por um material diferente da primeira composição de material dielétrico. Em outra tal modalidade, todavia, a camada de material ILD 2126 é composta pelo primeiro material dielétrico.
[0436] Em relação à Figura 211, o material sacrificial 2134’ cheio é removido para fornecer plugues dielétricos 2140A e 2140B. Na modalidade exemplificativa mostrada, o plugue dielétrico 2140A é disposto na porção da camada de metalização inferior 2120 entre as duas linhas de metal 2122 da camada de metalização subjacente 2120. O plugue dielétrico 2140A é adjacente a uma vala de vias 2132A e uma vala de linhas 2128’ e, no caso mostrado na Figura 2I, se encontra entre valas de vias 2132A e valas de linhas 2128’ essencialmente simétricas. O plugue dielétrico 2140B é disposto em uma porção da porção inferior padronizada 2130’ da camada de material ILD 2126. O plugue dielétrico 2140B se encontra perto de uma vala de vias 2142B e correspondente vala de linhas (lado direito do plugue dielétrico 2140B). Em uma modalidade, a estrutura da Figura 21H é submetida a um processo de planarização usado para remover regiões sobrecarregadas (regiões por cima e sobre superfícies em cada lado da vala) do material dielétrico
Petição 870190046922, de 20/05/2019, pág. 191/557
182/326
2138, para remover a máscara dura padronizada 2136, e para reduzir uma altura do material sacrificial 2134’ e das porções do material dielétrico 2138 aí. O material sacrificial 2134’ é depois removido usando uma técnica de gravação de processamento a úmido ou a seco seletiva. [0437] Em relação à Figura 21J, as valas de linhas 2128’ e as valas de vias 2132A e 2132B são cheias com um material condutivo. Em uma modalidade, o enchimento das valas de linhas 2128’ e das valas de vias 2132A e 2132B com o material condutivo forma linhas de metal 2142 e vias condutivas 2144 em uma camada dielétrica padronizada 2130’. Em uma modalidade exemplificativa, relativamente ao plugue 2140A, uma primeira linha de metal 2142 e uma primeira via condutiva 2144 são diretamente adjacentes à parede lateral esquerda do plugue dielétrico 2140A. Uma segunda linha de metal 2142 e uma segunda via condutiva 2144 são diretamente adjacentes à parede lateral direita do plugue dielétrico 2140A. Em relação ao plugue 2140B, uma primeira linha de metal 2142 é diretamente adjacente à parede lateral direita do plugue dielétrico 2140B e uma porção subjacente da porção inferior padronizada 2130’ da camada ILD é diretamente adjacente a uma primeira via condutiva 2144. No lado esquerdo do plugue dielétrico 2140B, todavia, somente uma linha de metal 2142 e não uma via condutiva associada é associada ao plugue dielétrico 2140B. Em uma modalidade, o processo de enchimento de metal é efetuado depositando e depois planarizando uma ou mais camadas de metal sobre a estrutura da Figura 2L [0438] Em relação novamente à Figura 21J, podem ser demonstradas diversas modalidades diferentes usando a ilustração. Por exemplo, em uma modalidade, a estrutura da Figura 21J representa uma estrutura de camada de metalização final. Em outra modalidade, os plugues dielétricos 2140A e 2140B são removidos para fornecer uma estrutura de caixas de ar. Em outra modalidade, os plugues dielétricos
Petição 870190046922, de 20/05/2019, pág. 192/557
183/326
2140A e 2140B são substituídos por outro material dielétrico. Em outra modalidade, os plugues dielétricos 2140A e 2140B podem ser um padrão sacrificial que é por fim transferido para outra camada de material dielétrico entre camadas subjacente.
[0439] Em uma modalidade exemplificative, em relação novamente à Figura 21J (e anteriores operações de processamento), uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor inclui uma linha de metal 2142 disposta em uma vala 2128’ de uma camada de material dielétrico entre camadas (ILD) 2126. A camada de material ILD 2126 é composta por um primeiro material dielétrico. Uma via condutiva 2144 é disposta na camada de material ILD 2126, por baixo e eletricamente conectada à linha de metal 2142. Um plugue dielétrico 2140A (ou 2140B) é diretamente adjacente à linha de metal 2142 e à via condutiva 2144. Uma segunda linha de metal 2142 e via condutiva 2144 podem igualmente ser diretamente adjacentes ao plugue dielétrico (por exemplo, plugue dielétrico 2140A). Em uma modalidade, o plugue dielétrico 2140A (ou 2140B) é composto por um segundo material dielétrico diferente do primeiro material dielétrico.
[0440] Deve ser reconhecido que o enchimento das aberturas do material sacrificial 2134 com o material dielétrico pode originar a formação de uma junção no material dielétrico aproximadamente no centro do plugue dielétrico resultante. Por exemplo, a Figura 21K ilustra uma vista em corte transversal de uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor que inclui extremidades de linha dielétrica ou plugues tendo aí uma junção, de acordo com uma modalidade da presente revelação.
[0441] Em relação à Figura 21K, uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor inclui linhas de metal 2140 dispostas nas valas de uma camada de material dielétrico entre camadas (ILD) (porção inferior 2130’ mostrada). As vias
Petição 870190046922, de 20/05/2019, pág. 193/557
184/326 condutivas 2144 são dispostas na camada de material ILD 2130’ por baixo das, e eletricamente conectadas às, linhas de metal 2142. Os plugues dielétricos 2152A e 2152B são diretamente adjacentes às linhas de metal 2142 e às vias condutivas 2144. Cada um dos plugues dielétricos 2152A e 2152B inclui uma junção 2150 aproximadamente no centro do plugue dielétrico, por exemplo, atribuível à formação por deposição do plugue dielétrico por deposição química de vapor (CVD) ou deposição de camada atômica (ALD).
[0442] Deve ser reconhecido que uma extremidade de linha ou plugue pode ser associada a linhas de metal que não têm vias subjacentes imediatamente adjacentes ao plugue dielétrico. Por exemplo, a Figura 21L ilustra uma vista em corte transversal de uma camada de metalização de uma estrutura de interconexão para uma pastilha de semicondutor que inclui uma extremidade de linha dielétrica ou plugue que não se encontra imediatamente adjacente a uma via condutiva, de acordo com uma modalidade da presente revelação. Em relação à Figura 21L, o plugue dielétrico 2152 é associado a linhas de metal 2142 que não têm vias subjacentes (como por exemplo vias 2144) imediatamente adjacentes ao plugue dielétrico 2152 (e sobre a camada dielétrica padronizada 2154’ associada).
[0443] Uma estrutura resultante, como descrito em associação com a Figura 21J, Figura 21K ou Figura 21L pode ser subsequentemente usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura da Figura 21J, Figura 21K ou Figura 21L pode representar a camada de interconexão de metal final em um circuito integrado. Em uma modalidade, a compensação devido à padronização de litografia/damasquinagem dupla convencional que tem de ser de outro modo tolerada é mitigada para as estruturas resultantes aqui descritas. Deve ser igualmente reconhecido que, em subsequentes operações de fabricação, a(s)
Petição 870190046922, de 20/05/2019, pág. 194/557
185/326 camada(s) dielétrica(s) pode(m) ser removida(s) para fornecer caixas de ar entre as linhas de metal resultantes.
[0444] De acordo com uma modalidade da presente revelação, é descrita a gravação autoalinhada de vias e plugues pré-formados. Uma ou mais modalidades aqui descritas são direcionadas para padronização de vias e plugues autoalinhados. O aspecto autoalinhado dos processos aqui descritos pode se basear em um mecanismo de automontagem dirigida (DSA), como descrito abaixo em mais detalhes. Todavia, deve ser reconhecido que podem ser empregues mecanismos de crescimento seletivo em vez de, ou em conjunto com, abordagens baseadas em DSA. Em uma modalidade, os processos aqui descritos permitem a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha.
[0445] As modalidades aqui descritas podem ser direcionadas para processamento de gravação isotrópica autoalinhada de vias ou plugues pré-formados, ou ambos. Por exemplo, um esquema de processamento pode envolver a pré-formação de todas as vias e todos os plugues possíveis em uma camada de metalização, como por exemplo uma camada de metalização na extremidade traseira de linha de uma estrutura semicondutora. A litografia é depois empregue para selecionar localizações de vias e/ou plugues específicas para abrir/fechar (por exemplo, manter/remover). A implementação de modalidades aqui descritas pode envolver o uso desse esquema de gravação para formar todas as vias/plugues em uma organização de photobuckets para cada camada de vias/metais correspondente em uma pilha de metalização. Como será reconhecido, podem ser formadas vias em uma camada diferente de uma camada onde são formados plugues (por exemplo, a última sendo formada em uma camada de linhas de metal que se encontra verticalmente entre camadas de vias), ou podem ser formados plugues e vias em uma mesma camada.
Petição 870190046922, de 20/05/2019, pág. 195/557
186/326 [0446] Uma ou mais modalidades aqui descritas oferecem uma abordagem mais eficiente à padronização maximizando a janela de processo de sobreposição, minimizando o tamanho e o formato de padrões requeridos e aumentando a eficiência do processo de litografia para padronizar lacunas ou plugues. Em uma modalidade mais específica, um padrão necessário para abrir uma localização de vias ou plugues pré-formados pode ser criado para ser relativamente pequeno, permitindo um aumento na margem de sobreposição de um processo litográfico. Os membros do padrão podem ser feitos de tamanho uniforme, o que pode reduzir o tempo de varredura na complexidade de feixes de elétrons de escrita direta e/ou correção de proximidade óptica (OPC) com litografia óptica. Os membros do padrão podem igualmente ser feitos para serem rasos, o que pode melhorar a resolução de padronização. Um processo de gravação subsequentemente efetuado pode ser uma gravação isotrópica quimicamente seletiva. Esse processo de gravação mitiga questões de outro modo associadas ao perfil e à dimensão crítica e mitiga questões anisotrópicas tipicamente associadas a abordagens de gravação a seco. Esse processo de gravação também é relativamente muito menos dispendioso de uma perspectiva de equipamento e rendimento em comparação com outras abordagens de remoção seletiva.
[0447] Como um esquema de processamento geral exemplificative, as Figuras 22A a 22G ilustram porções de camadas de circuito integrado representando várias operações em um método envolvendo gravação isotrópica autoalinhada de localizações de vias ou plugues préformados, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em planta no lado esquerdo, e são mostradas vistas em corte transversal correspondentes no lado direito. Essas vistas serão aqui referidas como vistas em planta e correspondentes vistas em corte transversal.
Petição 870190046922, de 20/05/2019, pág. 196/557
187/326 [0448] A Figura 22A ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo a-a’) de uma estrutura inicial após a pré-padronização de lacunas/valas 2204 em um substrato ou uma camada 2202. Em uma modalidade, o substrato ou a camada 2202 é uma camada de material dielétrico entre camadas (ILD). [0449] Embora não retratado por motivos de simplicidade, deve ser reconhecido que as lacunas/valas 2204 podem expor membros subjacentes, como por exemplo linhas de metal subjacentes. Ademais, em uma modalidade, a estrutura inicial pode ser padronizada em um padrão tipo grade com lacunas/valas 2204 espaçadas em um afastamento constante e tendo uma largura constante. O padrão, por exemplo, pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão em quatro do afastamento, etc. No caso de ser fabricada uma camada de via, algumas das lacunas/valas 2204 podem ser associadas a linhas de metalização de nível inferior subjacentes.
[0450] A Figura 22B ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo b-b’) da estrutura da Figura 22A após o enchimento de lacunas/valas 2204 com um material de reserva de espaço sacrificial ou permanente 2206. No caso de ser usado um material de reserva de espaço permanente, pode ser usado um material ILD para encher lacunas/valas 2204. No caso de ser usado um material de reserva de espaço sacrificial, pode ser proporcionada mais flexibilidade na escolha de desenho. Por exemplo, em uma modalidade, pode ser usado um material que não seria de outro modo adequado para retenção em uma estrutura final, como por exemplo um polímero estruturalmente fraco ou um material fotorresistente macio. Como retratado na vista em corte transversal da Figura 22B, a formação de uma ligeira reentrância 2208 do material de reserva de espaço 2206 sacrificial ou permanente nas lacunas/valas
Petição 870190046922, de 20/05/2019, pág. 197/557
188/326
2204 pode ser incluída para ajudar no subsequente processamento. Em uma modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material dielétrico centrífugo.
[0451] A Figura 22C ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo c-c!) da estrutura da Figura 22B após a formação de uma camada de padronização 2210. Em uma modalidade, a camada de padronização 2210 é um material fotossensível, como por exemplo uma camada fotorresistente de tom positivo. Em outra modalidade, a camada de padronização 2210 é um material de revestimento antirrefletivo. Em uma modalidade, a camada de padronização 2210 inclui uma pilha de camadas de material incluindo uma ou mais camadas de material fotossensível e/ou uma ou mais camadas de material de revestimento antirrefletivo.
[0452] A Figura 22D ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo d-d’) da estrutura da Figura 22C após a padronização da camada de padronização 2210 para formar aberturas 2212 na camada de padronização 2210. Em relação à Figura 22D, as aberturas 2212 expõem porções subjacentes do material de reserva de espaço 2206 sacrificial ou permanente. Em particular, as aberturas 2212 expõem porções subjacentes do material de reserva de espaço 2206 sacrificial ou permanente somente nas lacunas/valas 2204 onde é selecionada uma via ou um plugue para ser formado. Em uma modalidade, as aberturas 2212 na camada de padronização 2210 são substancialmente menores que as lacunas/valas 2204 expostas. Como descrito brevemente acima, a formação de aberturas 2212 que sejam relativamente menores que as lacunas/valas 2204 expostas fornece uma tolerância visivelmente aumentada para problemas de desalinhamento. Em uma modalidade, a camada de padronização 2210
Petição 870190046922, de 20/05/2019, pág. 198/557
189/326 é um material fotossensível e as aberturas 2212 são formadas por um processo litográfico, como por exemplo um processo litográfico de tom positivo.
[0453] A Figura 22E ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo e-e’) da estrutura da Figura 22D após a remoção do material de reserva de espaço 2206 sacrificial ou permanente em localizações expostas pelas aberturas 2212 para formar lacunas/valas 2214 novamente expostas. Em uma modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é removido por um processo de gravação isotrópico. Em uma tal modalidade, o processo de gravação isotrópica envolve a aplicação de um decapante a úmido. O decapante a úmido acessa ao, e grava o, material de reserva de espaço 2206 sacrificial ou permanente através de aberturas 2212. O processo de gravação é isotrópico no sentido em que o material que não é exposto pelas aberturas 2212, mas é acessível através das aberturas 2212, pode ser gravado em lacunas/valas 2214 novamente expostas seletivamente formadas em localizações desejadas para formação de vias ou plugues. Em uma modalidade, o processo de gravação a úmido grava o material de reserva de espaço 2206 sacrificial ou permanente sem gravar, ou sem gravar substancialmente, a camada de padronização 2210.
[0454] Em uma modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de máscara dura de carbono centrífugo e o processo de gravação é um processo de gravação baseado em TMAH. Em outra modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de revestimento antirrefletivo inferior (BARG - Bottom Anti-Reflective Coating) centrífugo e o processo de gravação é um processo de gravação baseado em TMAH. Em outra modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de vidro inferior centrífugo e o
Petição 870190046922, de 20/05/2019, pág. 199/557
190/326 processo de gravação é um processo de gravação a úmido baseado em um solvente orgânico, um ácido ou uma base. Em outra modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de óxido de metal centrífugo e o processo de gravação é um processo de gravação a úmido baseado em substâncias químicas de limpeza comercialmente disponíveis. Em outra modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de carbono CVD e o processo de gravação se baseia em uma incineração por plasma de oxigênio.
[0455] A Figura 22F ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo f-f) da estrutura da Figura 22E após a remoção da camada de padronização 2210. Em uma modalidade, a camada de padronização 2210 é uma camada fotorresistente, e a camada fotorresistente é removida por um processo de incineração por plasma ou decapagem a úmido. A remoção da camada de padronização 2210 expõe completamente as lacunas/valas 2214 novamente expostas.
[0456] A Figura 22G ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo g-g’) da estrutura da Figura 22F após o enchimento das lacunas/valas 2214 novamente expostas com uma camada de material 2216 e subsequente planarização. Em uma modalidade, a camada de material 2216 se destina à formação de plugues e é um material ILD permanente. Em outra modalidade, a camada de material 116 se destina à formação de vias condutivas e é uma camada de enchimento de metal. Em uma tal modalidade, a camada de enchimento de metal é uma única camada de material ou é formada desde diversas camadas, incluindo camadas de revestimento condutivo e camadas de enchimento. Qualquer processo de deposição adequado, como por exemplo galvanização, deposição química de vapor ou deposição física de vapor, pode ser usado para
Petição 870190046922, de 20/05/2019, pág. 200/557
191/326 formar uma camada de enchimento de metal assim. Em uma modalidade, a camada de enchimento de metal é composta por um material condutivo, como por exemplo, mas não se limitando a, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au ou ligas dos mesmos. No caso em que a camada de material 116 é planarizada após a deposição, pode ser usado um processo de polimento químico-mecânico.
[0457] Em uma modalidade, a camada de material 2216 é um material adequado para a formação de uma via condutiva. Em uma tal modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de reserva de espaço permanente, como por exemplo um material ILD permanente. Em outra tal modalidade, o material de reserva de espaço 2206 sacrificial ou permanente é um material de reserva de espaço sacrificial que é subsequentemente removido e substituído por um material como, por exemplo, um material ILD permanente. Em outra modalidade, a camada de material 2216 é um material adequado para a formação de um plugue dielétrico. Em uma tal modalidade, o material de reserva de espaço 2206 sacrifical ou permanente é um material de reserva de espaço sacrificial que é subsequentemente removido ou parcialmente removido para permitir a formação de linhas de metal.
[0458] Deve ser reconhecido que a estrutura resultante da Figura 22G pode subsequentemente ser usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura da Figura 22G pode representar a camada de interconexões de metal final em um circuito integrado. Ademais, deve ser reconhecido que os exemplos acima não incluem camadas de capeamento de metal ou paragem de gravação nas Figuras que podem de outro modo ser necessárias para padronização. Todavia, por motivos de clareza, essas camadas não são incluídas nas Figuras, uma vez que não afetam o conceito global.
Petição 870190046922, de 20/05/2019, pág. 201/557
192/326 [0459] Em outro aspecto, as modalidades são direcionadas para um fluxo de processo implementando uma gravação a seco isotrópica junto com um processo de diminuição de lacunas. Em uma tal modalidade, um esquema de padronização fornece padronização de furos em uma camada de máscaras após o enchimento de todas as localizações de vias com um polímero orgânico. Como um esquema de processamento exemplificativo, as Figuras 22H a 22J ilustram vistas em corte transversal angulares mostrando porções de camadas de circuito integrado representando várias operações em um método envolvendo gravação isotrópica autoalinhada de localizações de vias pré-formadas, de acordo com uma modalidade da presente revelação.
[0460] A Figura 22H ilustra uma estrutura inicial após o enchimento de todas as localizações de vias possíveis com um material de reserva de espaço. Em relação à Figura 22H, uma camada de metalização 2252 (como por exemplo uma camada ILD de uma camada de metalização) é formada por cima de um substrato (não mostrado) e inclui aí uma pluralidade de linhas de metal 2254. O(s) material(ais) ILD, que podem ser dois ou mais materiais ILD 2256 e 2258 distintos circundam as localizações onde podem ser possivelmente formadas vias. Um material de reserva de espaço sacrificial 2260 ocupa as localizações onde todas as possíveis vias podem ser formadas por cima das linhas de metal 2252. Uma camada de máscaras 2262, como por exemplo uma camada fina de máscaras de óxido a baixa temperatura é formada na estrutura subjacente. Deve ser reconhecido que o material de reserva de espaço sacrificial 2260 não se encontra presente sobre membros adjacentes, o que pode ser realizado por um processo de deposição e planarização ou colocação em reentrância.
[0461] A Figura 22! ilustra a estrutura da Figura 22H após a padronização da camada de máscara 2262 para formar aberturas 2264 na camada de máscara 2262. Em relação à Figura 22I, as aberturas
Petição 870190046922, de 20/05/2019, pág. 202/557
193/326
2264 expõem porções subjacentes do material de reserva de espaço sacrificial 2260. Em particular, as aberturas 2264 expõem porções subjacentes do material de reserva de espaço sacrificial 2260 somente nas localizações onde é selecionada uma via para ser formada. Em uma modalidade, as aberturas 2264 na camada de máscaras 2262 são substancialmente menores que o material de reserva de espaço sacrificial 2260. Como descrito brevemente acima, a formação de aberturas 2264 que sejam relativamente menores que o material de reserva de espaço sacrificial 2260 exposto fornece uma tolerância visivelmente aumentada a problemas de desalinhamento. O processo diminui eficazmente as localizações de vias para o dimensionamento de furos em relação à seleção e padronização das localizações de vias efetivas. Em uma modalidade, a camada de máscara 2262 é padronizada com aberturas 2262 formando e padronizando primeiro um material fotossensível na camada de máscara 2262 por um processo Htográfico, como por exemplo um processo Htográfico de tom positivo, e depois padronizando a camada de máscara 2262 por um processo de gravação.
[0462] A Figura 22J ilustra a estrutura da Figura 22I após a remoção do material de reserva de espaço sacrifical 2260 em localizações expostas pelas aberturas 2264 para formar localizações de vias 2266 expostas. Em uma modalidade, o material de reserva de espaço sacrificial 2260 é removido nas localizações de vias 2266 por um processo de gravação isotrópica. Em uma tal modalidade, o material de reserva de espaço sacrificial 2260 é um polímero orgânico, e o processo de gravação isotrópica corresponde a um processo de incineração por plasma isotrópica (plasma de oxigênio) ou de limpeza a úmido.
[0463] Em relação novamente à Figura 22J, deve ser reconhecido que o subsequente processamento pode envolver a remoção da camada de máscara 2262 e o enchimento de lacunas/valas 2266 com
Petição 870190046922, de 20/05/2019, pág. 203/557
194/326 um material de vias condutivas. Igualmente, o material de reserva de espaço sacrificial 2260 remanescente não exposto pelas aberturas 2264 (ou seja, não selecionado como localizações vias) pode ser substituído por um material ILD permanente. A estrutura resultante pode subsequentemente ser usada como uma fundação para formação de subsequentes camadas de vias/linhas de metal e ILD. Em alternativa, a estrutura resultante pode representar a camada de interconexão de metal final em um circuito integrado.
[0464] De acordo com uma ou mais modalidades da presente revelação, como mencionado acima, as abordagens aqui descritas podem se basear no uso dos chamados photobuckets, nos quais cada membro possível, por exemplo, via ou plugue, é pré-padronizado em um substrato. Em seguida, os membros padronizados são cheios com uma fotorresistência e a operação de litografia é meramente usada para escolher vias selecionadas para formação de aberturas de vias. A abordagem de photobuckets pode possibilitar maiores dimensões críticas (CDs) e/ou erros na sobreposição, retendo ao mesmo tempo a capacidade de escolher a via ou o plugue de interesse. As abordagens litográficas para selecionar photobuckets particulares pode incluir, mas não se limita a, litografia de imersão de 193 nm (Í193), litografia ultravioleta extrema (EUV) e/ou de escrita direta de feixes de elétrons (EBDW).
[0465] Globalmente, de acordo com uma ou mais modalidades da presente revelação, uma abordagem DSA ou uma abordagem subtrativa é tomada fotossensível. Em uma vista, é alcançada uma forma de photobuckets onde constrangimentos litográficos podem ser moderados e a tolerância ao desalinhamento pode ser alta, uma vez que o photobucket é circundado por materiais não fotolizáveis. Ademais, em uma modalidade, em vez de expor em, por exemplo, 30 mJ/cm2, esse photobucket poderá ser exposto em, por exemplo, 3 mJ/cm2.
Petição 870190046922, de 20/05/2019, pág. 204/557
195/326
Normalmente, isso resultaria em rugosidade e controle CD muito maus. Contudo, nesse caso, o controle de rugosidade e CD será definido pela geometria de photobuckets, o que pode ser muito bem controlado e definido. Desse modo, essa abordagem de photobuckets pode ser usada para contornar o compromisso entre imagens/doses que limita o rendimento de processos litográficos de próxima geração. Em uma modalidade, o material de photobuckets que não é selecionado para remoção é por fim retido como uma porção ILD permanente em uma estrutura semicondutora. Em outra modalidade, o material de photobuckets que não é selecionado para remoção é por fim trocado por uma porção ILD permanente em uma estrutura semicondutora.
[0466] Em uma modalidade, uma composição S1LD de photobuckets é tipicamente muito diferente do ILD padrão e, em uma modalidade, é altamente autoalinhada em ambas as direções. Mais geralmente, em uma modalidade, o termo photobucket como aqui usado envolve o uso de uma fotorresistência ultrarrápida ou resistência de feixes de elétrons ou outro material fotossensível como formado em aberturas gravadas. Em uma tal modalidade, é usado um refluxo térmico de um polímero nas aberturas após uma aplicação de revestimento por rotação. Em uma modalidade, a fotorresistência rápida é fabricada removendo um resfriador de um material fotorresistente existente. Em outra modalidade, os photobuckets são formados por um processo de nova gravação e/ou um processo de litografia/diminuição/gravação. Deve ser reconhecido que os photobuckets não necessitam de ser cheios com fotorresistência efetiva, desde que o material funcione como um comutador fotossensível. Em uma modalidade, é usada litografia para expor os photobuckets correspondentes que são selecionados para remoção. Todavia, os constrangimentos litográficos podem ser moderados e a tolerância ao desalinhamento pode ser alta, uma vez que os photobuckets são circundados por materiais não fotolizáveis. Em
Petição 870190046922, de 20/05/2019, pág. 205/557
196/326 uma modalidade, os photobuckets são submetidos à exposição de luz ultravioleta extrema (EUV) de modo a expor os photobuckets, onde em uma modalidade particular a exposição EUV se encontra na faixa de 5 a 15 nanômetros. Embora muitas modalidades aqui descritas envolvam material de photobuckets à base de polímeros, em outras modalidades o material de photobuckets à base de nanopartículas é implementado similarmente.
[0467] De acordo com uma modalidade da presente revelação, é descrita uma abordagem de photobuckets. Uma ou mais modalidades aqui descritas são direcionadas para abordagens subtrativas para padronização de vias e plugues autoalinhados e estrutura daí resultante. Em uma modalidade, os processos aqui descritos permitem a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha. Os problemas de sobreposição antecipados para padronização de vias e plugues da próxima geração podem ser resolvidos por uma ou mais abordagens aqui descritas. Mais especificamente, uma ou mais modalidades aqui descritas envolvem o uso de um método subtrativo para pré-formar todas as vias e todos os plugues usando as valas já gravadas. Uma operação adicional é depois usada para selecionar qual das vias ou plugues a reter. Essas operações podem ser ilustradas usando photobuckets, embora o processo de seleção possa igualmente ser efetuado usando uma abordagem de exposição à resistência e reaterro ILD mais convencional.
[0468] Em um primeiro aspecto, é usada uma primeira abordagem de vias e uma segunda abordagem de plugues. Como um exemplo, as Figuras 23A a 23L ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias e plugues autoalinhados, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada
Petição 870190046922, de 20/05/2019, pág. 206/557
197/326 operação descrita, são mostradas vistas em corte transversal e/ou angulares. Essas vistas serão aqui referidas como vistas em corte transversal e vistas angulares correspondentes.
[0469] A Figura 23A ilustra uma vista em corte transversal de uma estrutura inicial 2300 após deposição, mas antes da padronização, de uma primeira camada de material de máscara dura 2304 formada em uma camada dielétrica entre camadas (ILD) 2302, de acordo com uma modalidade da presente revelação. Em relação à Figura 23A, uma máscara padronizada 2306 tem espaçadores 2308 formados ao longo das paredes laterais dos mesmos, na ou por cima da primeira camada de material de máscara dura 2304.
[0470] A Figura 23B ilustra a estrutura da Figura 23A após padronização da primeira camada de máscara dura por duplicação de afastamento, de acordo com uma modalidade da presente revelação. Em relação à Figura 23B, a máscara padronizada 2306 é removida e o padrão resultante dos espaçadores 2308 é transferido, por exemplo, por um processo de gravação, para a primeira camada de material de máscara dura 2304 para formar uma primeira máscara dura padronizada 2310. Em uma tal modalidade, a primeira máscara dura padronizada 2310 é formada com um padrão de grade, como é retratado na Figura 23B. Em uma modalidade, a estrutura de grade da primeira máscara dura padronizada 2310 é uma estrutura de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado (máscara 2306), mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador, como é retratado nas Figuras 23A e 23B. Ainda mais, embora não mostrado, o afastamento original pode ser dividido em quatro por uma segunda ronda de padronização
Petição 870190046922, de 20/05/2019, pág. 207/557
198/326 de máscaras de espaçador. Conformemente, o padrão tipo grade da primeira máscara dura padronizada 2310 da Figura 23B pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante.
[0471 ] A Figura 23C ilustra a estrutura da Figura 23B após formação de uma segunda máscara dura padronizada, de acordo com uma modalidade da presente revelação. Em relação à Figura 23C, é formada uma segunda máscara dura padronizada 2312 intercalada com a primeira máscara dura padronizada 2310. Em uma tal modalidade, a segunda máscara dura padronizada 2312 é formada por deposição de uma segunda camada de material de máscara dura (tendo uma composição diferente da primeira camada de material de máscara dura 2304. A segunda camada de material de máscara dura é depois planarizada, por exemplo, por polimento químico-mecânico (CMP), para fornecer a segunda máscara dura padronizada 2312.
[0472] A Figura 23D ilustra a estrutura da Figura 23C após deposição de uma camada de capa de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 23D, uma camada de capa de máscara dura 2314 é formada na primeira máscara dura padronizada 2310 e na primeira máscara dura padronizada 2312. Em uma tal modalidade, a composição de material e seletividade de gravação da camada de capa de máscara dura 2314 são diferentes em comparação com a primeira máscara dura padronizada 2310 e a primeira máscara dura padronizada 2312.
[0473] A Figura 23E ilustra a estrutura da Figura 23D após padronização da camada de capa de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 23E, é formada uma camada de capa de máscara dura padronizada 2314 na primeira máscara dura padronizada 2310 e na primeira máscara dura padronizada 2312. Em uma tal modalidade, a camada de capa de
Petição 870190046922, de 20/05/2019, pág. 208/557
199/326 máscara dura padronizada 2314 é formada com um padrão de grade ortogonal ao padrão de grade da primeira máscara dura padronizada 2310 e da primeira máscara dura padronizada 2312, como é retratado na Figura 23E. Em uma modalidade, a estrutura de grade formada pela camada de capa de máscara dura padronizada 2314 é uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro por uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da camada de capa de máscara dura padronizada 2314 da Figura 23E pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante.
[0474] A Figura 23F ilustra a estrutura da Figura 23E após mais padronização da primeira máscara dura padronizada e subsequente formação de uma pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 23F, usando a camada de capa de máscara dura padronizada 2314 como uma máscara, a primeira máscara dura padronizada 2310 é ainda padronizada para formar a primeira máscara dura padronizada 2316. A segunda máscara dura padronizada 2312 não é mais padronizada nesse processo. Subsequentemente, a camada de capa de máscara dura padronizada 2314 é removida e são formados photobuckets 2318 nas aberturas resultantes por cima da camada ILD 2302. Os photobuckets 2318, nesse estágio, representam todas as possíveis localizações de vias em uma camada de metalização resultante.
[0475] A Figura 23G ilustra a estrutura da Figura 23F após
Petição 870190046922, de 20/05/2019, pág. 209/557
200/326 exposição e desenvolvimento de photobuckets para deixar localizações de vias selecionadas e subsequente gravação de aberturas de vias no ILD subjacente, de acordo com uma modalidade da presente revelação. Em relação à Figura 23G, os photobuckets 2318 selecionados são expostos e removidos para fornecer localizações de vias 2320 selecionadas. As localizações de vias 2320 são submetidas a um processo de gravação seletiva, como por exemplo um processo de gravação por plasma seletiva, para estender as aberturas de vias até à camada ILD subjacente 2302, formando a camada ILD padronizada 2302’. A gravação é seletiva para os photobuckets 2318 remanescentes, para a primeira máscara dura padronizada 2316 e para a segunda máscara dura padronizada 2312.
[0476] A Figura 23H ilustra a estrutura da Figura 23G após remoção dos photobuckets remanescentes, subsequente formação de um material de máscara dura e subsequente formação de uma segunda pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 23H, os photobuckets remanescentes são removidos, por exemplo, por um processo de gravação seletiva. Todas as aberturas formadas (por exemplo, aberturas formadas após remoção de photobuckets 2318, bem como das localizações de vias 2320) são depois cheias com um material de máscara dura 2322, como por exemplo um material de máscara dura à base de carbono. Subsequentemente, a primeira máscara dura padronizada 2316 é removida, por exemplo, com um processo de gravação seletiva, e as aberturas resultantes são cheias com uma segunda pluralidade de photobuckets 2324. Os photobuckets 2324, nesse estágio, representam todas as possíveis localizações de plugues em uma camada de metalização resultante. Deve ser reconhecido que a segunda máscara dura padronizada 2312 não é mais padronizada nesse estágio do processo.
Petição 870190046922, de 20/05/2019, pág. 210/557
201/326 [0477] A Figura 23I ilustra a estrutura da Figura 23H após seleção de localizações de plugues, de acordo com uma modalidade da presente revelação. Em relação à Figura 23I, os photobuckets 2324 da Figura 23H são removidos das localizações 2326 onde não serão formados plugues. Em localizações onde são selecionados plugues para serem formados, são retidos os photobuckets 2324. Em uma modalidade, de modo a formar localizações 2326 onde não serão formados plugues, é usada litografia para expor os photobuckets 2324 correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor.
[0478] A Figura 23J ilustra a estrutura da Figura 23I após remoção da máscara dura mais recentemente formada das localizações de vias e linhas, de acordo com uma modalidade da presente revelação. Em relação à Figura 23J, o material de máscara dura 2322 retratado na Figura 23! é removido. Em uma tal modalidade, o material de máscara dura 2322 é um material de máscara dura à base de carbono e é removido com um processo de incineração por plasma. Como mostrado, os membros remanescentes incluem a camada ILD padronizada 2302’, os photobuckets 2324 retidos para formação de plugues e as aberturas de vias 2328. Embora não mostrado, deve ser reconhecido que, em uma modalidade, a segunda camada de máscara dura 2312 é igualmente retida nesse estágio.
[0479] A Figura 23K ilustra a estrutura da Figura 23J após a colocação em reentrância da camada ILD padronizada em localizações não protegidas por photobuckets de formação de plugues, de acordo com uma modalidade da presente revelação. Em relação à Figura 23K, as porções da camada ILD padronizada 2302’ não protegida por photobuckets 2324 são colocadas em reentrância para fornecer aberturas de linhas de metal 2330, além das aberturas de vias 2328.
[0480] A Figura 23L ilustra a estrutura da Figura 23K após o
Petição 870190046922, de 20/05/2019, pág. 211/557
202/326 enchimento de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 23L, a metalização 2332 é formada nas aberturas 2328 e 2332. Em uma tal modalidade, a metalização 2332 é formada por um processo de enchimento de metal e de acabamento tipo polimento. Em relação à porção esquerda da Figura 23L, a estrutura é mostrada como incluindo uma porção inferior incluindo a camada ILD padronizada 2302’ tendo vias e linhas de metal (mostradas coletivamente como 2332) aí formadas. Uma região superior da estrutura 2334 inclui a segunda máscara dura padronizada 2312, bem como os photobuckets 2324 (localização de plugues) remanescentes. Em uma modalidade, a região superior 2334 é removida, por exemplo, por CMP ou acabamento tipo gravação, antes da subsequente fabricação. Todavia, em uma modalidade alternativa, a região superior 2334 é retida na estrutura final.
[0481] A estrutura da Figura 23L pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 23L pode representar a camada de interconexão de metal final em um circuito integrado. Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em relação novamente à Figura 23L, a fabricação autoalinhada pela abordagem subtrativa pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante requer provavelmente iniciação de todo o processo mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexão adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única.
[0482] Em um segundo aspecto, é usada uma primeira abordagem
Petição 870190046922, de 20/05/2019, pág. 212/557
203/326 de plugues e uma segunda abordagem de vias. Como um exemplo, as Figuras 23M a 23S ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de plugues e vias autoalinhados, de acordo com outra modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em planta no topo, e são mostradas correspondentes vistas em corte transversal no fundo. Essas vistas serão aqui referidas como vistas em planta e vistas em corte transversal correspondentes.
[0483] A Figura 23M ilustra uma vista em planta e correspondentes vistas em corte transversal de uma grelha ortogonal inicial formada por cima de um substrato 2351, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos a~ a’ e b-b’, respectivamente, uma estrutura de grelha inicial 2350 inclui uma camada ILD de grade 2352 tendo uma primeira camada de máscara dura 2354 aí disposta. Uma segunda camada de máscara dura 2356 é disposta na primeira camada de máscara dura 2354 e é padronizada para ter uma estrutura de grade ortogonal à estrutura de grade subjacente. Adicionalmente, as aberturas 2358 permanecem entre a estrutura de grade da segunda camada de máscara dura 2356 e a grade subjacente formada pela camada ILD 2352 e a primeira camada de máscara dura 2354.
[0484] A Figura 23N ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 23M após enchimento e acabamento tipo gravação das aberturas, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a’ e b-b’, respectivamente, as aberturas 2358 da Figura 23M são cheias com uma camada dielétrica 2360, como por
Petição 870190046922, de 20/05/2019, pág. 213/557
204/326 exemplo camada de óxido de silício. Essa camada dielétrica 2360 pode ser formada com películas de óxido depositadas, como por exemplo por deposição química de vapor (CVD), deposição por plasma de alta densidade (HDP - High Density Plasma) ou dielétricos centrífugos. O material como depositado pode requerer acabamento tipo gravação de modo a alcançar a altura relativa mostrada na Figura 23N, deixando aberturas superiores 2358’.
[0485] A Figura 230 ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 23N após enchimento, exposição e desenvolvimento de photobuckets para deixar localizações de plugues selecionadas, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a-a’ e b-b’, respectivamente, são formados photobuckets nas aberturas superiores 2358’ da Figura 23N. Subsequentemente, a maioria dos photobuckets são expostos e removidos. Todavia, os photobuckets 2362 selecionados não são expostos e assim retidos para fornecer localizações de plugues selecionadas, como retratado na Figura 230.
[0486] A Figura 23P ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 230 após remoção de porções da camada dielétrica 2360, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos, a~ a’ e b-b’, respectivamente, são removidas as porções da camada dielétrica 2360 que não são cobertas por um photobucket 2362. Todavia, as porções de camada dielétrica 2360 que são cobertas por um photobucket 2362 permanecem na estrutura da Figura 23P. Em uma modalidade, as porções de camada dielétrica 2360 que não são cobertas por um photobucket 2362 são removidas por um processo de gravação a úmido.
Petição 870190046922, de 20/05/2019, pág. 214/557
205/326 [0487] A Figura 23Q ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 23P após enchimento, exposição e desenvolvimento de photobuckets para deixar localizações de vias selecionadas, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos a~a’ e b-b’, respectivamente, são formados photobuckets nas aberturas formadas após remoção de porções da camada dielétrica 2360. Subsequentemente, os photobuckets selecionados são expostos e removidos para fornecer localizações de vias 2364 selecionadas, como é retratado na Figura 23Q.
[0488] A Figura 23R ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 23Q após gravação de aberturas de vias no ILD subjacente, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos aa! e b-b!, respectivamente, as localizações de vias 2364 da Figura 23Q são submetidas a um processo de gravação seletiva, como por exemplo um processo de gravação por plasma seletiva, para estender as aberturas de via 2364 até à abertura 2364’ que são formadas na camada ILD subjacente 2352.
[0489] A Figura 23S ilustra uma vista em planta e correspondentes vistas em corte transversal da estrutura da Figura 23R após remoção da segunda camada de máscara dura e do material de photobuckets remanescente, de acordo com uma modalidade da presente revelação. Em relação à vista em planta e correspondentes vistas em corte transversal (a) e (b) consideradas ao longo dos eixos a-a’ e b-b’, respectivamente, a segunda camada de máscara dura 2356 bem como qualquer material de photobuckets remanescente (ou seja, material de photobuckets que não foi já exposto e desenvolvido) são removidos. A
Petição 870190046922, de 20/05/2019, pág. 215/557
206/326 remoção pode ser efetuada de modo seletivo para todos os outros membros remanescentes. Em uma tal modalidade, a segunda camada de máscara dura 2356 é um material de máscara dura à base de carbono, e a remoção é efetuada por um processo de incineração por plasma O2. Em relação novamente à Figura 23S, permanecendo nesse estágio se encontra a camada ILD 2352 tendo aberturas de vias 2364’ aí formadas, e as porções da camada dielétrica 2360 que foram preservadas para as localizações de plugues (por exemplo, preservadas pelo material de photobuckets sobrejacente). Desse modo, em uma modalidade, a estrutura da Figura 23S inclui uma camada ILD 2352 padronizada com aberturas de vias (para subsequente enchimento de metal) com localizações de camada dielétrica 2360 para criar plugues. As aberturas 2366 remanescentes podem ser cheias com metal para formar linhas de metal. Deve ser reconhecido que a máscara dura 2354 pode ser removida.
[0490] Conformemente, depois de cheia com material de interconexões de metal, a estrutura da Figura 23S pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, depois de cheia com material de interconexões de metal, a estrutura da Figura 23S pode representar a camada de interconexões de metal final em um circuito integrado. Em relação novamente à Figura 23S, a fabricação autoalinhada pela abordagem subtrativa pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante requer provavelmente iniciação de todo 0 processo mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexões adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única. [0491] Deve ser reconhecido que as abordagens descritas em associação com as Figuras 23A a 23L e 23M a 23S não são
Petição 870190046922, de 20/05/2019, pág. 216/557
207/326 necessariamente efetuadas como formando vias alinhadas com uma camada de metalização subjacente. Desse modo, em alguns contextos, esses esquemas de processo podem ser vistos como envolvendo injeção cega na direção de cima para baixo com respeito a quaisquer camadas de metalização subjacentes. Em um terceiro aspecto, uma abordagem subtrativa fornece alinhamento com uma camada de metalização subjacente. Como um exemplo, as Figuras 24A a 241 ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias autoalinhadas, de acordo com outra modalidade da presente revelação. Em cada ilustração em cada operação descrita, é fornecida uma vista em corte transversal tridimensional angular.
[0492] A Figura 24A ilustra uma estrutura de ponto inicial 2400 para um processo subtrativo de vias e plugues após fabricação de linhas de metal profundas, de acordo com uma modalidade da presente revelação. Em relação à Figura 24A, a estrutura 2400 inclui linhas de metal 2402 com linhas dielétricas entre camadas (ILD) 2404 intervenientes. Deve ser reconhecido que algumas das linhas 2402 podem ser associadas a vias subjacentes para acoplamento em uma camada de interconexão anterior. Em uma modalidade, as linhas de metal 2402 são formadas padronizando valas em um material ILD (por exemplo, o material ILD das linhas 2404). As valas são depois cheias por metal e, se necessário, planarizadas para o topo das linhas ILD 2404. Em uma modalidade, o processo de enchimento e valas de metal envolve membros de elevada relação de aspecto. Por exemplo, em uma modalidade, a relação de aspecto da altura da linha de metal (h) para a largura da linha de metal (w) se encontra aproximadamente na faixa de 5a 10.
[0493] A Figura 24B ilustra a estrutura da Figura 24A após a colocação em reentrância das linhas de metal, de acordo com uma
Petição 870190046922, de 20/05/2019, pág. 217/557
208/326 modalidade da presente revelação. Em relação à Figura 24B, as linhas de metal 2402 são colocadas em reentrância seletivamente para fornecer linhas de metal 2406 de primeiro nível. A colocação em reentrância é efetuada seletivamente para as linhas ILD 2404. A colocação em reentrância pode ser efetuada gravando através de gravação a seco, gravação a úmido ou uma combinação das mesmas. A extensão da colocação em reentrância pode ser determinada pela espessura direcionada das linhas de metal 2406 de primeiro nível para uso como linhas de interconexão condutivas adequadas dentro de uma estrutura de interconexões na extremidade traseira de linha (BEOL). [0494] A Figura 24C ilustra a estrutura da Figura 24B após formação de uma camada dielétrica entre camadas (ILD), de acordo com uma modalidade da presente revelação. Em relação à Figura 24C, uma camada de material ILD 2408 é depositada e, se necessário, planarizada, para um nível por cima das linhas de metal 2406 e das linhas ILD 2404 com reentrância.
[0495] A Figura 24D ilustra a estrutura da Figura 24C após deposição e padronização de uma camada de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 24D, é formada uma camada de máscara dura 2410 na camada ILD 2408. Em uma tal modalidade, a camada de máscara dura 2406 é formada com um padrão de grade ortogonal ao padrão de grade das linhas de metal 2404/linhas ILD 1404 de primeiro nível, como é retratado na Figura 24D. Em uma modalidade, a estrutura de grade formada pela camada de máscara dura 2410 é uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda
Petição 870190046922, de 20/05/2019, pág. 218/557
209/326 mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da segunda camada de máscara dura 2410 da Figura 24D pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante.
[0496] A Figura 24E ilustra a estrutura da Figura 24D após formação de valas definida usando o padrão da máscara dura da Figura 24D, de acordo com uma modalidade da presente revelação. Em relação à Figura 24E, as regiões expostas (ou seja, não protegidas por 2410) da camada ILD 2408 são gravadas para formar valas 2412 e a camada ILD padronizada 2414. A gravação é parada nas, e expõe assim as, superfícies superiores das linhas de metal 2406 de primeiro nível e das linhas ILD 2404.
[0497] A Figura 24F ilustra a estrutura da Figura 24E após a formação de photobuckets em todas as possíveis localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 24F, os photobuckets 2416 são formados em todas as possíveis localizações de vias por cima das porções expostas das linhas de metal 2406 com reentrância. Em uma modalidade, os photobuckets 2416 são formados essencialmente coplanares com as superfícies superiores das linhas ILD 2404, como retratado na Figura 24F. Adicionalmente, em relação novamente à Figura 24F, a camada de máscara dura 2410 pode ser removida da camada ILD padronizada 2414.
[0498] A Figura 24G ilustra a estrutura da Figura 24F após seleção de localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 24G, são removidos os photobuckets 2416 da Figura 24F em localizações de vias 2418 selecionadas. Em localizações onde não são selecionadas vias para serem formadas, são retidos os photobuckets 2416. Em uma modalidade, de modo a formar localizações de vias 2418, é usada litografia para expor os photobuckets
Petição 870190046922, de 20/05/2019, pág. 219/557
210/326
2416 correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor.
[0499] A Figura 24H ilustra a estrutura da Figura 24G após conversão dos photobuckets remanescentes em material ILD permanente, de acordo com uma modalidade da presente revelação. Em relação à Figura 24H, o material dos photobuckets 2416 é modificado, por exemplo, por reticulação após uma operação de cozedura, nas localizações para formar um material ILD 2420 final. Em uma tal modalidade, a reticulação possibilita uma mudança de solubilidade após a cozedura. O material reticulado final tem propriedades dielétricas entre camadas e, desse modo, pode ser retido em uma estrutura de metalização final.
[0500] Em relação novamente à Figura 24H, em uma modalidade, a estrutura resultante inclui até três diferentes regiões de material dielétrico (linhas ILD 2404 + linhas ILD 2414 + photobucket reticulado 2420) em um único plano 2450 da estrutura de metalização. Em uma tal modalidade, dois ou todos entre linhas ILD 2404, linhas ILD 2414 e photobuckets reticulados 2420 são compostos por um mesmo material. Em outra tal modalidade, linhas ILD 2404, linhas ILD 2414 e photobuckets reticulados 2420 são todos compostos por diferentes materiais ILD, Em qualquer caso, em uma modalidade específica, pode ser observada na estrutura final uma distinção, como por exemplo uma junção vertical, entre os materiais de linhas ILD 2404 e linhas ILD 2414 (por exemplo, junção 2497) e/ou entre linhas ILD 2404 e photobuckets reticulados 2420 (por exemplo, junção 2498) e/ou entre linhas ILD 2414 e photobuckets reticulados 2420 (por exemplo, junção 2499).
[0501] A Figura 24I ilustra a estrutura da Figura 24H após formação de vias e linhas de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 24I, as linhas de metal 2422 e as vias são formadas após enchimento de metal das aberturas da Figura 24H.
Petição 870190046922, de 20/05/2019, pág. 220/557
211/326
As hnhas de metal 2422 são acopladas nas linhas de metal 2406 subjacentes pelas vias 2424. Em uma modalidade, as aberturas são cheias em uma abordagem de damasquinagem ou uma abordagem de enchimento ascendente para fornecer a estrutura mostrada na Figura 24I. Desse modo, a deposição de metal (por exemplo, cobre e camadas de barreira e semente associadas) para formar linhas de metal e vias na abordagem acima pode ser o tipicamente usado para processamento nas extremidades traseiras de linha (BEOL) padrão. Em uma modalidade, em subsequentes operações de fabricação, as linhas ILD 2414 podem ser removidas para fornecer caixas de ar entre as linhas de metal 2424 resultantes.
[0502] A estrutura da Figura 24I pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 24I pode representar a camada de interconexões de metal final em um circuito integrado. Em relação novamente à Figura 24I, a fabricação autoalinhada pela abordagem subtrativa pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante requer provavelmente iniciação de todo o processo mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexões adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única.
[0503] De acordo com uma modalidade da presente revelação, são descritos photobuckets multicolor. Uma ou mais modalidades aqui descritas são direcionadas para o uso de photobuckets multicolor como uma abordagem para abordar a fabricação de plugues e vias abaixo do limite de afastamento de litografia. Uma ou mais modalidades aqui descritas são direcionadas para abordagens subtrativas para padronização de vias e plugues autoalinhados e estruturas daí resultantes. Em uma modalidade, os processos aqui descritos permitem
Petição 870190046922, de 20/05/2019, pág. 221/557
212/326 a realização de metalização autoalinhada para fabricação de membros na extremidade traseira de linha. Os problemas de sobreposição antecipados para padronização de vias e plugues da próxima geração podem ser resolvidos por uma ou mais abordagens aqui descritas. [0504] Em uma modalidade exemplificative, as abordagens descritas abaixo se baseiam em abordagens usando os chamados photobuckets, nos quais cada membro possível, por exemplo via, é novamente padronizado em um substrato. Em seguida, os membros padronizados são cheios com uma fotorresistência e a operação de litografia é meramente usada para escolher vias selecionadas para formação de aberturas de vias. Em uma modalidade particular descrita abaixo, é usada uma operação de litografia para definir uma lacuna relativamente grande por cima de uma pluralidade de ’’photobuckets multicolor, que pode depois ser aberta por uma exposição à inundação de um comprimento de onda particular. A abordagem de photobuckets multicolor possibilita maiores dimensões críticas (CDs) e/ou erros na sobreposição, retendo ao mesmo tempo a capacidade de escolher a via de interesse. Em uma tal modalidade, é usada uma vala para conter a própria resistência e são usados múltiplos comprimentos de onda para abrir seletivamente as vias de interesse.
[0505] Mais especificamente, uma ou mais modalidades aqui descritas envolvem o uso de um método subtrativo para pré-formar todas as vias ou aberturas de vias usando as valas já gravadas. Uma operação adicional é depois usada para selecionar qual das vias ou plugues a reter. Essas operações podem ser ilustradas usando photobuckets, embora o processo de seleção possa igualmente ser efetuado usando uma abordagem de exposição à resistência e reaterro ILD mais convencional.
[0506] Em um exemplo, pode ser usada uma abordagem de aberturas de vias autoalinhadas. Como um esquema de processamento
Petição 870190046922, de 20/05/2019, pág. 222/557
213/326 exempHficativo, as Figuras 25A a 25H ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização subtrativa de vias autoalinhadas usando photobuckets multicolor, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em corte transversal.
[0507] A Figura 25A ilustra uma vista em corte transversal de uma estrutura inicial 2500 após deposição, mas antes da padronização, de uma primeira camada de material de máscara dura 2504 formada em uma camada dielétrica entre camadas (ILD) 2502, de acordo com uma modalidade da presente revelação. Em relação à Figura 25A, uma máscara padronizada 2506 tem espaçadores 2508 formados ao longo das paredes laterais dos mesmos, na ou por cima da primeira camada de material de máscara dura 2504.
[0508] A Figura 25B ilustra a estrutura da Figura 25A após padronização pela primeira vez da primeira camada de máscara dura e subsequente enchimento de primeiro photobucket de cor, de acordo com uma modalidade da presente revelação. Em relação à Figura 25B, a máscara padronizada 2506 e correspondentes espaçadores 2508 são usados juntos como uma máscara durante uma gravação para formar valas 2510 através da primeira camada de material de máscara dura 2504 e parcialmente na camada ILD 2502. As valas 2510 são depois cheias com primeiros photobuckets 2512 de cor.
[0509] A Figura 25C ilustra a estrutura da Figura 25B após padronização pela segunda vez da primeira camada de máscara dura e subsequente enchimento de segundo photobucket de cor, de acordo com uma modalidade da presente revelação. Em relação à Figura 25C, a máscara padronizada 2506 é removida e uma segunda pluralidade de valas 2514 é gravada através da primeira camada de material de máscara dura 2504 e parcialmente na camada ILD 2502, entre
Petição 870190046922, de 20/05/2019, pág. 223/557
214/326 espaçadores 2508. Subsequentemente, as valas 2514 são cheias com uma camada de material de segundo photobucket de cor 2516.
[0510] Em relação novamente à Figura 25C, o padrão negativo dos espaçadores 2508 é assim transferido, por exemplo, por dois processos de gravação formando valas 2510 e 2514, para a primeira camada de material de máscara dura 2504. Em uma tal modalidade, os espaçadores 2508 e, por isso, as valas 2510 e 2514 são formados com um padrão de grade, como é retratado na Figura 25C. Em uma modalidade, o padrão de grade é um padrão de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro limitado à máscara 2506, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscara de espaçador negativa, como é retratado nas Figuras 25A a 25C. Ainda mais, embora não mostrado, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade dos photobuckets 2512 e 2516, coletivamente, é espaçado em um afastamento constante e tem uma largura constante.
[0511] A Figura 25D ilustra a estrutura da Figura 25C após planarização para isolar os primeiros e segundos photobuckets de cor entre si, de acordo com uma modalidade da presente revelação. Em relação à Figura 25D, a camada de material de segundo photobucket de cor 2516 e as porções superiores dos espaçadores 2508 são planarizadas, por exemplo, por polimento químico-mecânico (CMP), até as superfícies superiores dos primeiros photobuckets de cor 2512 serem expostas, formando segundos photobuckets de cor 2518 distintos desde a camada de material de photobuckets 2516. Em uma modalidade, a combinação de primeiros photobuckets de cor 2512 e segundos
Petição 870190046922, de 20/05/2019, pág. 224/557
215/326 photobuckets de cor 2518 representa todas as possíveis localizações de vias em uma estrutura de metalização subsequentemente formada. [0512] A Figura 25E ilustra a estrutura da Figura 25D após exposição e desenvolvimento de um primeiro photobucket de cor para deixar uma localização de via selecionada, de acordo com uma modalidade da presente revelação. Em relação à Figura 25E, uma segunda máscara dura 2520 é formada e padronizada na estrutura da Figura 25D. A segunda máscara dura padronizada 2520 revela um primeiro photobucket de cor 2512A selecionado. O photobucket 2512A selecionado é exposto a irradiação de luz e removido (ou seja, desenvolvido) para fornecer uma abertura de via 2513A selecionada. Deve ser reconhecido que a descrição aqui relativa à formação e padronização de uma camada de máscara dura envolve, em uma modalidade, a formação posterior de máscaras por cima de uma máscara dura de cobertura. A formação de máscaras pode envolver o uso de uma ou mais camadas adequadas para processamento litográfico. Após a padronização de uma ou mais camadas litográficas, o padrão é transferido para a camada de máscara dura por um processo de gravação para fornecer uma camada de máscara dura padronizada. [0513] Em relação novamente à Figura 25E, pode não ser possível revelar somente o photobucket 2512A selecionado após padronização da segunda camada de máscara dura 2520. Por exemplo, um ou mais segundos photobuckets de cor 2518 adjacentes (ou próximos) podem igualmente ser revelados. Esses photobuckets adicionalmente revelados podem não corresponder a localizações desejáveis para formação de vias final. Todavia, quaisquer segundos photobuckets de cor 2518 revelados não são, em uma modalidade, modificados após exposição à irradiação usada para a padronização do grupo de primeiros photobuckets de cor 2512. Por exemplo, em uma modalidade, os primeiros photobuckets de cor 2512 são susceptíveis à exposição à
Petição 870190046922, de 20/05/2019, pág. 225/557
216/326 inundação de vermelho 2521 e podem ser desenvolvidos para remover a seleção dos primeiros photobuckets de cor 2512, como é mostrado na Figura 25E. Nessa modalidade, os segundos photobuckets de cor 2518 não são susceptíveis à exposição à inundação de vermelho e, desse modo, não podem ser desenvolvidos e removidos mesmo se revelados durante a exposição à inundação de vermelho, como é mostrado na Figura 25E. Em uma modalidade, ao ter photobuckets vizinhos de diferente susceptibilidade à irradiação, podem ser acomodados padrões e/ou tolerância de compensação maiores para moderar as restrições de outro modo associadas à padronização da segunda camada de máscara dura 2520.
[0514] A Figura 25F ilustra a estrutura da Figura 25E após exposição e desenvolvimento de um segundo photobucket de cor para deixar uma localização de via selecionada adicional, de acordo com uma modalidade da presente revelação. Em relação à Figura 25F, uma terceira máscara dura 2522 é formada e padronizada na estrutura da Figura 25E. A terceira máscara dura 2522 pode igualmente encher a abertura de via 2513A selecionada, como é retratado na Figura 25F. A terceira máscara dura padronizada 2522 revela segundos photobuckets de cor 2518A e 2518B selecionados. Os photobuckets 2518A e 2518B selecionados são expostos a irradiação de luz e removidos (ou seja, desenvolvidos) para fornecer aberturas de vias 2519A e 2519B selecionadas, respectivamente.
[0515] Em relação novamente à Figura 25F, pode não ser possível revelar somente os photobuckets 2518A e 2518B selecionados após padronização da terceira camada de máscara dura 2522. Por exemplo, um ou mais primeiros photobuckets de cor 2512 adjacentes (ou próximos) podem igualmente ser revelados. Esses photobuckets adicionalmente revelados podem não corresponder a localizações desejáveis para formação de vias final. Todavia, quaisquer primeiros
Petição 870190046922, de 20/05/2019, pág. 226/557
217/326 photobuckets de cor 2512 revelados não são, em uma modalidade, modificados após exposição à irradiação usada para padronização do grupo de segundos photobuckets de cor 2518. Por exemplo, em uma modalidade, os segundos photobuckets de cor 2518 são susceptíveis à exposição à inundação de verde 2523 e podem ser desenvolvidos para remover a seleção dos segundos photobuckets de cor 2518, como é mostrado na Figura 25F. Nessa modalidade, os primeiros photobuckets de cor 2512 não são susceptíveis à exposição à inundação de verde e, desse modo, não podem ser desenvolvidos e removidos mesmo se revelados durante a exposição à inundação de verde, como é mostrado na Figura 25F. Em uma modalidade, ao ter photobuckets vizinhos de diferente susceptibilidade à irradiação, podem ser acomodados maiores padrões e/ou tolerância de compensação para moderar as restrições de outro modo associadas à padronização da terceira camada de máscara dura 2522.
[0516] A Figura 25G ilustra a estrutura da Figura 25F após remoção da terceira camada de máscara dura e gravação para formar localizações de vias, de acordo com uma modalidade da presente revelação. Em relação à Figura 25G, é removida a terceira camada de máscara dura 2522. Em uma tal modalidade, a terceira camada de máscara dura 2522 é uma camada de máscara dura à base de carbono e é removida por um processo de incineração. Em seguida, o padrão das aberturas de vias 2519A, 2513A e 2519B é submetido a um processo de gravação seletiva, como por exemplo um processo de gravação por plasma seletiva, para estender as aberturas de vias mais profundamente na camada ILD subjacente 2502, formando a camada ILD padronizada de vias 2502’ com localizações de vias 2524. A gravação é seletiva para photobuckets 2512 e 2518 remanescentes e para os espaçadores 2508.
[0517] A Figura 25H ilustra a estrutura da Figura 25G antes do
Petição 870190046922, de 20/05/2019, pág. 227/557
218/326 enchimento de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 25H, todos os primeiros photobuckets de cor e segundos photobuckets de cor 2512 e 2518 remanescentes são removidos. Os primeiros photobuckets de cor e segundos photobuckets de cor 2512 e 2518 remanescentes podem ser removidos diretamente ou podem ser primeiro expostos e desenvolvidos para permitir a remoção. A remoção dos primeiros photobuckets de cor e segundos photobuckets de cor 2512 e 2518 remanescentes fornece valas de linhas de metal 2526, algumas das quais são acopladas em localizações de vias 2524 na camada ILD padronizada 2502’. O subsequente processo pode incluir a remoção de espaçadores 2508 e da camada de máscara dura 2504 e o enchimento de metal de valas de linhas de metal 2526 e localizações de vias 2524. Em uma tal modalidade, a metalização é formada por processo de novo polimento e enchimento de metal.
[0518] A estrutura da Figura 25H, após enchimento de metal, pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 25H, após enchimento de metal, pode representar a camada de interconexões de metal final em um circuito integrado. Em relação novamente à Figura 25H, a fabricação autoalinhada pela abordagem subtrativa pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante requer provavelmente iniciação de todo o processo mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexões adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única.
[0519] Em relação novamente às Figuras 25A a 25H, diversas opções podem ser consideradas como viáveis para fornecer primeiros photobuckets de cor 2512 e segundos photobuckets de cor 2518. Por
Petição 870190046922, de 20/05/2019, pág. 228/557
219/326 exemplo, em uma modalidade, são usadas duas fotorresistências orgânicas de tom positivo diferentes. Deve ser reconhecido que, em uma tal modalidade, podem ser selecionados materiais com diferentes estruturas químicas para primeiros photobuckets de cor 2512 e segundos photobuckets de cor 2518 para possibilitar o uso de diferentes processos de revestimento, fotoativação e desenvolvimento. Como uma modalidade exemplificativa, é escolhido um sistema convencional de resistência de polimetacrilato de litografia de 193 nm para primeiros photobuckets de cor 2512, enquanto é escolhido um sistema convencional de fotorresistência de poli-hidroxiestireno de 248 nm para os segundos photobuckets de cor 2518. As diferenças químicas significativas entre esses dois tipos de resinas permitem usar dois solventes de fundição orgânicos diferentes; isso pode ser necessário, uma vez que o material dos segundos photobuckets de cor 2518 é revestido com o material dos primeiros photobuckets de cor 2512 já presentes. O solvente de fundição para os primeiros photobuckets de cor 2512 não é limitado, enquanto para os segundos photobuckets de cor 2518 podem ser usados solventes alcoólicos, uma vez que ainda podem solubilizar materiais PHS, mas não polimetacrilatos menos polares.
[0520] A combinação de uma resina de polimetacrilato como o material dos primeiros photobuckets de cor 2512 e uma resina de polihidroxiestireno como o material dos segundos photobuckets de cor 2518 pode, em uma modalidade, permitir o uso de dois comprimentos de onda de exposição diferentes. Os polímeros de litografia de 193 nm típicos se baseiam em polimetacrilatos com gerador fotoácido (PAG Photo Acid Generator) absorvente de 193 nm, uma vez que o polímero não é fortemente absorvido nesse comprimento de onda. Os polihidroxiestirenos, por outro lado, podem não ser apropriados, uma vez que absorvem 193 nm fortemente e previnem a ativação de PAG em
Petição 870190046922, de 20/05/2019, pág. 229/557
220/326 toda a película. Em uma modalidade, assim, o material dos primeiros photobuckets de cor 2512 pode ser seletivamente ativado e desenvolvido na presença de fotões de 193 nm. Para acentuar diferenças de fotovelocidade entre os primeiros photobuckets de cor 2512 e os segundos photobuckets de cor 2518, fatores como absorvância PAG em 193 nm, carregamento PAG e resistência fotoácida podem ser regulados para cada um deles. Adicionalmente, podem ser adicionados absorvedores de 193 nm fortes aos segundos photobuckets de cor 2518 (ou depositados seletivamente por cima dos segundos photobuckets de cor 2518) para diminuir ativação PAG dentro do volume da película. Após a exposição, em uma modalidade particular, o desenvolvimento dos primeiros photobuckets de cor 2512 é realizado seletivamente com desenvolvedor TMAH padrão onde ocorrerá o desenvolvimento mínimo dos segundos photobuckets de cor 2518.
[0521] Em uma modalidade, para remover seletivamente os segundos photobuckets de cor 2518 na presença dos primeiros photobuckets de cor 2512, é usado um segundo comprimento de onda de energia mais baixa que somente ativa PAG nos segundos photobuckets de cor 2518 e não nos primeiros photobuckets de cor 2512. Isso pode ser alcançado de duas formas. Primeiro, em uma modalidade, são usados PAGs com diferentes características de absorvância. Por exemplo, sais de trialquilsulfônio têm uma absorvância muito baixa em comprimentos de onda como, por exemplo, em 248 nm, ao passo que triarilsulfônios têm uma absorvância muito alta. Desse modo, é alcançada seletividade usando triarilsulfônio ou outros PAGs de absorção de 248 nm nos segundos photobuckets de cor 2518 enquanto é usado trialquilsulfônio ou outro PAG sem ser de absorção de 248 nm nos primeiros photobuckets de cor 2512. Em alternativa, pode ser incorporado um sensibilizador nos segundos photobuckets de
Petição 870190046922, de 20/05/2019, pág. 230/557
221/326 cor 2518, que absorve fotões de baixa energia transferindo energia para PAG seletivamente nos segundos photobuckets de cor 2518 não ocorrendo nenhuma ativação nos primeiros photobuckets de cor 2512, uma vez que não existe nenhum sensibiiizador presente.
[0522] Em outra modalidade, a Figura 25! ilustra uma resistência de duplo tom exemplificativa para um tipo de photobucket e uma resistência de tom único exemplificativa para outro tipo de photobucket, de acordo com uma modalidade da presente revelação. Em relação à Figura 25I, em uma modalidade, é usado um sistema de fotorresistência de tom duplo (PB-1) para o material dos primeiros photobuckets de cor 2512. É usado um sistema de fotorresistência de tom único (lento) (PB-2) para o material dos segundos photobuckets de cor 2518. Uma fotorresistência de tom duplo pode ser caracterizada como tendo uma fotorresposta que seja eficazmente desligada em doses mais altas devido à ativação de geradores de fotobase incluídos no sistema. A base fotogerada neutraliza fotoácido e previne a desproteção de polímeros. Em uma modalidade, durante a exposição dos primeiros photobuckets de cor 2512, é selecionada uma dose de modo que a resistência de tom duplo (PB-1) opere como um sistema de tom positivo rápido, ao passo que a resistência de tom único (PB-2) não recebeu fotões suficientes para a ativação da mudança de solubilidade. Isso permite a remoção de PB-1 com o desenvolvedor TMAH sem a remoção de PB-2. Para remover seletivamente PB-2 sem remover PB-1, é usada uma dose mais elevada para a segunda exposição (ou seja, exposição dos segundos photobuckets de cor 2518). A dose selecionada necessita de ativar PAG suficiente em PB-2 para permitir a dissolução em TMAH e mover PB-2 para o regime de resposta de tom negativo através da ativação de PBG. Nesse esquema, os mesmos PAGs podem ser usados para PB-1 e PB-2 e os mesmos comprimentos de onda de exposição podem ser usados para exposições 1 e 2. Deve ser
Petição 870190046922, de 20/05/2019, pág. 231/557
222/326 reconhecido que PB-1 pode requerer a incorporação de gerador de fotobase (PBG - Photobase Generator); todavia, é provável que diferentes tipos de polímeros sejam necessários para permitir o revestimento de PB-2 depois de PB-1 já se encontrar revestido. Como descrito acima, a utilização de resistência tipo polimetilmetacrilato para PB-1 e tipo PHS para PB-2 pode satisfazer esse requisito.
[0523] Deve ser reconhecido que os materiais designados acima para primeiros e segundos photobuckets de cor 2512 e 2518, respectivamente, podem ser comutados, de acordo com as modalidades da presente revelação. Igualmente, a abordagem de photobuckets multicolor acima pode ser referida como 1-D. Abordagens similares podem ser aplicadas em sistemas 2-D usando grades cruzadas, embora o material de photobuckets tenha de suportar a gravação e a limpeza da grade cruzada acima. O resultado seria um padrão tipo tabuleiro de xadrez com vias/plugues menores na direção perpendicular versus os da abordagem descrita acima. Adicionalmente, deve ser reconhecido que as abordagens descritas em associação com as Figuras 25A a 25H não são necessariamente efetuadas como formando vias alinhadas com uma camada de metalização subjacente, embora possam certamente ser implementadas como tal. Em outros contextos, esses esquemas de processo podem ser vistos como envolvendo injeção cega na direção de cima para baixo com respeito a quaisquer camadas de metalização subjacentes.
[0524] De acordo com uma modalidade da presente revelação, são descritos photobuckets para pontas condutivas.
[0525] Como exemplo, a Figura 26A ilustra uma vista em planta de uma camada de metalização na extremidade traseira de linha (BEOL) convencional. Em relação à Figura 26A, é mostrada uma camada de metalização na BEOL 2600 convencional com linhas condutivas ou encaminhamento 2604 dispostas em uma camada dielétrica entre
Petição 870190046922, de 20/05/2019, pág. 232/557
223/326 camadas 2602. As linhas de metal podem geralmente se deslocar paralelamente entre si e podem incluir cortes, quebras ou plugues 2606 na continuidade de uma ou mais das linhas condutivas 2604. Para acoplar eletricamente duas ou mais das linhas de metal paralelas, é incluído encaminhamento 2608 de camadas superiores ou inferiores em uma camada de metalização anterior ou seguinte. Esse encaminhamento 2608 de camadas superiores ou inferiores pode incluir uma linha condutiva 2610 acoplando vias condutivas 2612. Deve ser reconhecido que, uma vez que o encaminhamento 2608 de camadas superiores ou inferiores é incluído em uma camada de metalização anterior ou seguinte, o encaminhamento 2608 de camadas superiores ou inferiores pode consumir o estado real vertical de uma estrutura semicondutora que inclui as camadas de metalização.
[0526] Em oposição, a Figura 26B ilustra uma vista em planta de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação. Em relação à Figura 26B, é mostrada uma camada de metalização na BEOL 2650 com linhas condutivas ou encaminhamento 2654 dispostas em uma camada dielétrica entre camadas 2652. As linhas de metal podem geralmente se deslocar paralelamente entre si e podem incluir cortes, quebras ou plugues 2656 na continuidade de uma ou mais das linhas condutivas 2654. Para acoplar eletricamente duas ou mais das linhas de metal paralelas, é incluída uma ponta condutiva 158 na camada de metalização 2650. Deve ser reconhecido que, uma vez que a ponta condutiva 2658 é incluída na mesma camada de metalização como as linhas condutivas 2654, o consumo da ponta condutiva 2658 do estado real vertical de uma estrutura semicondutora que inclui a camada de metalização pode ser reduzido relativamente à estrutura da Figura 26A.
Petição 870190046922, de 20/05/2019, pág. 233/557
224/326 [0527] Uma ou mais modalidades aqui descritas são direcionadas para abordagens de photobuckets para padronização por damasquinagem de plugues e pontas. Esses esquemas de padronização podem ser implementados para permitir interconexões bidirecionais baseadas em espaçador. As implementações podem ser particularmente adequadas para conectar eletricamente duas linhas paralelas de uma camada de metalização onde as duas linhas de metal foram fabricadas usando uma abordagem baseada em espaçador que de outro modo pode restringir a inclusão de conexão condutiva entre duas linhas adjacentes em uma mesma camada de metalização. Em geral, uma ou mais modalidades são direcionadas para uma abordagem que emprega uma técnica de damasquinagem para formar pontas condutivas e espaços ou interrupções não condutivos entre metais (plugues).
[0528] Mais especificamente, uma ou mais modalidades aqui descritas envolvem o uso de um método de damasquinagem para formar pontas e plugues. Inicialmente, cada localização possível de ponta e plugue é primeiro padronizada em uma camada de máscara dura. Uma operação adicional é depois usada para selecionar qual das localizações de ponta e plugue a reter. As localizações são depois transferidas para uma camada dielétrica entre camadas subjacente. Essas operações podem ser ilustradas usando photobuckets. Em uma modalidade particular, é fornecido um método para padronização por damasquinagem de vias, plugues e pontas com autoalinhamento usando uma abordagem de colocação em photobuckets e máscaras duras seletivas.
[0529] De acordo com uma modalidade da presente revelação, é usada padronização de photobuckets para fabricar plugues e pontas de uma maneira autoalinhada. Uma visão geral de fluxo de processo pode envolver (1) fabricação de uma grade cruzada, se seguindo a (2)
Petição 870190046922, de 20/05/2019, pág. 234/557
225/326 colocação em photobuckets para definição de plugues e mudança da fotorresistência para um material duro que possa suportar processamento a jusante, se seguindo a (3) reversão de tom de grade mediante reaterro com um material que pode ser cheio, colocação em reentrância do material que pode ser cheio e remoção da grade cruzada original, se seguindo a (4) colocação em photobuckets para definição de ponta, se seguindo a (5) transferência de gravação do padrão para uma camada dielétrica entre camadas (ILD) subjacente e polimento dos materiais de máscara dura adicionais. Deve ser reconhecido que embora o fluxo de processo geral não inclua vias, em uma modalidade, as abordagens aqui descritas podem ser implementadas para se estenderem a múltiplas passagens de plugues, vias e pontas usando uma mesma grade autoalinhada.
[0530] Como um exemplo, as Figuras 27A a 27K ilustram vistas angulares em corte transversal representando várias operações em um método de fabricação de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação.
[0531] Em relação à Figura 27A, é efetuada uma primeira operação em um esquema de padronização de grade cruzada por cima de uma camada dielétrica entre camadas (ILD) 2702 formada por cima de um substrato 2700. Uma máscara dura de cobertura 2704 é primeiro formada na camada ILD 2702. Uma primeira máscara dura de grade 2706 é formada ao longo de uma primeira direção por cima da máscara dura de cobertura 2704. Em uma modalidade, a primeira máscara dura de grade 2706 é formada com um padrão de grade, como é retratado na Figura 27A. Em uma modalidade, a estrutura de grade da primeira máscara dura de grade 2706 é uma estrutura de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento
Petição 870190046922, de 20/05/2019, pág. 235/557
226/326 apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da primeira máscara dura de grade 2706 da Figura 27A pode ter linhas de máscara dura espaçadas de modo apertado em um afastamento constante e tendo uma largura constante.
[0532] Em relação à Figura 27B, é efetuada uma segunda operação em um esquema de padronização de grade cruzada por cima da camada dielétrica entre camadas (ILD) 2702. É formada uma segunda máscara dura de grade 2708 ao longo de uma segunda direção por cima da máscara dura de cobertura 2704. A segunda direção é ortogonal à primeira direção. A segunda máscara dura de grade 2708 tem aí uma máscara dura 2710 sobrejacente. Em uma modalidade, a segunda máscara dura de grade 2710 é fabricada em um processo de padronização usando a máscara dura 2710 sobrejacente. A continuidade da segunda máscara dura de grade 2708 é quebrada por linhas da primeira máscara dura de grade 2706 e, desse modo, porções da primeira máscara dura de grade 2706 se estendem sob a máscara dura 2710 sobrejacente. Em uma modalidade, a segunda máscara dura de grade 2708 é formada intercalada com a primeira máscara dura de grade 2706. Em uma tal modalidade, a segunda máscara dura de grade 2708 é formada por deposição de uma segunda camada de material de máscara dura tendo uma composição diferente da primeira máscara dura de grade 2706. A segunda camada de material de máscara dura é depois planarizada, por exemplo, por polimento químico-mecânico (CMP), e depois padronizada usando a máscara dura 2710
Petição 870190046922, de 20/05/2019, pág. 236/557
227/326 sobrejacente para fornecer a segunda máscara dura de grade 2708. Como foi o caso para a primeira máscara dura de grade 2706, em uma modalidade, a estrutura de grade da segunda máscara dura de grade 2708 é uma estrutura de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da segunda máscara dura de grade 2708 da Figura 27A pode ter linhas de máscara dura espaçadas de modo apertado em um afastamento constante e tendo uma largura constante.
[0533] Em relação à Figura 27C, é efetuado um esquema de padronização de photobuckets e plugues como um primeiro processo de colocação em photobuckets. Em uma modalidade, são formados photobuckets 2712 em todas as aberturas expostas entre a primeira máscara dura de grade 2706 e a segunda máscara dura de grade 2708. Em uma modalidade, um processo de padronização de vias é opcionalmente efetuado antes do processo de padronização de photobuckets e plugues. A padronização de vias pode corresponder a padronização direta ou pode envolver um processo de colocação em photobuckets separado.
[0534] Em relação à Figura 27D, os selecionados dos photobuckets 2712 são removidos, enquanto outros photobuckets 2712 são retidos, por exemplo, não expondo um photobucket 2712 selecionado a um processo de litografia e desenvolvimento usado para abrir todos os outros photobuckets 2712. As porções expostas da máscara dura de cobertura 2704 da Figura 27A são depois gravadas para fornecer a
Petição 870190046922, de 20/05/2019, pág. 237/557
228/326 máscara dura padronizada pela primeira vez 2714. Os photobuckets 2712 retidos, nesse estágio, representam as localizações de plugues em uma camada de metalização final. Ou seja, no processo de primeiros photobuckets, os photobuckets são removidos de localizações onde não serão formados plugues. Em uma modalidade, para formar localizações onde não serão formados plugues, é usada litografia para expor os photobuckets correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor.
[0535] Em relação à Figura 27E, é efetuado um processo de reversão de tom de grade. Em uma modalidade, são formadas regiões dielétricas 2716 em todas as regiões expostas da estrutura da Figura 27D. Em uma modalidade, as regiões dielétricas 2716 são formadas por deposição de uma camada dielétrica e acabamento tipo gravação para formar regiões dielétricas 2716.
[0536] Em relação à Figura 27F, as porções da primeira máscara dura de grade 2706 não cobertas pela máscara dura sobrejacente 2710 são depois removidas para deixar somente porções 2706’ da primeira máscara dura de grade 2706 permanecendo sob a máscara dura sobrejacente 2710.
[0537] Em relação à Figura 27G, é efetuado um esquema de padronização de photobuckets e pontas como um segundo processo de colocação em photobuckets. Em uma modalidade, são formados photobuckets 2718 em todas as aberturas expostas formadas após remoção de porções expostas da primeira máscara dura de grade 2706. [0538] Em relação à Figura 27H, os selecionados dos photobuckets 2718 são removidos enquanto outros photobuckets 2718 são retidos, por exemplo, não expondo photobuckets 2718 a um processo de litografia e desenvolvimento usado para abrir os outros photobuckets. As porções expostas da máscara dura padronizada pela primeira vez 2714 das Figuras 27D a 27G são depois gravadas para fornecer a
Petição 870190046922, de 20/05/2019, pág. 238/557
229/326 máscara dura padronizada pela segunda vez 2715. Os photobuckets 2718 retidos, nesse estágio, representam as localizações onde pontas condutivas não se encontrarão em uma camada de metalização final. Ou seja, no segundo processo de photobuckets, os photobuckets são removidos de localizações onde serão por fim formadas pontas condutivas. Em uma modalidade, para formar localizações onde serão formadas pontas condutivas, é usada litografia para expor os photobuckets correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor.
[0539] Em relação à Figura 27I, a máscara dura sobrejacente 2710, a segunda máscara dura de grade 2708 e as regiões dielétricas 2716 são removidas. Subsequentemente, porções da máscara dura padronizada pela segunda vez 2715 expostas após remoção da máscara dura sobrejacente 2710 são removidas para fornecer a máscara dura padronizada pela terceira vez 2720, sendo removidas a segunda máscara dura de grade 2708 e as regiões dielétricas 2716. Em uma modalidade, os remanescentes dos photobuckets 2712 e 2718 são primeiro endurecidos (por exemplo, por um processo de cozedura), antes da remoção da máscara dura sobrejacente 2710, da segunda máscara dura de grade 2708 e das regiões dielétricas 2716. Nesse estágio, os selecionados dos photobuckets 2712, os selecionados dos photobuckets 2718 e as porções 2706’ retidas da primeira máscara dura de grade 2706 permanecem por cima da máscara dura padronizada pela terceira vez 2720. Em uma modalidade, a máscara dura sobrejacente 2710, a segunda máscara dura de grade 2708 e as regiões dielétricas 2716 são removidas usando um processo de gravação a úmido seletiva, enquanto as porções da máscara dura padronizada pela segunda vez 2715 exposta após remoção da máscara dura sobrejacente 2710 são removidas para fornecer a máscara dura padronizada pela terceira vez 2720 usando um processo de gravação a
Petição 870190046922, de 20/05/2019, pág. 239/557
230/326 seco.
[0540] Em relação à Figura 27J, o padrão da máscara dura padronizada pela terceira vez 2720 é transferido para uma porção superior da camada ILD 2702 para formar a camada ILD padronizada 2722. Em uma modalidade, assim, um padrão de plugues e pontas da máscara dura padronizada pela terceira vez 2720 é transferido para a camada ILD 2702 para formar a camada ILD padronizada 2722. Em uma modalidade, é usado um processo de gravação para transferir o padrão para a camada ILD 2702. Em uma tal modalidade, os selecionados dos photobuckets 2712, os selecionados dos photobuckets 2718 e as porções 2706’ retidas da primeira máscara dura de grade 2706 permanecendo por cima da máscara dura padronizada pela terceira vez 2720 são removidos ou consumidos durante a gravação usada para formar a camada ILD padronizada 2722. Em outra modalidade, os selecionados dos photobuckets 2712, os selecionados dos photobuckets 2718 e as porções 2706’ retidas da primeira máscara dura de grade 2706 permanecendo por cima da máscara dura padronizada pela terceira vez 2720 são removidos antes ou depois da gravação usada para formar a camada ILD padronizada 2722.
[0541] Em relação à Figura 27K, após formação da camada ILD padronizada 2732, são formadas linhas condutivas 2724. Em uma modalidade, as linhas condutivas 2724 são formadas usando um processo de enchimento de metal e acabamento tipo polimento. Durante a formação de linhas condutivas 2724, é igualmente formada uma ponta condutiva 2728 acoplando duas linhas de metal 2724. Desse modo, em uma modalidade, é formado um acoplamento condutivo (ponta 2728) entre linhas condutivas 2724 ao mesmo tempo das linhas condutivas 2724, em uma mesma camada ILD 2722, e em um mesmo plano das linhas condutivas 2724. Adicionalmente, podem ser formados plugues 2726 como uma quebra ou interrupção em uma ou mais das linhas
Petição 870190046922, de 20/05/2019, pág. 240/557
231/326 condutivas 2724, como é retratado na Figura 27K. Em uma tal modalidade, o plugue 2726 é uma região da camada ILD 2702 que é preservada durante a transferência de padrão para formar a camada ILD padronizada 2722. Em uma modalidade, a máscara dura padronizada pela terceira vez 2720 é removida, como é retratado na Figura 27K. Em uma tal modalidade, a máscara dura padronizada pela terceira vez 2720 é removida após a formação das linhas condutivas 2724 e da ponta 2728, por exemplo, usando um processo de planarização química-mecânica (CMP) pós-metalização.
[0542] Em relação novamente à Figura 27K, em uma modalidade, uma camada de metalização na extremidade traseira de linha (BEOL) para uma estrutura semicondutora inclui uma camada dielétrica entre camadas (ILD) 2722 disposta por cima de um substrato 2700. Uma pluralidade de linhas condutivas 2724 é disposta na camada ILD 2722 ao longo de uma primeira direção. Uma ponta condutiva 2728 é disposta na camada ILD 2722. A ponta condutiva acopla duas da pluralidade de linhas condutivas 2724 ao longo de uma segunda direção ortogonal à primeira direção.
[0543] Essa organização como retratada na Figura 27K pode não ser de outro modo alcançável por processamento litográfico convencional em pequeno afastamento, pequena largura ou ambos. Igualmente, o autoalinhamento pode não ser alcançável com processos convencionais. Ademais, a organização como retratada na Figura 27K pode não ser de outro modo alcançável em casos onde é usado um esquema de divisão de afastamento para fornecer por fim um padrão para as linhas condutivas 2724.
[0544] Em uma modalidade, a ponta condutiva 2728 é contínua, e não contígua, com as duas da pluralidade de linhas condutivas, como é retratado na Figura 27K. Em uma modalidade, a ponta condutiva 2728 é coplanar com as duas da pluralidade de linhas condutivas 2724, como
Petição 870190046922, de 20/05/2019, pág. 241/557
232/326 é retratado na Figura 27K. Em uma modalidade, a camada de metalização na BEOL inclui ainda um plugue dielétrico 2726 disposto em uma extremidade de uma da pluralidade de linhas condutivas 2724, como é retratado na Figura 27K. Em uma modalidade, o plugue dielétrico 2726 é contínuo, e não contíguo, com a camada ILD, como é retratado na Figura 27K. Em uma modalidade, embora não mostrado, a camada de metalização na BEOL inclui ainda uma via condutiva disposta por baixo de, e eletricamente acoplada a, uma da pluralidade de linhas condutivas 2724.
[0545] A estrutura da Figura 27K pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 3K pode representar a camada de interconexões de metal final em um circuito integrado. Em relação novamente à Figura 27K, essa fabricação autoalinhada mediante uma abordagem de photobuckets por damasquinagem pode ser continuada para fabricar uma camada de metalização seguinte. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexões adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única. Deve ser igualmente reconhecido que, embora não retratado, uma ou mais das linhas condutivas 2724 podem ser acopladas em uma via condutiva subjacente que possa ser formada usando uma operação de photobuckets adicional. Em uma modalidade, como uma alternativa à abordagem bidimensional descrita acima, uma abordagem de grade unidimensional pode igualmente ser implementada para padronização de plugues e pontas (e possivelmente vias). Uma abordagem unidimensional assim fornece confinamento em somente uma direção. Desse modo, o afastamento pode ser apertado em uma direção e folgado em uma direção.
[0546] Uma ou mais modalidades aqui descritas são direcionadas
Petição 870190046922, de 20/05/2019, pág. 242/557
233/326 para abordagens de photobuckets para padronização subtrativa de plugues e pontas. Esses esquemas de padronização podem ser implementados para permitir interconexões bidirecionais baseadas em espaçador. As implementações podem ser particularmente adequadas para conectar eletricamente duas linhas paralelas de uma camada de metalização onde as duas linhas de metal foram fabricadas usando uma abordagem baseada em espaçador que de outro modo pode restringir a inclusão de conexão condutiva entre duas linhas adjacentes em uma mesma camada de metalização. Em geral, uma ou mais modalidades são direcionadas para uma abordagem que emprega uma técnica subtrativa para formar pontas condutivas e espaços ou interrupções não condutivos entre metais (plugues).
[0547] Uma ou mais modalidades aqui descritas fornecem uma abordagem para padronização de forma subtrativa de vias, cortes e/ou pontas com autoalinhamento usando uma abordagem de colocação em photobuckets e máscaras duras seletivas. As modalidades podem envolver o uso de uma chamada abordagem de padronização têxtil para interconexões, plugues e vias autoalinhados padronizados de forma subtrativa. Uma abordagem têxtil pode envolver implementação de um padrão têxtil de máscaras duras com seletividade de gravação entre cada material de máscara dura. Em modalidades específicas aqui descritas, é implementado um esquema de processamento têxtil para padronizar interconexões, cortes e vias de forma subtrativa.
[0548] Como uma visão geral de uma ou mais modalidades aqui descritas, um fluxo de processo de visão geral pode envolver a seguinte sequência de processo: (1) fabricação usando um fluxo de processo têxtil com quatro máscaras duras de cor que são gravadas seletivamente entre si, (2) remoção de um primeiro dos tipos de máscara dura para colocação em photobuckets para vias, (3) reaterro do primeiro material de máscara dura, (4) remoção de um segundo dos
Petição 870190046922, de 20/05/2019, pág. 243/557
234/326 tipos de máscara dura para colocação em photobuckets para cortes (ou plugues), (5) reaterro do segundo material de máscara, (6) remoção de um terceiro dos tipos de máscara dura para colocação em photobuckets para pontas condutivas, (7) gravação de forma subtrativa de metal para cortes e pontas, e (8) remoção de máscara dura e subsequente reaterro com material ILD permanente e acabamento tipo polimento.
[0549] As Figuras 28A a 28T ilustram vistas angulares em corte transversal representando várias operações em um método de fabricação de uma camada de metalização na extremidade traseira de linha (BEOL) tendo uma ponta condutiva acoplando linhas de metal da camada de metalização, de acordo com uma modalidade da presente revelação.
[0550] Em relação à Figura 28A, é efetuado um esquema de padronização de grade por cima de uma camada de máscara dura de cobertura 2802 formada por cima de uma camada de metal 2800 formada por cima de um substrato (não mostrado). Uma primeira máscara dura de grade 2804 é formada ao longo de uma primeira direção por cima da máscara dura de cobertura 2802. Uma segunda máscara dura de grade 2806 é formada ao longo da primeira direção e alternando com a primeira máscara dura de grade 2804. Em uma modalidade, a primeira máscara dura de grade 2804 é formada desde um material tendo uma seletividade de gravação diferente do material da segunda máscara dura de grade 2806.
[0551] Em uma modalidade, a primeira e segunda máscaras duras de grade 2804 e 2806 são formadas com um padrão de grade, como é retratado na Figura 28A. Em uma modalidade, a estrutura de grade da primeira e segunda máscaras duras de grade 2804 e 2806 é uma estrutura de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em
Petição 870190046922, de 20/05/2019, pág. 244/557
235/326 litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da primeira e segunda máscaras duras de grade 2804 e 2806 da Figura 28A pode ter linhas de máscara dura espaçadas de modo apertado em um afastamento constante e tendo uma largura constante.
[0552] Em relação à Figura 28B, é efetuado um processo de padronização de grade cruzada sacrificial. Uma máscara dura sobrejacente 2808 é formada com um padrão de grade ao longo de uma segunda direção, ortogonal à primeira direção, ou seja, ortogonal à primeira e segunda máscaras duras de grade 2804 e 2806.
[0553] Em uma modalidade, a máscara dura sobrejacente 2808 é formada com uma estrutura de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da máscara dura sobrejacente 2808 da Figura 28B pode ter linhas de máscara dura espaçadas de modo apertado em um afastamento constante e tendo uma largura constante.
[0554] Em relação à Figura 28C, é efetuada formação de padrão têxtil. As regiões da primeira máscara dura 2804 expostas entre a grade da máscara dura sobrejacente 2808 são seletivamente gravadas e substituídas por regiões de uma terceira máscara dura 2810. As regiões da segunda máscara dura 2806 expostas entre a grade da máscara
Petição 870190046922, de 20/05/2019, pág. 245/557
236/326 dura sobrejacente 2808 são seletivamente gravadas e substituídas por regiões de uma quarta máscara dura 2812. Em uma modalidade, a terceira máscara dura 2810 é formada desde um material tendo uma seletividade de gravação diferente do material da primeira máscara dura 2804 e da segunda máscara dura 2806. Em uma outra modalidade, a quarta máscara dura 2812 é formada desde um material tendo uma seletividade de gravação diferente do material da primeira máscara dura 2804, da segunda máscara dura 2806 e da terceira máscara dura 2810. [0555] Em relação à Figura 28D, é removida a máscara dura sobrejacente 2808. Em uma modalidade, a máscara dura sobrejacente 2808 é removida usando um processo de gravação, incineração ou limpeza seletivo para a primeira máscara dura 2804, a segunda máscara dura 2806, a terceira máscara dura 2810 e a quarta máscara dura 2812 para deixar um padrão têxtil como é ilustrado na Figura 28D. [0556] As Figuras 28E a 28H são associadas a um processo de padronização de vias. Em relação à Figura 28E, a terceira máscara dura 2810 é removida seletivamente para a primeira máscara dura 2804, seletivamente para a segunda máscara dura 2806 e seletivamente para a quarta máscara dura 2812 para fornecer aberturas 2814 expondo porções da máscara dura de cobertura 2802. Em uma modalidade, a terceira máscara dura 2810 é removida seletivamente para a primeira máscara dura 2804, seletivamente para a segunda máscara dura 2806 e seletivamente para a quarta máscara dura 2812 usando um processo de gravação seletiva ou limpeza.
[0557] Em relação à Figura 28F, é efetuado um esquema de padronização de photobuckets e vias como um primeiro processo de colocação em photobuckets. Em uma modalidade, são formados photobuckets em todas as aberturas 2814 expostas da Figura 28E. Os selecionados dos photobuckets são removidos para expor novamente aberturas 2814 enquanto outros photobuckets 2816 são retidos, por
Petição 870190046922, de 20/05/2019, pág. 246/557
237/326 exemplo, não expondo photobuckets 2816 a um processo de litografia e desenvolvimento usado paria abrir todos os outros dos primeiros photobuckets (no caso específico ilustrado, três photobuckets são retidos enquanto um é removido).
[0558] Em relação à Figura 28G, a porção exposta da máscara dura de cobertura 2802 é depois gravada para fornecer a máscara dura padronizada pela primeira vez 2820. Adicionalmente, a camada de metal 2800 é gravada através da abertura para fornecer uma vala 2818 gravada em uma camada de metal padronizada pela primeira vez 2822. A camada de metal padronizada pela primeira vez 2822 inclui uma via condutiva 2824. Após a gravação subtrativa de metal, os photobuckets 2816 remanescentes são removidos para expor novamente aberturas 2814 associadas.
[0559] Em relação à Figura 28H, a vala 2818 e as aberturas 2814 são reaterradas com um material de máscara dura. Em uma modalidade, um material similar ou igual ao material da terceira máscara dura 2810 é formado na estrutura da Figura 28G e planarizado ou novamente gravado para fornecer uma região de máscara dura profunda 2826 e uma região de máscara dura rasa 2828. Em uma modalidade, a região de máscara dura profunda 2826 e a região de máscara dura rasa 2828 são do terceiro tipo de material (por exemplo, o tipo de material da terceira máscara dura 2810).
[0560] As Figuras 28I a 28L estão associadas a um processo de padronização de formação de plugues ou cortes de linhas de metal. Em relação à Figura 28!, a primeira máscara dura 2804 é removida seletivamente para a segunda máscara dura 2806, seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material, e seletivamente para a quarta máscara dura 2812 para fornecer aberturas 2830 expondo porções da máscara dura padronizada pela primeira vez 2820. Em uma modalidade, a
Petição 870190046922, de 20/05/2019, pág. 247/557
238/326 primeira máscara dura 2804 é removida seletivamente para a segunda máscara dura 2806, seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material, e seletivamente para a quarta máscara dura 2812 usando um processo de gravação seletiva ou limpeza.
[0561] Em relação à Figura 28J, é efetuado um esquema de padronização de photobuckets de cortes ou plugues como um segundo processo de colocação em photobuckets. Em uma modalidade, são formados photobuckets em todas as aberturas 2830 expostas da Figura 28I. Os selecionados dos photobuckets são removidos para expor novamente aberturas 2830 enquanto outros photobuckets 2832 são retidos, por exemplo, não expondo photobuckets 2832 a um processo de litografia e desenvolvimento usado para abrir todos os outros dos segundos photobuckets (no caso específico ilustrado, três photobuckets são retidos enquanto um é removido). Os photobuckets removidos, nesse estágio, representam as localizações onde cortes ou plugues se encontrarão em uma camada de metalização final. Ou seja, no segundo processo de photobuckets, os photobuckets são removidos de localizações onde serão por fim formados plugues ou cortes.
[0562] Em relação à Figura 28K, a porção exposta da máscara dura padronizada pela primeira vez 2820 é depois gravada para fornecer a máscara dura padronizada pela segunda vez 2834 tendo uma vala 2836 aí formada. Subsequente à gravação, os photobuckets 2832 remanescentes são removidos para expor novamente aberturas 2830 associadas.
[0563] Em relação à Figura 28L, a vala 2834 e as aberturas 2830 são reaterradas com um material de máscara dura. Em uma modalidade, um material similar ou igual ao material da primeira máscara dura 2804 é formado na estrutura da Figura 28K e planarizado ou novamente gravado para fornecer uma região de máscara dura
Petição 870190046922, de 20/05/2019, pág. 248/557
239/326 profunda 2838 e uma região de mascara dura rasa 2840. Em uma modalidade, a região de máscara dura profunda 2838 e a região de máscara dura rasa 2840 são do primeiro tipo de material (por exemplo, o tipo de material da primeira mascara dura 2804).
[0564] Em relação à Figura 28M, a quarta máscara dura 2812 é removida seletivamente para a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material, seletivamente para a segunda máscara dura 2806 e seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material. Em uma modalidade, a quarta máscara dura 2812 é removida seletivamente para a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material, seletivamente para a segunda máscara dura 2806 e seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material usando um processo de gravação seletiva ou limpeza. Um processo de gravação profunda é efetuado através das aberturas resultantes e inteiramente através da máscara dura padronizada pela segunda vez 2834 para formar a máscara dura padronizada pela terceira vez 2842, e inteiramente através da camada de metal padronizada pela primeira vez 2822 para formar a camada de metal padronizada pela segunda vez 2844. Embora não retratado, nesse estágio pode ser efetuado um segundo processo de padronização de cortes ou plugues.
[0565] Em relação à Figura 28N, as aberturas profundas formadas em associação com a Figura 28M são reaterradas com um material de máscara dura. Em uma modalidade, um material similar ou igual ao material da quarta máscara dura 2812 é formado na estrutura da Figura 28M e planarizado ou novamente gravado para fornecer regiões de máscara dura profundas 2846. Em uma modalidade, as regiões de máscara dura profundas 2838 são do quarto tipo de material (por
Petição 870190046922, de 20/05/2019, pág. 249/557
240/326 exemplo, o tipo de material da quarta máscara dura 2812). Em uma modalidade opcional, como ilustrado em associação com 2899 da Figura 28S, descrita abaixo, uma camada ILD (como por exemplo uma camada dielétrica de baixo k) pode ser primeiro cheia e novamente gravada até um nível da camada de metal padronizada pela segunda vez 2844. O material de máscara dura do quarto tipo (ou seja, uma versão rasa de 2846) é depois formado na camada ILD.
[0566] As Figuras 280 a 28R estão associadas a um processo de padronização de formação de pontas condutivas. Em relação à Figura 280, a segunda máscara dura 2806 é removida seletivamente para a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material, seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material, e seletivamente para as regiões de máscara dura profundas 2846 do quarto tipo de material para fornecer aberturas 2848 expondo porções da máscara dura padronizada pela terceira vez 2842. Em uma modalidade, a segunda máscara dura 2806 é removida seletivamente para a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material, seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material, e seletivamente para as regiões de máscara dura profunda 2846 do quarto tipo de material usando um processo de gravação seletiva ou limpeza.
[0567] Em relação à Figura 28P, é efetuado um esquema de padronização de photobuckets de pontas condutivas como um terceiro processo de colocação em photobuckets. Em uma modalidade, são formados photobuckets em todas as aberturas 2848 expostas da Figura 280. Os selecionados dos photobuckets são removidos para expor novamente aberturas 2848 enquanto outros photobuckets 2850 são retidos, por exemplo, não expondo photobuckets 2850 a um processo
Petição 870190046922, de 20/05/2019, pág. 250/557
241/326 de litografia e desenvolvimento usado para abrir todos os outros dos terceiros photobuckets (no caso específico ilustrado, um photobucket 2850 é retido enquanto três são removidos). Os photobuckets removidos, nesse estágio, representam as localizações onde não serão formadas pontas condutivas em uma camada de metalização final. Ou seja, no terceiro processo de photobuckets, os photobuckets 2850 são localizações retidas onde serão por fim formadas pontas condutivas.
[0568] Em relação à Figura 28Q, a porção exposta da máscara dura padronizada pela terceira vez 2842 é depois gravada através de aberturas 2848 para fornecer a máscara dura padronizada pela quarta vez 2852 tendo valas 2854 aí formadas. Subsequente à gravação, é removido o photobucket 2850 remanescente.
[0569] Em relação à Figura 28R, a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material são removidas seletivamente para a região de máscara profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material e seletivamente para as regiões de máscara dura profundas 2846 do quarto tipo de material para expor ainda porções da máscara dura padronizada pela quarta vez 2852. Em uma modalidade, a região de máscara dura profunda 2838 e região de máscara dura rasa 2840 do primeiro tipo de material são removidas seletivamente para a região de máscara dura profunda 2826 e região de máscara dura rasa 2828 do terceiro tipo de material e seletivamente para as regiões de máscara dura profundas 2846 do quarto tipo de material usando um processo de gravação seletiva ou limpeza.
[0570] Em relação à Figura 28S, é efetuado um processo de gravação profunda através das aberturas resultantes e inteiramente através da camada de metal padronizada pela segunda vez 2844 para formar a camada de metal padronizada pela terceira vez 2856. Nesse estágio, no caso em que é formada uma camada ILD 2899 na operação
Petição 870190046922, de 20/05/2019, pág. 251/557
242/326 associada à Figura 28N, como descrito acima em uma modalidade opcional, são visíveis porções dessa camada ILD 2899 na estrutura da Figura 28S.
[0571] Em relação à parte (a) da Figura 28T, em uma modalidade, é efetuada a remoção de máscara dura de porções de máscara dura 2828, 2846, 2852 remanescentes da Figura 28S, e a estrutura é subsequentemente planarizada. Em uma modalidade, a altura da região de máscara dura profunda 2826 é reduzida, mas a região não é toda removida, para formar a capa de via 2858 e ILD 2860. Adicionalmente, é formada uma região de plugue 2862. Em uma modalidade, é formado ILD 2899 em associação com a Figura 28N, e em uma tal modalidade a região de plugue 2862 inclui um material diferente do ILD 2899. Em outra modalidade, ILD 2899 não é formado em associação com a Figura 28N, e são formadas as porções inteiras de ILD 2860 e plugue 2862 ao mesmo tempo e com um mesmo material, por exemplo, usando um processo de reaterro ILD. Em uma modalidade, a porção de metalização da estrutura inclui linhas de metal 2864, uma via condutiva 2824 (tendo aí a capa de via 2858) e uma ponta condutiva 2866, como é retratado na parte (a) da Figura 28T.
[0572] Em relação à parte (a) da Figura 28T, em uma modalidade, é formado um reaterro ILD 2861 na estrutura da Figura 28S. Em uma tal modalidade, é depositada uma película ILD e depois novamente gravada para fornecer a estrutura da parte (b) da Figura 28T. Em uma modalidade, deixando as máscaras duras da Figura 28S no devido lugar, pode ser efetuada a criação de modelos de uma camada de metalização seguinte. Ou seja, pode ser usada a topografia com as máscaras duras deixadas para trás para criar um modelo do processo de padronização de camada seguinte.
[0573] Em qualquer caso, quer parte (a) quer (b) da Figura 28T, as modalidades aqui descritas incluem um material de máscara dura (2858
Petição 870190046922, de 20/05/2019, pág. 252/557
243/326 ou 2826) deixado para trás por cima de uma via condutiva 2824 de uma camada de metalização final em uma estrutura semicondutora. Adicionalmente, novamente em relação às Figuras 28A a 28T, deve ser reconhecido que a ordem para padronização de cortes, vias e pontas pode ser intercambiável. Igualmente, enquanto o fluxo de processo exemplificativo mostra um corte, uma via e uma passagem de ponta, podem ser efetuadas múltiplas passagens de cada tipo de padronização.
[0574] Em relação novamente à parte (a) da Figura 28T, em uma modalidade, uma camada de metalização na extremidade traseira de linha (BEOL) para uma estrutura semicondutora inclui uma camada dielétrica entre camadas (ILD) 2860. Uma pluralidade de linhas condutivas 2860 é disposta na camada ILD 2722 ao longo de uma primeira direção. Uma ponta condutiva 2866 acopla duas da pluralidade de linhas condutivas 2864 ao longo de uma segunda direção ortogonal à primeira direção.
[0575] Essa organização como retratada na Figura 28T pode não ser de outro modo alcançável por processamento litográfico convencional em pequeno afastamento, pequena largura ou ambos. Igualmente, o autoalinhamento pode não ser alcançável com um esquema de processamento convencional. Ademais, as organizações como retratado na Figura 28T podem não ser de outro modo alcançáveis em casos onde é usado um esquema de divisão de afastamento para fornecer por fim um padrão para as linhas condutivas 2864.
[0576] Em uma modalidade, a ponta condutiva 2866 é contínua, mas não contígua, com as duas da pluralidade de linhas condutivas 2864. Em uma modalidade, a ponta condutiva 2866 é coplanar com as duas da pluralidade de linhas condutivas 2866. Em uma modalidade, a camada de metalização na BEOL inclui ainda um plugue de material
Petição 870190046922, de 20/05/2019, pág. 253/557
244/326 dielétrico 2862 disposto em uma extremidade de uma da pluralidade de linhas condutivas 2866. Em uma modalidade, a camada de metalização na BEOL inclui ainda uma via condutiva.
[0577] As estruturas da Figura 28T podem subsequentemente ser usadas como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, as estruturas da Figura 28T podem representar a camada de interconexão de metal final em um circuito integrado. Em relação novamente à Figura 28T, essa fabricação autoalinhada mediante uma abordagem subtrativa de photobuckets pode ser continuada para fabricar uma camada de metalização seguinte. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexão adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única. [0578] De acordo com uma modalidade da presente revelação, é descrita a customização da resistência para tolerância de desalinhamento de exposição. A customização da resistência pode incluir um ou mais entre um resfriamento interno, um resfriamento de camada enxertada ou um resfriamento de camada no topo. Uma ou mais modalidades aqui descritas são direcionadas para fotorresistências de cozedura de dois andares com resfriadores liberáveis. As aplicações podem ser direcionadas para uma ou mais entre litografia ultravioleta extrema (EUV), aplicações de litografia gerais, soluções para problemas de sobreposição e tecnologias de fotorresistência gerais. Em uma modalidade, são descritos materiais que são adequados para melhorar o desempenho de abordagens baseadas em photobuckets. Em uma abordagem assim, é confinado um material de resistência em uma máscara dura pré-padronizada. Os selecionados dos photobuckets são depois removidos usando uma ferramenta de litografia de alta resolução, por exemplo, uma ferramenta de litografia EUV. Para melhorar a uniformidade da resposta de material
Petição 870190046922, de 20/05/2019, pág. 254/557
245/326 de resistência em um dado photobucket, podem ser implementadas modalidades específicas.
[0579] Para contextualizar, um objetivo em uma abordagem de photobuckets pode ser a capacidade para difundir primeiro quaisquer ácidos liberados por EUV em um photobucket exposto para melhorar a uniformidade da resposta de resistência no bucket selecionado. Em abordagens anteriores, isso foi alcançado mediante o uso de materiais especiais que permitem ao ácido se difundir pelo photobucket a uma temperatura suficientemente baixa para evitar uma reação de mudança de solubilidade instigada desde esses ácidos. Todavia, a ação de outro componente de resistência, nomeadamente o resfriador, pode impedir que essa vantagem seja totalmente realizada. Em particular, o resfriador pode neutralizar os ácidos antes de os mesmos poderem se difundir ou espalhar por um dado photobucket. Abordando esses problemas, de acordo com uma ou mais modalidades aqui descritas, um resfriador padrão é substituído por um resfriador que possa ser liberado por uma exposição ultravioleta (UV) ou afins, fornecendo a capacidade de evitar a neutralização ácida prematura.
[0580] Mais particularmente, de acordo com uma ou mais modalidades aqui descritas, um material de resistência de photobuckets, incluindo um resfriador liberado por UV, é implementado para fornecer eficazmente um PEB de 2 andares onde a média do efeito da exposição EUV é eficazmente calculada em um dado photobucket. Essas modalidades podem permitir uma resposta de bucket digital, na qual todo o photobucket é removido ou não. Em modalidades específicas, essa resposta é mais tolerante a erros de colocação de beiras, nos quais uma imagem aérea não é perfeitamente alinhada com a grelha de photobuckets.
[0581] Para exemplificar um ou mais dos conceitos aqui envolvidos, as Figuras 29A a 29C ilustram vistas em corte transversal e
Petição 870190046922, de 20/05/2019, pág. 255/557
246/326 correspondentes vistas em planta de várias operações em um método de padronização usando photobuckets incluindo uma fotorresistência de cozedura de dois andares, de acordo com uma modalidade da presente revelação.
[0582] Em relação à Figura 29A, uma máscara dura prépadronizada 2904 é disposta por cima de um substrato 2902. A máscara dura pré-padronizada 2904 tem aberturas cheias com uma fotorresistência de cozedura de dois andares 2906. A fotorresistência de cozedura de dois andares 2906 é confinada às aberturas na máscara dura pré-padronizada 2904, por exemplo, para fornecer uma grelha de potenciais localizações de via.
[0583] Em relação à Figura 29B, os selecionados dos photobuckets são submetidos a uma exposição 2907 de uma ferramenta de litografia. A fotorresistência de cozedura de dois andares 2906 é exposta com uma ferramenta de litografia, por exemplo, uma ferramenta de litografia EUV, para selecionar que vias abrir. Em uma modalidade, o alinhamento entre a ferramenta de litografia e a grelha de máscaras duras prépadronizadas 2904 é imperfeito resultando em uma assimetria de exposição no photobucket alvo e/ou exposição parcial no bucket vizinho. Como visto na vista em planta, a exposição 2907 é uma imagem aérea 2908 deslocada.
[0584] Em relação à Figura 29C, embora a exposição da Figura 29B possa ter envolvido o desalinhamento e a exposição parcial de photobuckets não selecionados, somente os photobuckets selecionados são removidos para formar aberturas 2920, deixando photobuckets não selecionados como photobuckets 2912 fechados. Em uma modalidade, o processo usado para garantir que somente photobuckets selecionados são por fim abertos, após a exposição 2907 de regiões selecionadas da fotorresistência de cozedura de dois andares 2906, a totalidade da fotorresistência de cozedura de dois
Petição 870190046922, de 20/05/2019, pág. 256/557
247/326 andares 2906 é primeiro cozida para difusão ácida. Em seguida, é efetuada uma liberação de resfriamento por ultravioleta (UV) para neutralização ácida. Uma segunda cozedura é depois efetuada para uma mudança de solubilidade, como descrito abaixo em mais detalhes. Em uma modalidade específica, os fotoácidos liberados da primeira operação de cozedura são difundidos por todo o photobucket. A exposição à inundação UV libera resfriadores e depois é efetuada a cozedura final de mudança de solubilidade. O processo é elaborado abaixo em associação com as Figuras 30A a 30E.
[0585] Como resultado, as localizações selecionadas que recebem uma maior exposição são por fim removidas para fornecer localizações de photobuckets 2920 abertas após o desenvolvimento. As localizações não selecionadas que não recebem nenhuma exposição, ou somente exposição parcial, mas em menor medida no caso de desalinhamento, permanecem como localizações de photobuckets 2912 fechadas após o desenvolvimento.
[0586] Para exemplificar um cenário contrastante onde é usada uma fotorresistência convencional, a Figura 1D ilustra uma vista em corte transversal de uma estrutura convencional de photobuckets de resistência a seguir ao desenvolvimento de photobuckets e após uma exposição desalinhada. Uma região de photobuckets 2954 é mostrada como somente parcialmente removida 2950 com alguma fotorresistência residual 2952 remanescendo. No caso de o photobucket 2954 ser um photobucket selecionado, a exposição desalinhada 2907 somente remove parcialmente o photobucket, o que pode originar uma subsequente fabricação de má qualidade da estrutura condutiva nessas localizações. No caso em que o photobucket 2954 é um photobucket não selecionado, ocorre alguma abertura 2950 indesejada, potencialmente originando a subsequente formação de estruturas condutivas em localizações indesejadas.
Petição 870190046922, de 20/05/2019, pág. 257/557
248/326 [0587] Em uma descrição mais detalhada, as Figuras 30A a 30E ilustram vistas esquemáticas de várias operações em um método de padronização usando photobuckets incluindo uma fotorresistência de cozedura de dois andares, de acordo com uma modalidade da presente revelação.
[0588] Em relação à Figura 30A, cada um dos primeiros 3002 e segundos 3004 photobuckets inclui uma composição fotolizável incluindo um material fotorresistente de ácido não passível de proteção, um componente de geração fotoácida (PAG) 3010 e um componente de geração de fotobase 3012. Uma exposição EUV ou de feixes de elétrons 3006 desalinhada é efetuada em um photobucket 3002 selecionado e um photobucket 3004 não selecionado, o que expõe fortemente o photobucket 3002 selecionado e parcialmente expõe o photobucket 3004 não selecionado, mas em uma menor medida. Em uma modalidade específica, o componente de geração de fotobase 3012 é um resfriador liberável por UV.
[0589] Em relação à Figura 30B, é efetuada uma primeira cozedura. Em uma modalidade, é efetuada a primeira cozedura a uma temperatura demasiado baixa para causar uma mudança de solubilidade. Em uma tal modalidade, a cozedura é uma cozedura somente por difusão originando materiais difundidos 3020 e 3022 de photobuckets 3002 e 3004, respectivamente.
[0590] Em relação à Figura 30C, os resfriadores 3014 são liberados para formar materiais 3024 e 3026 para photobuckets 3002 e 3004, respectivamente. Em uma modalidade, os resfriadores 3014 são resfriadores liberados por UV. Em uma modalidade específica assim, os resfriadores liberados por UV são liberados por exposição à inundação UV, por exemplo, uma exposição de 365 nm. Em uma modalidade, os photobuckets 3002 e 3004 são ambos expostos à exposição à inundação na mesma medida.
Petição 870190046922, de 20/05/2019, pág. 258/557
249/326 [0591] Em relação à Figura 30D, é efetuada uma segunda cozedura para fornecer materiais 3028 e 3030 de photobuckets 3002 e 3004, respectivamente. Em uma modalidade, a segunda cozedura gera uma mudança de solubilidade, na qual é resfriada uma concentração ácida subcrítica. Dessa maneira, não existem essencialmente nenhumas concentrações ácidas locais. Ou seja, não ocorre a desproteção de parte de um photobucket somente parcialmente exposto não intencional.
[0592] Em relação à Figura 30E, os photobuckets 3002 e 3004 são submetidos a um processo de desenvolvimento. O photobucket 3002 selecionado é removido após o desenvolvimento para fornecer um photobucket 3032 removido. O photobucket 3004 não selecionado não é removido após o desenvolvimento e permanece um photobucket 3034 bloqueado. Dessa forma, mesmo no caso de uma exposição desalinhada, é alcançada uma resposta de photobuckets digitais (abertos ou somente fechados, sem abertura parcial).
[0593] Deve ser reconhecido que nem todas as modalidades requerem uma única composição para alcançar uma fotorresistência de cozedura de dois andares. Em um primeiro exemplo alternativo, a Figura 30A’ ilustra uma vista esquemática de uma operação em outro método de padronização usando photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 30A’, cada um dos primeiros 3002’ e segundos 3004’ photobuckets inclui um componente de geração de fotobase 3050 enxertado ao longo de um fundo e paredes laterais dos primeiros 3002’ e segundos 3004’ photobuckets. Uma composição fotolizável é formada dentro do componente de geração de fotobase 3050 enxertado. A composição fotolizável inclui um material fotorresistente de ácido não passível de proteção e um componente de geração fotoácida (PAG) 3010’. Uma exposição 3006’ e um processo de desenvolvimento de múltiplos estágios podem depois ser efetuados
Petição 870190046922, de 20/05/2019, pág. 259/557
250/326 de forma similar às abordagens descritas acima.
[0594] Em um segundo exemplo alternativo, a Figura 30A” ilustra uma vista esquemática de uma operação em outro método de padronização usando photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 30A”, cada um dos primeiros 3002” e segundos 3004” photobuckets inclui uma composição fotolizável incluindo um material fotorresistente de ácido não passível de proteção e um componente de geração fotoácida (PAG) 3010”. Subsequente à realização de uma primeira cozedura, é formada uma camada 3060 incluindo um componente de geração de base nos primeiros 3002” e segundos 3004” photobuckets. Os photobuckets 3002” e 3004” são depois expostos a radiação ultravioleta (UV). Nesse caso, o componente de base não necessita de ser introduzido por via de um gerador de fotobase, mas pode sim ser depositado em uma operação de processo posterior, por exemplo, por deposição de vapor de uma camada base ou exposição a NMP de atmosfera básica.
[0595] As aplicações das abordagens e composições de fotorresistência descritas acima podem ser implementadas para criar estruturas regulares cobrindo todas as possíveis localizações de vias (ou plugues), se seguindo a padronização seletiva somente das falhas desejadas. Para fornecer mais detalhes de material, em uma modalidade, em relação novamente às Figuras 30A a 30E, os photobuckets 3002 e 3004 incluem composição fotolizável. A composição fotolizável inclui um material fotorresistente de ácido não passível de proteção tendo transparência substancial em um comprimento de onda. A composição fotolizável inclui igualmente um componente de geração fotoácida (PAG) tendo transparência substancial no comprimento de onda. A composição fotolizável inclui um componente de geração de base tendo absortividade substancial no comprimento de onda. Em modalidades alternativas, o material
Petição 870190046922, de 20/05/2019, pág. 260/557
251/326 fotorresistente de ácido não passível de proteção não é substancialmente transparente no comprimento de onda.
[0596] Em uma modalidade, o componente de geração de base é um selecionado desde o grupo consistindo em um componente de geração de fotobase, um componente de geração de base de elétrons, um componente de geração de base química e um componente de geração de base UV. Em uma modalidade, o componente de geração de base é um componente de geração de base de sonicação. Em uma modalidade, o componente de geração de base é absorvente de UV. Em uma modalidade, o componente de geração de base inclui um cromóforo UV de baixa energia. Em uma modalidade específica assim, o cromóforo UV de baixa energia é selecionado desde o grupo consistindo em antracenilcarbamatos, naftalenilcarbamatos, 2nitrofenilcarbamatos, arilcarbamatos, cumarinas, ácido fenilglioxílico, acetofenonas e benzofenonas substituídas. Em uma modalidade, o cromóforo UV de baixa energia é uma amina fotoliberada. Em uma modalidade, o componente de geração de base inclui um material selecionado desde o grupo consistindo em N,N-diciclOhexil2 nitrofenilcarbamato, carbamatos Ν,Ν-dlssubstituídos e carbamatos monossubstituídos.
[0597] Em uma modalidade, o componente PAG inclui um material selecionado desde o grupo consistindo em trietila, trimetila e outros trialquilsulfonatos, onde o grupo sulfonato é selecionado desde o grupo consistindo em trifluorometilsulfonato, nonanfluorobutanossulfonato e ptolilsulfonato ou outros exemplos contendo ânion de sulfonato -SO3 unido ao grupo orgânico. Em uma modalidade, o material fotorresistente de ácido não passível de proteção é um material de ácido não passível de proteção selecionado desde o grupo consistindo em um polímero, um vidro molecular, um carbossilano e um óxido de metal. Em uma modalidade, é usado um óxido de metal e não é necessária uma base
Petição 870190046922, de 20/05/2019, pág. 261/557
252/326 de liberação. Em uma modalidade, o material fotorresistente de ácido não passível de proteção inclui um material selecionado desde o grupo consistindo em um poli-hidroxiestireno, um polimetacrilato, versões de vidro molecular de baixo peso molecular de um poli-hidroxiestireno ou um polimetacrilato que contenham funcionalidade de éster sensível à desproteção catalisada por ácido para ácido carboxílico, um carbossilano e um óxido de metal possuindo funcionalidade sensível à desproteção catalisada por ácido ou reticulação.
[0598] Em uma modalidade, o comprimento de onda é de aproximadamente 365 nm. Em uma modalidade, o material fotorresistente de ácido não passível de proteção é substancialmente absorvente em um comprimento de onda de aproximadamente 13,5 nanômetros. Em uma modalidade, o material fotorresistente de ácido não passível de proteção é substancialmente absorvente em uma energia aproximadamente na faixa de 5 a 150 keV. Em uma modalidade, uma relação molar do componente PAG para o componente de geração de base corresponde pelo menos a 50:1.
[0599] Em relação novamente às Figuras 30A a 30E, 30A’ e 30A”, de acordo com uma modalidade da presente revelação, um método de seleção de um photobucket para processamento de semicondutores inclui o fornecimento de uma estrutura tendo um primeiro photobucket 3002 próximo de um segundo photobucket 3004. A estrutura é exposta a radiação ultravioleta extrema (EUV) ou de feixe de elétrons 3006, onde o primeiro photobucket 3002 é exposto à radiação EUV ou de feixe de elétrons 3006 em uma maior medida que o segundo photobucket 3004. Subsequente à exposição da estrutura à radiação EUV ou de feixe de elétrons 3006, é efetuada uma primeira cozedura dos primeiros e segundos photobuckets como é descrito em associação com a Figura 30B. Subsequente à realização da primeira cozedura, a estrutura para radiação ultravioleta (UV), onde o primeiro photobucket é exposto à
Petição 870190046922, de 20/05/2019, pág. 262/557
253/326 radiação UV aproximadamente na mesma medida que o segundo photobucket, como é descrito em associação com a Figura 30C. Subsequente à exposição da estrutura à radiação UV, é efetuada uma segunda cozedura dos primeiros e segundos photobuckets como é descrito em associação com a Figura 30D. Subsequente à realização da segunda cozedura, é desenvolvida a estrutura. O desenvolvimento abre o primeiro photobucket e deixa o segundo photobucket fechado como é descrito em associação com a Figura 30E.
[0600] Em uma modalidade, a exposição da estrutura a radiação ultravioleta extrema (EUV) ou de feixe de elétrons inclui a exposição da estrutura a energia tendo um comprimento de onda de aproximadamente 13,5 nanometres. Em outra modalidade, a exposição da estrutura a radiação ultravioleta extrema (EUV) ou de feixe de elétrons inclui a exposição da estrutura a energia na faixa de 5 a 150 keV. Em uma modalidade, a exposição da estrutura a radiação UV inclui a exposição da estrutura a energia tendo um comprimento de onda de aproximadamente 365 nanometres. Em uma modalidade, a primeira cozedura é efetuada a uma temperatura aproximadamente na faixa de 50 a 120 graus Celsius por uma duração de aproximadamente na faixa de 0,5 a 5 minutos. Em uma modalidade, a segunda cozedura é efetuada a uma temperatura aproximadamente na faixa de 100 a 180 graus Celsius por uma duração de aproximadamente na faixa de 0,5 a 5 minutos.
[0601] Em uma modalidade, em relação especificamente à Figura 30A, cada um do primeiro e segundo photobuckets inclui uma composição fotolizável incluindo um material fotorresistente de ácido não passível de proteção, um componente de geração fotoácida (PAG) e um componente de geração de fotobase. Em uma tal modalidade, a exposição da estrutura a radiação ultravioleta extrema (EUV) ou de feixe de elétrons inclui a ativação do componente PAG. A primeira cozedura
Petição 870190046922, de 20/05/2019, pág. 263/557
254/326 difunde ácido formado desde a ativação do componente PAG por todo o primeiro e o segundo photobuckets. & exposição da estrutura a radiação UV inclui a ativação do componente de geração de fotobase. A segunda cozedura resfria uma quantidade total de ácido formado no segundo photobucket com base gerada desde o componente de geração de fotobase, mas não resfria uma quantidade total de ácido formado no primeiro photobucket.
[0602] Em outra modalidade, em relação especificamente à Figura 30A!, cada um do primeiro e do segundo photobuckets inclui um componente de geração de fotobase enxertado ao longo de um fundo e paredes laterais do primeiro e segundo photobuckets e uma composição fotolizável formada dentro do componente de geração de fotobase enxertado. A composição fotolizável inclui um material fotorresistente de ácido não passível de proteção e um componente de geração fotoácida (PAG). Em uma tal modalidade, a exposição da estrutura a radiação ultravioleta extrema (EUV) ou de feixe de elétrons inclui a ativação do componente PAG. A primeira cozedura difunde ácido formado desde a ativação do componente PAG por todo o primeiro e o segundo photobuckets. A exposição da estrutura a radiação UV inclui a ativação do componente de geração de fotobase enxertado. A segunda cozedura resfria uma quantidade total de ácido formado no segundo photobucket com base gerada desde o componente de geração de fotobase, mas não resfria uma quantidade total de ácido formado no primeiro photobucket.
[0603] Em outra modalidade, em relação especificamente à Figura 30A”, cada um do primeiro e do segundo photobuckets inclui uma composição fotolizável incluindo um material fotorresistente de ácido não passível de proteção e um componente de geração fotoácida (PAG). O método inclui ainda, subsequente à realização da primeira cozedura e antes da exposição da estrutura a radiação ultravioleta (UV),
Petição 870190046922, de 20/05/2019, pág. 264/557
255/326 a formação de uma camada incluindo um componente de geração de base no primeiro e segundo photobuckets. Em uma tal modalidade, a exposição da estrutura a radiação ultravioleta extrema (EUV) ou de feixe de elétrons inclui a ativação do componente PAG. A primeira cozedura difunde ácido formado desde a ativação do componente PAG por todo o primeiro e o segundo photobuckets. A exposição da estrutura a radiação UV inclui a ativação do componente de geração de base. A segunda cozedura resfria uma quantidade total de ácido formado no segundo photobucket com base gerada desde o componente de geração de base, mas não resfria uma quantidade total de ácido formado no primeiro photobucket.
[0604] Em qualquer um dos casos descritos acima, em uma modalidade, o desenvolvimento da estrutura inclui, no caso do desenvolvimento de tom positivo, imersão ou revestimento com desenvolvedor TMAH aquoso padrão (por exemplo, em uma faixa de concentração de 0,1 M a 1M) ou outro desenvolvedor aquoso ou alcoólico baseado em hidróxidos de tetra-alquilamônio por 30 a 120 segundos, se seguindo um enxaguamento com água deionizada (Dl Deionized). Em outra modalidade, no caso de desenvolvimento de tom negativo, o desenvolvimento da estrutura inclui imersão ou revestimento com solventes orgânicos, como por exemplo ciclo-hexanona, 2heptanona, acetato de metiletila-propilenoglicol ou outros, se seguindo o enxaguamento com outro solvente orgânico, como por exemplo hexano, heptano, ciclo-hexano ou afins.
[0605] Em uma modalidade exemplificative, as abordagens descritas acima se baseiam em abordagens usando os chamados photobuckets, nos quais cada membro possível, por exemplo via, é prépadronizado em um substrato. Em seguida, os membros padronizados são cheios com uma fotorresistência e a operação de litografia é meramente usada para escolher vias selecionadas para formação de
Petição 870190046922, de 20/05/2019, pág. 265/557
256/326 aberturas de vias. Em uma modalidade particular, é usada uma operação de litografia para definir uma lacuna relativamente grande por cima de uma pluralidade de photobuckets que incluem uma fotorresistência de cozedura de dois andares, como descrito acima. A abordagem de photobuckets de fotorresistência de cozedura de dois andares possibilita maiores dimensões críticas (CDs) e/ou erros na sobreposição, retendo ao mesmo tempo a capacidade de escolher a via de interesse.
[0606] De acordo com uma modalidade da presente revelação, é descrita a reversão de tom de imagem da resistência, por exemplo, para photobuckets. Uma ou mais modalidades aqui descritas são direcionadas para uma classe de materiais com propriedades especiais para permitir a reversão de padrão (por exemplo, lacunas revertidas em pinos), e abordagens de processamento relacionadas e as estruturas daí resultantes. A classe de materiais pode ser uma classe de materiais macios, por exemplo, material tipo fotorresistência. Como uma abordagem geral, um material tipo resistente é depositado em uma máscara dura pré-padronizada. O material tipo resistência pode depois ser selecionado com uma ferramenta de litografia de alta resolução, por exemplo, uma ferramenta de processamento ultravioleta extrema (EUV). Por outro lado, o material tipo resistente pode em vez disso ser deixado permanecer permanentemente em uma estrutura finalmente fabricada, por exemplo, como um material dielétrico entre camadas (ILD) ou estrutura (plugues) que forme quebras entre linhas de metal. Os problemas de sobreposição (colocação de beiras) antecipados para padronização de plugues da próxima geração podem ser resolvidos por uma ou mais abordagens aqui descritas.
[0607] Mais especificamente, uma ou mais modalidades aqui descritas são direcionadas para o uso de um dielétrico centrífugo (por exemplo, ILD) com propriedades particulares que permitem o
Petição 870190046922, de 20/05/2019, pág. 266/557
257/326 enchimento de lacunas (buckets) em uma camada fotorresistente padronizada sem destruir o padrão de camadas fotorresistentes. Primeiro, o material dielétrico centrífugo é introduzido em um solvente que não se dissolve nem causa intermistura da fotorresistência e do material dielétrico. Deve ser reconhecido que é requerida uma boa capacidade de enchimento de lacunas. A reticulação (ou endurecimento) inicial da película dielétrica centrífuga é alcançada em condições onde a fotorresistência e o dielétrico centrífugo não se intermisturam e perdem informações de padrão. Após a reversão do padrão, o material dentro do bucket é depois convertido através de cozedura/cura em um dielétrico com propriedades desejadas, como por exemplo valor k, módulo, seletividade de gravação, etc. Embora não limitado a esse material, um material dielétrico centrífugo baseado em um bloco de construção de 1,3,5-trisilaciclo-hexano pode ser implementado para satisfazer os critérios acima. A reticulação com perda de solubilidade desse material (ou outra dielétrica à base de silício) pode ser iniciada quer termicamente quer a temperaturas mais baixas mediante o uso de processos de catalisador de ácido, base ou ácido de Lewis. Em uma modalidade, essa catálise a baixa temperatura é crítica para a implementação de abordagens aqui descritas.
[0608] Em uma modalidade, as abordagens aqui descritas envolvem a tomada de um melhor desempenho de imagens (por exemplo, provenientes de materiais de tom positivo) de modo a produzir um padrão de tom negativo, onde a película final possui propriedades de material procuradas. As propriedades de material final podem ser parecidas com as de um material dielétrico/ILD de baixo k de alto desempenho. Em oposição, as opções do estado da técnica para padronização direta de películas dielétricas são limitadas e não se espera que exibam o desempenho litográfico necessário para poderem ser fabricadas para futuras gerações de tecnologia reduzida.
Petição 870190046922, de 20/05/2019, pág. 267/557
258/326 [0609] Como descrito abaixo em mais detalhes em associação com as Figuras 31 e 32A a 32H, de acordo com modalidades aqui descritas, as valas pré-padronizadas em um material ILD são cheias com fotorresistência quimicamente ampliada. Usando litografia de alta resolução (por exemplo, EUV), as lacunas selecionadas dentro das valas são expostas e removidas por via de processamento convencional de tom positivo. Nesse estágio, as lacunas vazias são tratadas com uma camada de pré-catalisador. Em uma tal modalidade, a camada de précatalisador é uma camada de catalisador fixa contendo monocamada automontada (SAM - Self-Assembled Monolayer). As lacunas decoradas resultantes são depois cheias com precursor dielétrico, com sobrecarga acompanhante. A localização (ou proximidade próxima) do catalisador nas lacunas origina reticulação seletiva e endurecimento do dielétrico somente nas lacunas. A sobrecarga e a fotorresistência são removidas, se seguindo a cura final do dielétrico (se necessário) e processos de metalização.
[0610] De acordo com uma modalidade da presente revelação, uma funcionalidade essencial de abordagens aqui descritas envolve a acomodação de densidade de padrão variável com espessura variável de sobrecarga. Em uma modalidade, essa acomodação é permitida uma vez que a reticulação somente ocorre na/junto da lacuna e uma sobrecarga removida por fim por planarização (por exemplo, por polimento químico-mecânico). Em uma modalidade, a reticulação seletiva de um material dielétrico em uma lacuna é efetuada sem efetuar o mesmo em regiões de sobrecarga. Em uma modalidade particular, após o desenvolvimento e a padronização litográfica de tom positivo, é exposta uma superfície terminada Si-OH hidrofílica nas lacunas e em qualquer lugar de onde tenha sido removida uma fotorresistência. A superfície hidrofílica pode se encontrar presente antes do revestimento fotorresistente ou criada durante, por exemplo, o desenvolvimento de
Petição 870190046922, de 20/05/2019, pág. 268/557
259/326 hidróxido de tetrametilamônio (TMAH) ou subsequentes enxaguamentos. Deve ser reconhecido que a fotorresistência que não foi exposta e desenvolvida manterá a natureza ligeira ou fortemente hidrofóbica característica e, desse modo, o processo de padronização cria eficazmente domínios hidrofílicos e hidrofóbicos.
[0611] Em uma modalidade, a superfície hidrofílica exposta é funcionalizada com um agente de enxerto de superfície que transporta o catalisador ou pré-catalisador necessário para reticular um material dielétrico. O subsequente revestimento do dielétrico origina o enchimento de lacunas com sobrecarga como descrito acima e como ilustrado abaixo em mais detalhes. Após a ativação e difusão controlada do pré-catalisador com, por exemplo, uma cozedura de baixa temperatura, o material dielétrico é seletivamente reticulado na lacuna com reticulação mínima ocorrendo na sobrecarga, ou seja, diretamente por cima da lacuna. O material dielétrico sobrecarregado pode depois ser removido usando solvente de fundição ou dissolução em outro solvente. Deve ser reconhecido que o processo de remoção pode igualmente remover a fotorresistência, ou a fotorresistência pode ser removida com outro solvente ou por um processo de incineração. Em uma modalidade, com o tom revertido, o material dielétrico pode ser cozido/curado a uma temperatura relativamente mais alta antes da metalização ou outro processamento.
[0612] De acordo com uma ou mais modalidades aqui descritas, existem diversas abordagens para instalação do catalisador ou précatalisador em uma lacuna. Para alguns materiais dielétricos, são requeridos ácidos de Bronsted. Em outros casos, podem ser empregues ácidos de Lewis fortes. Para facilitar a descrição nesse caso, o termo ácido é usado para se referir a ambos os cenários. Em uma modalidade, é empregue a adsorção direta de catalisador ou précatalisador. Nesse cenário, o catalisador é revestido em uma superfície
Petição 870190046922, de 20/05/2019, pág. 269/557
260/326 hidrofílica e mantido fortemente por via de união H ou outra interação eletrostática. O subsequente revestimento de um material dielétrico origina precursor de ácido e dielétrico localizado na lacuna, onde a ativação térmica ou outra inicia a química de reticulação desejada. Em uma modalidade exemplificative, a reação de uma superfície rica em SiOH com o forte ácido de Lewis B(C6Fs)3 origina a formação de Si-OB(C6F5)3H+. Esse ácido de Lewis resultante é usado para catalisar a reticulação de moléculas precursoras de hidrossilano a uma temperatura relativamente mais baixa que os processos não catalisados. Em uma modalidade, o grande tamanho do catalisador empregue minimiza difusão nas regiões sobrecarregadas.
[0613] Em outra modalidade, as abordagens envolvem aderência covalente de um catalisador ou pré-catalisador por via de químicas de silano, como por exemplo silanos de cloro, alcóxi e amino ou outros grupos de enxerto de superfície que possam incluir siloxanos, cloretos de silila, alquenos, alquinos, aminas, fosfinas, tióis, ácidos fosfônicos ou ácidos carboxílicos. Nesse cenário, um catalisador ou pré-catalisador é covalentemente ligado a um agente de enxerto. Por exemplo, geradores de ácido bem conhecidos (por exemplo, foto ou térmico) à base de sais de ônio podem ser fixos a siloxanos (por exemplo, [(MeOjsSiCH2CH2CH2SR2HX], onde R = grupos alquilo ou arilo e X = ânions de má coordenação, como por exemplo triflato, nonaflato, H-B(C6F5)3, BF4, etc.). O catalisador ou pré-catalisador pode ser seletivamente fixo ao ILD de interesse ou seletivamente removido da resistência usando processos térmicos, de gravação a seco ou de gravação a úmido. Ainda em outra modalidade, 0 catalisador ou pré-catalisador é introduzido antes do revestimento fotorresistente usando técnicas similares. Nesse cenário, para ser eficaz, 0 material enxertado não pode interferir com a litografia e tem de sobreviver após 0 processamento.
[0614] Como um veículo exemplificativo para demonstrar os
Petição 870190046922, de 20/05/2019, pág. 270/557
261/326 conceitos aqui descritos, a Figura 31 ilustra uma vista angular de um padrão alternado de linhas dielétricas entre camadas (ILD) e linhas de resistência, com uma lacuna formada em uma das linhas de resistência, de acordo com uma modalidade da presente revelação. Em relação à Figura 31, um padrão 3100 inclui linhas ILD 3102 e linhas de resistência 3104 alternadas. Uma lacuna 3106 é formada em uma das linhas de resistência 3104, por exemplo, por litografia convencional. Como descrito abaixo, em associação com as Figuras 32A a 32H, um padrão, como por exemplo o padrão 3100, pode ser submetido a reversão de tom.
[0615] Em um fluxo de processo exemplificativo, as Figuras 32A a 32H ilustram vistas em corte transversal em um processo de fabricação envolvendo reversão de tom de imagem com um dielétrico usando reticulação ascendente, de acordo com uma modalidade da presente revelação.
[0616] A Figura 32A ilustra uma vista em corte transversal de uma estrutura inicial após a pré-padronização de valas 3204 em um material ILD 3202. As selecionadas das valas 3204 são cheias com uma fotorresistência quimicamente ampliada 3206, enquanto as outras foram processadas para fornecer valas não cheias (ou porções de vala não cheias, como mostrado na Figura 31). Por exemplo, em uma modalidade, usando litografia de alta resolução (por exemplo, litografia ultravioleta extrema (EUV)), as lacunas selecionadas dentro das valas 3204 são expostas e removidas por via de processamento convencional de tom positivo.
[0617] Embora não retratado por motivos de simplicidade, deve ser reconhecido que as valas não cheias (ou lacunas formadas dentro de valas cheias) podem expor membros subjacentes, como por exemplo linhas de metal subjacentes, na região 3208. Ademais, em uma modalidade, a estrutura inicial pode ser padronizada em um padrão tipo
Petição 870190046922, de 20/05/2019, pág. 271/557
262/326 grade com valas espaçadas em um afastamento constante e tendo uma largura constante. O padrão, por exemplo, pode ser fabricado por uma abordagem de redução a metade do afastamento ou divisão em quatro do afastamento. Algumas das valas podem ser associadas a vias subjacentes ou linhas de metalização de nível mais baixo.
[0618] A Figura 32B ilustra uma vista em corte transversal da estrutura da Figura 32A após o tratamento das valas ou lacunas vazias com uma camada de pré-catalisador 3210 que, em uma modalidade, é um material de catalisador contendo monocamada automontada (SAM). Em uma tal modalidade, como retratado, a camada de pré-catalisador 3210 é formada em porções expostas do ILD 3202, mas não em porções expostas da resistência 3206 ou qualquer metal exposto, como por exemplo nas regiões 3208. Em uma modalidade, a camada de précatalisador 3210 é formada expondo a estrutura da Figura 32A a moléculas de formação de pré-catalisador na fase de vapor ou moléculas dissolvidas em solvente. Em uma modalidade, a camada de pré-catalisador é uma camada de catalisador ou pré-catalisador formada por adsorção direta, como descrito acima. Em outra modalidade, a camada de pré-catalisador 3210 é uma camada de catalisador ou pré-catalisador formada por aderência covalente.
[0619] A Figura 32C ilustra uma vista em corte transversal da estrutura da Figura 32B após o enchimento das lacunas decoradas resultantes com um material dielétrico 3212. Deve ser reconhecido que o material dielétrico 3212 tem uma porção 3212A enchendo as valas ou lacunas e uma porção 3212B por cima das valas ou lacunas. As porções 3212B são aqui referidas como sobrecarga. Em uma modalidade, o material dielétrico 3212 é um material dielétrico centrífugo.
[0620] Em uma modalidade, o material dielétrico 3212 é selecionado desde uma classe de materiais baseada em moléculas de precursor de hidrossilano, onde o catalisador medeia a reação de uniões
Petição 870190046922, de 20/05/2019, pág. 272/557
263/326
Si-H com reticuladores, como por exemplo água, tetraetoxiortossilicato (TEOS), hexaetoxitrissilaciclo-hexano ou reticuladores multifuncionais similares. Em uma tal modalidade, o material dielétrico 3212 inclui trissilaciclo-hexanos que podem subsequentemente ser ligados uns aos outros por grupos O. Em outras modalidades, são usados precursores dielétricos à base de silano de alcóxi ou silsesquioxano (SSQ) para o material dielétrico 3212.
[0621] A Figura 32D ilustra uma vista em corte transversal da estrutura da Figura 32C após a reticulação das porções 3212A do material dielétrico 3212. Em uma modalidade, a localização (ou proximidade próxima) do catalisador (por exemplo, camada de précatalisador 3210) nas valas ou lacunas não cheias origina reticulação seletiva para formar regiões reticuladas 3214 e endurecimento das porções 3212A do material dielétrico 3212 somente nas lacunas. Ou seja, em uma modalidade, as porções 3212B do material dielétrico 3212 não são reticuladas. Em uma modalidade, a reticulação usada para formar regiões 3214 é efetuada por um processo de cura térmica, ou seja, por aquecimento.
[0622] Em uma modalidade, o material dielétrico 3212 inclui trissilaciclo-hexanos e a reticulação usada para formar regiões 3214 inclui a ligação de trissilaciclo-hexanos uns aos outros por grupos O. Em relação à Figura 33A, é ilustrado um trissilaciclo-hexano 3300. Em relação à Figura 33B, duas moléculas de trissilaciclo-hexano reticuladas (XL - Cross-Linked) 3300 formam um material reticulado 3320. A Figura 33C ilustra uma representação idealizada de uma estrutura de trissilaciclo-hexano 3340 ligada. Deve ser reconhecido que, na realidade, a estrutura 3340 é usada para representar uma mistura complexa de oligômeros, mas o ponto comum são os anéis de trissilaciclo-hexano H capeados.
[0623] A Figura 32E ilustra uma vista em corte transversal da
Petição 870190046922, de 20/05/2019, pág. 273/557
264/326 estrutura da Figura 32D após remoção das regiões sobrecarregadas 3212B do material dielétrico 3212. A Figura 32F ilustra uma vista em corte transversal da estrutura da Figura 32E após remoção da resistência 3206 seletivamente para as regiões reticuladas 3214. Em uma modalidade, como é retratado, a resistência 3206 é removida em uma operação de processamento subsequente e diferente (como por exemplo uma segunda operação de desenvolvimento químico a úmido) da operação de processamento usada para remover as regiões sobrecarregadas 3212B do material dielétrico 3212 (como por exemplo uma primeira operação de desenvolvimento químico a úmido). Em outra modalidade, todavia, a resistência 3206 é removida em uma mesma operação de processamento usada para remover as regiões sobrecarregadas 3212B do material dielétrico 3212 (como por exemplo uma operação de desenvolvimento químico a úmido). Em uma modalidade, as regiões reticuladas 3214 remanescentes são submetidas a um processo de cura adicional (por exemplo, aquecimento adicional após o processo de cura de reticulação). Em uma modalidade, a cura adicional é efetuada após remoção da resistência 3206 e das regiões sobrecarregadas 3212B.
[0624] A Figura 32G ilustra uma vista em corte transversal da estrutura da Figura 32F após formação de uma camada de enchimento de metal 3216. A camada de enchimento de metal 3216 pode ser formada nas valas (ou lacunas) abertas da Figura 32F e em regiões sobrecarregadas. A camada de enchimento de metal pode ser uma única camada de material, ou pode ser formada por diversas camadas, incluindo camadas de revestimento condutivo e camadas de enchimento. Qualquer processo de deposição adequado, como por exemplo galvanização, deposição química de vapor ou deposição física de vapor, pode ser usado para formar a camada de enchimento de metal 3216. Em uma modalidade, a camada de enchimento de metal 3216 é
Petição 870190046922, de 20/05/2019, pág. 274/557
265/326 composta por um material condutivo, como por exemplo, mas não se limitando a, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au ou ligas dos mesmos.
[0625] A Figura 32H ilustra uma vista em corte transversal da estrutura da Figura 32G após planarização da camada de enchimento de metal para formar membros de metal 3218 (por exemplo, vias ou linhas de metal). Em uma modalidade, a planarização da camada de enchimento de metal 3216 para formar membros de metal 3218 é efetuada usando um processo de polimento químico-mecânico. Uma estrutura resultante exemplificativa é mostrada na Figura 32H, onde membros de metal 3218 alternam com regiões (dielétricas) reticuladas 3214 em um material ILD 3202.
[0626] Deve ser reconhecido que a estrutura resultante da Figura 32H pode subsequentemente ser usada como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 32H pode representar a camada de interconexões de metal final em um circuito integrado. Ademais, deve ser reconhecido que os exemplos acima não incluem camadas de capeamento de metal ou paragem de gravação nas Figuras que podem de outro modo ser necessárias para padronização. Todavia, por motivos de clareza, essas camadas não são incluídas nas Figuras, uma vez que não afetam o conceito de enchimento ascendente global.
[0627] Com referência novamente às Figuras 32A a 32H, esse esquema de padronização pode ser implementado como uma abordagem de padronização integrada que envolve a criação de estruturas regulares cobrindo todas as localizações possíveis, se seguindo uma padronização seletiva somente dos membros desejados. As regiões reticuladas 3214 representam um material que pode permanecer em uma estrutura final como um ILD entre as extremidades das linhas de metal (por exemplo, como plugues).
Petição 870190046922, de 20/05/2019, pág. 275/557
266/326 [0628] De acordo com uma modalidade da presente revelação, é descrita a padronização de máscaras diagonais. Uma ou mais modalidades aqui descritas são direcionadas para padronização de máscaras duras diagonais para melhoramentos de sobreposição, particularmente na fabricação de membros na extremidade traseira de linha (BEOL) para circuitos integrados semicondutores. As aplicações de padronização baseadas em máscaras duras diagonais podem incluir, mas não necessitam de ser limitadas a, implementação em litografia de imersão de 193 nm, litografia ultravioleta extrema (EUV), fabricação de interconexões, melhoramentos de sobreposição, montante de sobreposição, padronização de plugues, padronização de vias. As modalidades podem ser particularmente úteis para a fabricação autoalinhada de estruturas BEOL.
[0629] Em uma modalidade, as abordagens aqui descritas envolvem um esquema de integração que tolera uma maior margem de sobreposição de vias e plugues em relação a abordagens existentes. Em uma tal modalidade, todos os potenciais plugues e vias são prépadronizados e cheios com resistência para formar uma pluralidade de photobuckets. Subsequentemente, em uma modalidade específica, é usada litografia EUV ou de 193 nm para selecionar certas localizações de vias e plugues para fabricação efetiva e final de vias e plugues. Em uma modalidade, é usada padronização de linhas diagonais para aumentar distâncias vizinhas mais próximas resultando em um aumento em um fator da raiz quadrada de dois no montante de sobreposição. Mais especificamente, uma ou mais modalidades aqui descritas envolvem o uso de um método subtrativo para pré~formar todas as vias e todos os plugues usando as valas já gravadas. Uma operação adicional é depois usada para selecionar qual das vias ou plugues a reter. Essas operações são ilustradas usando photobuckets, embora o processo de seleção possa igualmente ser efetuado usando uma
Petição 870190046922, de 20/05/2019, pág. 276/557
267/326 abordagem de exposição à resistência e reaterro ILD mais convencional.
[0630] Em um aspecto, pode ser implementada uma abordagem de máscara dura diagonal. Como um exemplo, as Figuras 34A a 34X ilustram porções de camadas de circuito integrado representando várias operações em um método de padronização de vias e plugues autoalinhados usando máscaras duras diagonais, de acordo com uma modalidade da presente revelação. Em cada ilustração em cada operação descrita, são mostradas vistas em corte transversal e/ou em planta e/ou angulares. Essas vistas serão aqui referidas como vistas em corte transversal, vistas em planta e vistas angulares correspondentes. [0631] A Figura 34A ilustra uma vista em corte transversal de uma estrutura inicial 3400 após a deposição, mas antes da padronização, de uma primeira camada de material de máscara dura 3404 formada em uma camada dielétrica entre camadas (ILD) 3402, de acordo com uma modalidade da presente revelação. Em relação à Figura 34A, uma máscara padronizada 3406 tem espaçadores 3408 formados ao longo de paredes laterais dos mesmos, na ou por cima da primeira camada de material de máscara dura 3404.
[0632] A Figura 34B ilustra uma vista em corte transversal da estrutura da Figura 34A após padronização da primeira camada de máscara por duplicação de afastamento, de acordo com uma modalidade da presente revelação. Em relação à Figura 34B, a máscara padronizada 3406 é removida e o padrão resultante dos espaçadores 3408 é transferido, por exemplo, por um processo de gravação, para a primeira camada de material de máscara dura 3404 para formar uma primeira máscara dura padronizada 3410. Em uma tal modalidade, a primeira máscara dura padronizada 3410 é formada com um padrão de grade, como é retratado na Figura 34B. Em uma modalidade, a estrutura de grade da primeira máscara dura padronizada 3410 é uma estrutura
Petição 870190046922, de 20/05/2019, pág. 277/557
268/326 de grade de afastamento apertado. Em uma modalidade específica assim, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado (máscara 3406), mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador, como é retratado nas Figuras 34A e 34B. Ainda mais, embora não mostrado, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da primeira máscara dura padronizada 3410 da Figura 34B pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante.
[0633] A Figura 34C ilustra uma vista em corte transversal da estrutura da Figura 34B após formação de uma segunda máscara dura padronizada, de acordo com uma modalidade da presente revelação. Em relação à Figura 34C, é formada uma segunda máscara dura padronizada 3412 intercalada com a primeira máscara dura padronizada 3410. Em uma tal modalidade, a segunda máscara dura padronizada 3412 é formada por deposição de uma segunda camada de material de máscara dura (por exemplo, tendo uma composição diferente da primeira camada de material de máscara dura 3404). A segunda camada de material de máscara dura é depois planarizada, por exemplo, por polimento químico-mecânico (CMP), para fornecer a segunda máscara dura padronizada 3412.
[0634] A Figura 34D ilustra uma vista em corte transversal da estrutura da Figura 34C após deposição de uma camada de capa de máscara dura (terceira camada de máscara dura), de acordo com uma modalidade da presente revelação. Em relação à Figura 34D, uma camada de capa de máscara dura 3414 é formada na primeira máscara dura padronizada 3410 e na primeira máscara dura padronizada 3412.
Petição 870190046922, de 20/05/2019, pág. 278/557
269/326
Em uma tal modalidade, a composição de material e seletividade de gravação da camada de capa de máscara dura 3414 são diferentes em comparação com a primeira máscara dura padronizada 3410 e a primeira máscara dura padronizada 3412.
[0635] A Figura 34E ilustra uma vista angular da estrutura da Figura 34D após padronização da camada de capa de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 34E, é formada uma camada de capa de máscara dura padronizada 3414 na primeira máscara dura padronizada 3410 e na primeira máscara dura padronizada 3412. Em uma tal modalidade, a camada de capa de máscara dura padronizada 3414 é formada com um padrão de grade ortogonal ao padrão de grade da primeira máscara dura padronizada 3410 e da primeira máscara dura padronizada 3412, como é retratado na Figura 34E. Em uma modalidade, a estrutura de grade formada pela camada de capa de máscara dura padronizada 3414 é uma estrutura de grade de afastamento apertado. Em uma tal modalidade, o afastamento apertado não é alcançável diretamente através de litografia convencional. Por exemplo, um padrão baseado em litografia convencional pode ser primeiro formado, mas o afastamento pode ser reduzido a metade mediante o uso de padronização de máscaras de espaçador. Ainda mais, o afastamento original pode ser dividido em quatro em uma segunda ronda de padronização de máscaras de espaçador. Conformemente, o padrão tipo grade da camada de capa de máscara dura padronizada 3414 da Figura 34E pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante. Deve ser reconhecido que a descrição aqui respeitante à formação e padronização de uma camada de máscara dura (ou camada de capa de máscara dura, como por exemplo camada de capa de máscara dura 3414) envolve, em uma modalidade, formação de máscaras por cima de uma máscara dura de
Petição 870190046922, de 20/05/2019, pág. 279/557
270/326 cobertura ou camada de capa de máscara dura. A formação de máscaras pode envolver o uso de uma ou mais camadas adequadas para processamento litográfico. Após padronização de uma ou mais camadas Htográficas, o padrão é transferido para a máscara dura ou camada de capa de máscara dura por um processo de gravação para fornecer uma máscara dura ou camada de capa de máscara dura padronizada.
[0636] A Figura 34F ilustra uma vista angular e correspondente vista em planta da estrutura da Figura 34E após mais padronização da primeira máscara dura padronizada, de acordo com uma modalidade da presente revelação. Em relação à Figura 34F, usando a camada de capa de máscara dura padronizada 3414 como uma máscara, a primeira máscara dura padronizada 3410 é ainda padronizada para formar a primeira máscara dura padronizada 3416. A segunda máscara dura padronizada 3412 não é mais padronizada nesse processo. Em uma modalidade, a primeira máscara dura padronizada 3410 é padronizada até uma profundidade suficiente para expor regiões de camada ILD 3402 como é retratado na Figura 34F.
[0637] A Figura 34G ilustra uma vista em planta da estrutura da Figura 34F após remoção da camada de capa de máscara dura e formação de uma quarta camada de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 34G, a camada de capa de máscara dura (terceira camada de máscara dura) 3414 é removida, por exemplo, por um processo de gravação a úmido, processo de gravação a seco ou processo CMP. Uma quarta camada de máscara dura 3418 é formada na estrutura resultante por, em uma modalidade, um processo de deposição e CMP. Em uma modalidade, a quarta camada de máscara dura 3418 é formada por deposição de uma camada de material diferente do material da segunda camada de máscara dura padronizada 3412 e da primeira camada de máscara dura
Petição 870190046922, de 20/05/2019, pág. 280/557
271/326 padronizada 3416.
[0638] A Figura 34H ilustra uma vista em planta da estrutura da Figura 34G após deposição e padronização de uma primeira camada de máscara dura diagonal, de acordo com uma modalidade da presente revelação. Em relação à Figura 34H, é formada uma primeira camada de máscara dura diagonal 3420 na organização de quarta camada de máscara dura 3418, segunda camada de máscara dura padronizada 3412 e primeira camada de máscara dura padronizada 3416 da Figura 34G. Em uma modalidade, a primeira camada de máscara dura diagonal 3420 tem um padrão essencial ou altamente diagonal simetricamente, por exemplo, a 45 graus relativamente à estrutura de grade da segunda camada de máscara dura padronizada 3412, para cobrir linhas alternadas da quarta camada de máscara dura 3418. Em uma modalidade, o padrão diagonal da primeira camada de máscara dura diagonal 3420 é impresso na dimensão crítica (CD) mínima, ou seja, sem o uso de redução a metade do afastamento ou divisão em quatro do afastamento. Deve ser reconhecido que as linhas individuais podem ser impressas ainda maiores que a CD mínima, desde que alguma área de filas adjacentes da quarta camada de máscara dura 3418 permaneça revelada. De qualquer das formas, o padrão tipo grade da primeira camada de máscara dura diagonal 3420 da Figura 34H pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante. Deve ser reconhecido que a descrição aqui relativa à formação e padronização de uma camada de máscara diagonal (como por exemplo a primeira camada de máscara dura diagonal 3420) envolve, em uma modalidade, a formação de máscaras por cima de uma camada de máscara dura de cobertura. A formação de máscaras pode envolver o uso de uma ou mais camadas adequadas para processamento litográfico. Após a padronização de uma ou mais camadas litográficas, o padrão é transferido para a camada de máscara
Petição 870190046922, de 20/05/2019, pág. 281/557
272/326 dura por um processo de gravação para fornecer uma camada de máscara dura diagonalmente padronizada. Em uma modalidade particular, a primeira camada de máscara dura diagonal é uma camada de máscara dura à base de carbono.
[0639] A Figura 341 ilustra uma vista em planta da estrutura da Figura 34H após remoção de regiões reveladas da quarta camada de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 341, usando a primeira camada de máscara dura diagonal 3420 como uma máscara, são removidas regiões reveladas da quarta camada de máscara dura 3418. Em uma tal modalidade, as regiões reveladas da quarta camada de máscara dura 3418 são removidas por um processo de gravação isotrópica (por exemplo, um processo de gravação a úmido ou processo de gravação por plasma não anisotrópica), de modo que qualquer exposição parcial origine a remoção total do bloco parcialmente revelado do quarto material de máscara dura. Em uma modalidade, as regiões de onde a quarta camada de máscara dura 3418 foi removida revelam porções da camada ILD 3402, como é retratado na Figura 34I.
[0640] A Figura 34J ilustra uma vista em planta da estrutura da Figura 34I após remoção da primeira camada de máscara dura diagonal, de acordo com uma modalidade da presente revelação. Em relação à Figura 34J, a primeira camada de máscara dura diagonal 3420 é removida para revelar a primeira camada de máscara dura padronizada 3416 e a segunda camada de máscara dura padronizada 3412. Igualmente reveladas são as porções da quarta camada de máscara dura 3418 que foram protegidas contra gravação isotrópica pela primeira camada de máscara dura diagonal 3420. Conformemente, ao longo de cada fila alternada ou no sentido descendente de cada coluna alternada do padrão tipo grelha resultante da Figura 34J, uma região da quarta camada de máscara dura 3418 é alternada com uma
Petição 870190046922, de 20/05/2019, pág. 282/557
273/326 região revelada da camada ILD subjacente 3402. Ou seja, o resultado é um padrão de tabuleiro de xadrez de regiões de camada ILD 3402 e regiões da quarta camada de máscara dura 3418. Desse modo, é alcançado um aumento em um fator da raiz quadrada de dois na distância vizinha mais próxima 3422 (mostrada como distância na direção b). Em uma modalidade particular, a primeira camada de máscara dura diagonal 3420 é um material de máscara dura à base de carbono e é removida com um processo de incineração por plasma.
[0641] A Figura 34K ilustra uma vista em planta da estrutura da Figura 34J após formação da primeira pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 34K, é formada uma primeira pluralidade de photobuckets 3424 nas aberturas por cima da camada ILD 3402, de modo que nenhuma porção da camada ILD 3402 permaneça revelada. Os photobuckets 3424, nesse estágio, representam uma primeira metade de todas as possíveis localizações de vias em uma camada de metalização resultante.
[0642] A Figura 34L ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo a-a’) da estrutura da Figura 34K após exposição e desenvolvimento de photobuckets para formar localizações de vias selecionadas e subsequente gravação de aberturas de vias no ILD subjacente, de acordo com uma modalidade da presente revelação. Em relação à Figura 34L, os photobuckets 3424 selecionados são expostos e removidos para fornecer localizações de vias 3426 selecionadas. As localizações de vias 3426 são submetidas a um processo de gravação seletiva, como por exemplo um processo de gravação por plasma seletiva, para estender aberturas de vias até à camada ILD subjacente 3402, formando a camada ILD padronizada 3402’. A gravação é seletiva para photobuckets 3424 não expostos remanescentes, seletiva para a
Petição 870190046922, de 20/05/2019, pág. 283/557
274/326 primeira camada de máscara dura padronizada 3416, seletiva para a segunda camada de máscara dura padronizada 3412 e seletiva para a quarta camada de máscara dura 3418.
[0643] A Figura 34M ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo dos eixos b-b’) da estrutura da Figura 34L após remoção dos photobuckets remanescentes e subsequente formação de um quinto material de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 34M, os remanescentes da primeira pluralidade de photobuckets 3424 são removidos, por exemplo, por um processo de incineração ou gravação seletiva. Todas as aberturas reveladas (por exemplo, aberturas formadas após remoção de photobuckets 3424 junto com as localizações de vias 3426) são depois cheias com um material de máscara dura 3428, como por exemplo um material de máscara dura à base de carbono.
[0644] A Figura 34N ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo c-c!) da estrutura da Figura 34M após remoção das regiões remanescentes da quarta camada de máscara dura, de acordo com uma modalidade da presente revelação. Em relação à Figura 34N, todas as regiões remanescentes da quarta camada de máscara dura 3418 são removidas, por exemplo, por um processo de incineração ou gravação seletiva. Em uma modalidade, as regiões de onde a quarta camada de máscara dura 3418 remanescente foi removida revelam porções da camada ILD padronizada 3402’, como é retratado na Figura 34N.
[0645] A Figura 340 ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo d-d’) da estrutura da Figura 34N após formação da segunda pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 340, é formada uma segunda pluralidade de
Petição 870190046922, de 20/05/2019, pág. 284/557
275/326 photobuckets 3430 nas aberturas por cima da camada ILD padronizada 3402’, de modo que nenhuma porção da camada ILD padronizada 3402’ permaneça revelada. Os photobuckets 3430, nesse estágio, representam uma segunda metade de todas as possíveis localizações de vias em uma camada de metalização resultante.
[0646] A Figura 34P ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo e-e’) da estrutura da Figura 340 após exposição e desenvolvimento de photobuckets para formar localizações de vias selecionadas e subsequente gravação de aberturas de vias no ILD subjacente, de acordo com uma modalidade da presente revelação. Em relação à Figura 34P, os photobuckets 3430 selecionados são expostos e removidos para fornecer localizações de vias 3432 selecionadas. As localizações de vias 3432 são submetidas a um processo de gravação seletiva, como por exemplo um processo de gravação por plasma seletiva, para estender aberturas de vias até à camada ILD padronizada 3402’ subjacente, formando ainda a camada ILD padronizada 3402”. A gravação é seletiva para photobuckets 3430 não expostos remanescentes, seletiva para a primeira camada de máscara dura padronizada 3416, seletiva para a segunda camada de máscara dura padronizada 3412 e seletiva para o material de máscara dura 3428.
[0647] A Figura 34Q ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo dos eixos f-f) da estrutura da Figura 34P após remoção do quinto material de máscara dura, gravação de valas e subsequente formação de camadas sacrificiais, de acordo com uma modalidade da presente revelação. Em relação à Figura 34Q, é removida a camada de material de máscara dura 3428, revelando a totalidade das primeiras e segundas metades originais das potenciais localizações de vias. A camada ILD padronizada 3402” é depois padronizada para formar a camada ILD 3402”’ que inclui
Petição 870190046922, de 20/05/2019, pág. 285/557
276/326 as aberturas de vias 3432 e 3426, junto com valas 3436 onde não foram formadas aberturas de vias. As valas 3436 serão por fim usadas para fabricação de linhas de metal, como é descrito abaixo. Após conclusão da gravação de valas, todas as aberturas (incluindo aberturas de vias 3426 e 3432 e valas 3436) são cheias com um material sacrificial 3434. Em uma modalidade, a camada de material de máscara dura 3428 é um material de máscara dura à base de carbono e é removida com um processo de incineração por plasma. Em uma modalidade, o material sacrificial 3434 é material orgânico ou inorgânico circulável, como por exemplo um material absorvente de luz sacrificial (SLAM - Sacrificial Light Absorbing Material). O material sacrificial 3434 é formado ou planarizado até um nível da primeira máscara dura padronizada 3416 e da segunda máscara dura padronizada 3412, como é retratado na Figura 34Q.
[0648] A Figura 34R ilustra uma vista em planta da estrutura da Figura 34Q após deposição e padronização de uma segunda camada de máscara dura diagonal, de acordo com uma modalidade da presente revelação. Em relação à Figura 34R, uma segunda camada de máscara dura diagonal 3438 é formada na organização de material sacrificial 3434, segunda camada de máscara dura padronizada 3412 e primeira camada de máscara dura padronizada 3416 da Figura 34Q. Em uma modalidade, a segunda camada de máscara dura diagonal 3438 tem um padrão essencial ou altamente diagonal simetricamente, por exemplo, a 45 graus relativamente à estrutura de grade da segunda camada de máscara dura padronizada 3412, para cobrir linhas alternadas da primeira camada de máscara dura padronizada 3416. Em uma modalidade, o padrão diagonal da segunda camada de máscara dura diagonal 3438 é impresso na dimensão crítica (CD) mínima, ou seja, sem o uso de redução a metade do afastamento ou divisão em quatro do afastamento. Deve ser reconhecido que as linhas individuais podem
Petição 870190046922, de 20/05/2019, pág. 286/557
277/326 ser impressas ainda maiores que a CD mínima, desde que alguma área de filas adjacentes da primeira camada de máscara dura padronizada 3416 permaneça revelada. De qualquer das formas, o padrão tipo grade da segunda camada de máscara dura diagonal 3438 da Figura 34R pode ter linhas de máscara dura espaçadas em um afastamento constante e tendo uma largura constante. Deve ser reconhecido que a descrição aqui relativa à formação e padronização de uma camada de máscara diagonal (como por exemplo a segunda camada de máscara dura diagonal 3438) envolve, em uma modalidade, a formação de máscaras por cima de uma camada de máscara dura de cobertura. A formação de máscaras pode envolver o uso de uma ou mais camadas adequadas para processamento litográfico. Após a padronização de uma ou mais camadas litográficas, o padrão é transferido para a camada de máscara dura por um processo de gravação para fornecer uma camada de máscara dura diagonalmente padronizada. Em uma modalidade particular, a segunda camada de máscara dura diagonal 3438 é uma camada de máscara dura à base de carbono.
[0649] A Figura 34S ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo g-g’) da estrutura da Figura 34R após remoção de regiões reveladas da primeira camada de máscara dura padronizada, remoção da segunda camada de máscara dura diagonal e após formação da terceira pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 34S, usando a segunda camada de máscara dura diagonal 3438 como uma máscara, são removidas regiões reveladas da primeira camada de máscara dura padronizada 3416. Em uma tal modalidade, as regiões reveladas da primeira camada de máscara dura padronizada 3416 são removidas por um processo de gravação isotrópica (por exemplo, um processo de gravação a úmido ou processo de gravação por plasma não anisotrópica), de modo que qualquer
Petição 870190046922, de 20/05/2019, pág. 287/557
278/326 revelação parcial origine a remoção total do bloco parcialmente revelado da primeira camada de máscara dura padronizada 3416. Em relação novamente à Figura 34S, a segunda camada de máscara dura diagonal 3438 é removida para revelar o material sacrificial 3434 e a segunda camada de máscara dura padronizada 3412. Igualmente, são reveladas as porções da primeira camada de máscara dura padronizada 3416 que foram protegidas contra gravação isotrópica pela segunda camada de máscara dura diagonal 3438. Em uma modalidade particular, a segunda camada de máscara dura diagonal 3438 é um material de máscara dura à base de carbono e é removida com um processo de incineração por plasma. Em relação novamente à Figura 34S, é formada uma terceira pluralidade de photobuckets 3440 nas aberturas resultantes por cima da camada ILD padronizada 3402”, de modo que nenhuma porção da camada ILD padronizada 3402” permaneça revelada. Os photobuckets 3440, nesse estágio, representam uma primeira metade de todas as possíveis localizações de plugues em uma camada de metalização resultante. Conformemente, ao longo de cada fila alternada ou de modo descendente por cada coluna alternada do padrão tipo grelha resultante da Figura 34S, uma região da primeira camada de máscara dura 3416 é alternada com um photobucket 3440. Ou seja, o resultado é um padrão de tabuleiro de xadrez de regiões de photobuckets 3440 e regiões de primeira camada de máscara dura padronizada 3416. Desse modo, é alcançado um aumento em um fator da raiz quadrada de dois na distância 3442 vizinha mais próxima (mostrada como distância na direção b).
[0650] A Figura 34T ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo h-h’) da estrutura da Figura 34S após seleção de localizações de plugues e gravação de valas, de acordo com uma modalidade da presente revelação. Em relação à Figura 34T, os photobuokets 3440 da Figura
Petição 870190046922, de 20/05/2019, pág. 288/557
279/326
34S são removidos das localizações 3442 onde não serão formados plugues. Em localizações onde são selecionados plugues para serem formados, são retidos os photobuckets 3440. Em uma modalidade, de modo a formar localizações 3442 onde não serão formados plugues, é usada litografia para expor os photobuckets 3440 correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor. A camada ILD padronizada 3402’” é depois padronizada para formar a camada ILD 3402”” que inclui valas 3444 formadas nas localizações 3442. As valas 3444 serão por fim usadas para fabricação de linhas de metal, como é descrito abaixo.
[0651] A Figura 34U ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo i-i’) da estrutura da Figura 34T após remoção dos terceiros photobuckets remanescentes e subsequente formação de máscaras duras, de acordo com uma modalidade da presente revelação. Em relação à Figura 34U, todos os photobuckets 3440 remanescentes são removidos, por exemplo, por um processo de incineração. Após remoção de todos os photobuckets 3440 remanescentes, todas as aberturas (incluindo valas 3444) são cheias com uma camada de material de máscara dura 3446. Em uma modalidade, a camada de material de máscara dura 3446 é um material de máscara dura à base de carbono.
[0652] A Figura 34V ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo j-j’) da estrutura da Figura 34V após remoção da primeira máscara dura padronizada e formação da quarta pluralidade de photobuckets, de acordo com uma modalidade da presente revelação. Em relação à Figura 34V, a primeira camada de máscara dura padronizada 3416 é removida (por exemplo, por um processo de gravação seletiva a seco ou a úmido), e uma quarta pluralidade de photobuckets 3448 é formada nas aberturas resultantes por cima da camada ILD padronizada 3402””, de modo que nenhuma
Petição 870190046922, de 20/05/2019, pág. 289/557
280/326 porção da camada ILD padronizada 3402”” permaneça revelada. Os photobuckets 3448, nesse estágio, representam uma segunda metade de todas as possíveis localizações de plugues em uma camada de metalização resultante.
[0653] A Figura 34W ilustra uma vista em planta e correspondente vista em corte transversal (considerada ao longo do eixo k~k’) da estrutura da Figura 34V após seleção de localizações de plugues e gravação de valas, de acordo com uma modalidade da presente revelação. Em relação à Figura 34W, os photobuckets 3448 da Figura 34V são removidos das localizações 3450 onde não serão formados plugues. Em localizações onde são selecionados plugues para serem formados, são retidos os photobuckets 3448. Em uma modalidade, de modo a formar localizações 3450 onde não serão formados plugues, é usada litografia para expor os photobuckets 3448 correspondentes. Os photobuckets expostos podem depois ser removidos por um desenvolvedor. A camada ILD padronizada 3402”” é depois padronizada para formar a camada ILD 3402””’ que inclui valas 3452 formadas nas localizações 3450. As valas 3452 serão por fim usadas para fabricação de linhas de metal, como é descrito abaixo.
[0654] A Figura 34X ilustra uma vista em planta e correspondentes primeira vista em corte transversal (considerada ao longo do eixo l-Γ) e segunda vista em corte transversal (considerada ao longo do eixo m-m!) da estrutura da Figura 34W após remoção de quartos photobuckets remanescentes, camada de material de máscara dura e material sacrificial e subsequente enchimento de metal, de acordo com uma modalidade da presente revelação. Em relação à Figura 34X, são removidos os quartos photobuckets 3448 remanescentes, a camada de material de máscara dura 3446 e o material sacrificial 3434. Em uma tal modalidade, a camada de material de máscara dura 3446 é um material de máscara dura à base de carbono, e tanto a camada de material de
Petição 870190046922, de 20/05/2019, pág. 290/557
281/326 máscara dura 3446 como os quartos photobuckets 3448 remanescentes são removidos com um processo de incineração por plasma. Em uma modalidade, o material sacrificial 3434 é removido em um processo de gravação diferente. Em relação à vista em planta da Figura 34X, a metalização 3454 é formada intercalada e coplanar com a segunda camada de máscara dura padronizada 3412. Em relação à primeira vista em corte transversal considerada ao longo do eixo l-Γ da vista em planta da Figura 34X, a metalização 3454 enche valas 3452 e 3454 (ou seja, como correspondendo à vista em corte transversal considerada ao longo do eixo k~k’ da Figura 34W) formadas na camada dielétrica entre camadas padronizada 3402””’. Em relação à segunda vista em corte transversal considerada ao longo do eixo m~m’ da vista em planta da Figura 34X, a metalização 3454 enche igualmente valas 3436 e aberturas de vias 3432 e 3426 (ou seja, como correspondendo à vista em corte transversal considerada ao longo do eixo f-f da Figura 34Q) formadas na camada dielétrica entre camadas padronizada 3402””’. Desse modo, a metalização 3454 é usada para formar uma pluralidade de linhas condutivas e vias condutivas em uma camada dielétrica entre camadas para uma estrutura de metalização, como por exemplo uma estrutura de metalização BEOL.
[0655] Em uma modalidade, a metalização 3454 é formada por um processo de enchimento de metal e novo polimento. Em uma tal modalidade, a segunda camada de máscara dura padronizada 3412 é reduzida em espessura durante o processo de novo polimento. Em uma modalidade particular assim, embora reduzida em espessura, uma porção da segunda máscara dura padronizada 3412 é retida, como é retratado na Figura 34X. Conformemente, os membros de metal 3456 que não são nem linhas condutivas nem vias condutivas formadas na camada dielétrica entre camadas padronizada 3402’”” permanecem intercalados com a segunda camada de máscara dura padronizada e
Petição 870190046922, de 20/05/2019, pág. 291/557
282/326 sobre ou por cima da (mas não na) camada dielétrica entre camadas padronizada 3402””’, como é igualmente retratado na Figura 34X. Em uma modalidade particular alternativa (não mostrada), a segunda máscara dura padronizada 3412 é inteiramente removida durante o novo polimento. Conformemente, os membros de metal 3456 que não são nem linhas condutivas nem vias condutivas não são retidos na estrutura final. Em qualquer caso, as estruturas descritas para a Figura 34X podem subsequentemente ser usadas como uma fundação para formação de camadas de vias/linhas de metal e ILD subsequentes. Em alternativa, a estrutura da Figura 34X pode representar a camada de interconexão de metal final em um circuito integrado.
[0656] Deve ser reconhecido que as operações de processo acima podem ser praticadas em sequências alternativas, nem toda a operação necessita de ser efetuada e/ou podem ser efetuadas operações de processo adicionais. Em relação novamente à Figura 34X, a fabricação de camadas de metalização usando uma máscara dura diagonal pode ser concluída nesse estágio. Uma próxima camada fabricada de uma maneira semelhante requer provavelmente iniciação de todo o processo mais uma vez. Em alternativa, podem ser usadas outras abordagens nesse estágio para fornecer camadas de interconexões adicionais, como por exemplo abordagens convencionais de damasquinagem dupla ou única.
[0657] Em uma modalidade, como usado em toda a presente descrição, o material dielétrico entre camadas (ILD) é composto por, ou inclui, uma camada de um material dielétrico ou isolante. Os exemplos de materiais dielétricos adequados incluem, mas não se limitam a, óxidos de silício (por exemplo, dióxido de silício (S1O2)), óxidos dopados de silício, óxidos fluorados de silício, óxidos de silício dopados com carbono, vários materiais dielétricos de baixo k conhecidos na técnica e combinações dos mesmos. O material dielétrico entre camadas pode
Petição 870190046922, de 20/05/2019, pág. 292/557
283/326 ser formado por técnicas convencionais como, por exemplo, deposição química de vapor (CVD), deposição física de vapor (PVD) ou por outros métodos de deposição.
[0658] Em uma modalidade, como é igualmente usado em toda a presente descrição, linhas de metal ou material de linhas de interconexão (e material de vias) são compostos por uma ou mais estruturas condutivas de metal ou outras. Um exemplo comum é o uso de linhas de cobre e estruturas que possam ou possam não incluir camadas de barreira entre o cobre e o material ILD circundante. Como aqui usado, o termo metal inclui ligas, pilhas e outras combinações de múltiplos metais. Por exemplo, as linhas de interconexão de metal podem incluir camadas de barreira (por exemplo, camadas incluindo um ou mais de Ta, TaN Ti ou TiN), pilhas de metais ou ligas diferentes, etc. Desse modo, as linhas de interconexão podem ser uma única camada de material ou podem ser formadas por diversas camadas, incluindo camadas de revestimento condutivo e camadas de enchimento. Qualquer processo de deposição adequado, como por exemplo galvanização, deposição química de vapor ou deposição física de vapor, pode ser usado para formar linhas de interconexão. Em uma modalidade, as linhas de interconexão são compostas por um material condutivo, como por exemplo, mas não se limitando a, Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au ou ligas dos mesmos. As linhas de interconexão são igualmente por vezes referidas na técnica como traços, fios, linhas, metal ou simplesmente interconexão.
[0659] Em uma modalidade, como é igualmente usado em toda a presente descrição, os materiais de máscara dura são compostos por materiais dielétricos diferentes do material dielétrico entre camadas. Em uma modalidade, podem ser usados diferentes materiais de máscara dura em diferentes regiões de modo a fornecer uma diferente seletividade de crescimento ou gravação a cada um e às camadas
Petição 870190046922, de 20/05/2019, pág. 293/557
284/326 dielétricas e de metal subjacentes. Em algumas modalidades, uma camada de máscara dura inclui uma camada de um nitreto de silício ou uma camada de um óxido de silício, ou ambos, ou uma combinação dos mesmos. Outros materiais adequados podem incluir materiais à base de carbono. Em outra modalidade, um material de máscara dura inclui uma espécie de metal. Por exemplo, uma máscara dura ou outro material sobrejacente pode incluir uma camada de um nitreto de titânio ou outro metal. Em uma ou mais dessas camadas, podem ser incluídas quantidades potencialmente menores de outros materiais, como por exemplo oxigênio. Em alternativa, outras camadas de máscara dura conhecidas na técnica podem ser usadas dependendo da implementação particular. As camadas de máscara dura podem ser formadas por CVD, PVD ou por outros métodos de deposição.
[0660] Em uma modalidade, como é igualmente usado em toda a presente descrição, são efetuadas operações litográficas usando litografia de imersão de 193 nm (i193), litografia EUV e/ou EBDW, ou afins. Pode ser usada uma resistência de tom positivo ou de tom negativo. Em uma modalidade, uma máscara litográfica é uma máscara de três camadas composta por uma porção de mascaramento topográfico, uma camada de revestimento antirrefletivo (ARC) e uma camada fotorresistente. Em uma modalidade particular assim, a porção de mascaramento topográfico é uma camada de máscara dura de carbono (CHM) e a camada de revestimento antirrefletivo é uma camada ARC de silício.
[0661] De acordo com modalidades aqui descritas, é descrita a metrologia óptica e SEM para photobuckets. Deve ser reconhecido que o uso de uma máscara dura pré-padronizada para definir o padrão litográfico pode tomar as medições de sobreposição desafiantes, uma vez que a resposta à exposição dessa padronização é digital (binária) e os tamanhos de membros são quantizados. Por isso, o tamanho do
Petição 870190046922, de 20/05/2019, pág. 294/557
285/326 padrão de máscaras subjacentes se torna a unidade mensurável mínima de sobreposição, que é demasiado grande para um controle de processo eficaz. A abordagem descrita abaixo não só permite uma medição de sobreposição que seja muito menor que o tamanho de máscara dura pré-padronizada subjacente, como também fornece uma resposta de sinal que é ampliada muitas vezes em comparação com a do deslocamento de sobreposição, permitindo uma medição de sobreposição muito precisa.
[0662] Para fornecer um quadro estrutural para conceitos aqui descritos, as Figuras 35A a 35D ilustram vistas em corte transversal e correspondentes vistas de cima para baixo representando várias operações em um esquema de processamento de padronização usando máscaras duras pré-padronizadas, de acordo com uma modalidade da presente revelação.
[0663] Em relação à Figura 35A, uma primeira máscara dura prépadronizada 3502 e uma segunda máscara dura pré-padronizada 3504 são formadas por cima de uma camada subjacente 3506. Todas as possíveis localizações de vias ou plugues são expostas como aberturas 3508 na máscara dura pré-padronizada 3502 e na segunda máscara dura pré-padronizada 3504.
[0664] Em relação à Figura 35B, é formada uma pluralidade de porções de camada fotorresistente 3510 nas aberturas 3508 da Figura 35A.
[0665] Em relação à Figura 35C, as selecionadas 3512 da pluralidade de porções de camada fotorresistente 3510 são expostas por uma exposição litográfica 3514. As selecionadas 3512 da pluralidade de porções de camada fotorresistente 3510 expostas pela exposição litográfica 3514 podem representar as localizações de vias ou plugues que serão por fim abertas ou selecionadas.
[0666] Todavia, de acordo com uma modalidade da presente
Petição 870190046922, de 20/05/2019, pág. 295/557
286/326 revelação, a exposição litográfica 3514 tem um erro de sobreposição na direção X da Figura 35C. Por exemplo, a camada fotorresistente 3512 exposta no lado esquerdo da vista em corte transversal é deslocada para a direita de modo que uma porção da fotorresistência não seja exposta pela exposição litográfica 3514. Todas as camadas fotorresistentes 3512 expostas da vista de cima para baixo são deslocadas para a direita de modo que uma porção da fotorresistência não seja exposta pela exposição litográfica 3514. Ademais, o deslocamento pode ser substancialmente suficiente para expor parcialmente localizações vizinhas, como é retratado na Figura 35C. [0667] Em relação à Figura 35D, as localizações 3512 selecionadas são removidas da fotorresistência exposta para fornecer aberturas 3516. As aberturas 3516 podem ser usadas para subsequente fabricação de vias ou plugues, dependendo da camada específica da estrutura semicondutora.
[0668] Todavia, no caso de ser efetuada uma exposição insuficiente das localizações 3512 devido ao erro de sobreposição, algumas aberturas 3516 podem catastroficamente não ser completamente abertas. Em geral, a exposição 3514 tem de fornecer um número crítico de elétrons ou fotões para remover completamente as selecionadas 3512 da pluralidade de porções de camada fotorresistente 3510 para fornecer aberturas 3516. Pode ser tolerado algum erro de sobreposição, mas não pode ser tolerado um erro de sobreposição substancial. Adicionalmente, como descrito abaixo em mais detalhes, mesmo no caso de todas as aberturas 3516 serem completamente abertas, a fabricação bem-sucedida de uma camada seguinte pode requerer uma medição de sobreposição baseada pelo menos em alguma medida nas aberturas 3516.
[0669] Uma ou mais modalidades aqui descritas são direcionadas para abordagens envolvendo o uso de estruturas de grade de
Petição 870190046922, de 20/05/2019, pág. 296/557
287/326 afastamento múltiplo em uma camada para extrair informações de sobreposição relativas a uma camada subjacente. As modalidades aqui descritas podem ser implementadas para resolver problemas associados à medição de sobreposição entre uma camada padronizada por cima de uma máscara dura pré-padronizada (por exemplo, via ou plugue) e a camada de máscara dura pré-padronizada subjacente (por exemplo, photobucket) usando uma ferramenta de metrologia óptica. Em uma modalidade, as grades são padronizadas em dois ou mais afastamentos que sejam diferentes das grades pré-padronizadas subjacentes, mas paralelas a uma das grades subjacentes. Um deslocamento na sobreposição da atual camada versus o padrão de máscaras duras resulta em um sinal óptico que se move com a sobreposição e é proporcional ao erro de sobreposição. Por comparação, a sobreposição óptica tipicamente envolve membros reais, fornecendo assim uma resposta analógica. Nesse caso, o movimento é quantizado como movimento oposto em movimento analógico. Ou seja, a resposta é digital (por exemplo, movimento digitalizado e ampliado) uma vez que se baseia em etapas. Em uma modalidade, é medido um padrão de bordas.
[0670] As Figuras 36A a 36E descritas abaixo demonstram a geração de sinais ópticos usando photobuckets que respondem a uma mudança na sobreposição. Deve ser reconhecido que as ferramentas convencionais de metrologia óptica medem alvos relativamente grandes (por exemplo, 20 a 30 microns). Para modalidades aqui descritas, são geradas estruturas desde matrizes de linhas/espaços que se encontram abaixo do limite de resolução de uma ferramenta de inspeção e que potencializam o conceito de photobuckets para criar beiras móveis que possam ser detectadas/medidas com algoritmos convencionais de medição de sobreposição. O padrão final visto pela ferramenta de metrologia mostra beiras ópticas mensuráveis devido a difração e
Petição 870190046922, de 20/05/2019, pág. 297/557
288/326 dispersão de luz de padrões sub-resolução que se movem com sobreposição. A Figura 36F mostra uma possível marca de metrologia óptica para uso em associação com as Figuras 36A a 36E.
[0671] A Figura 36A ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual é sobreposta em uma grelha de máscaras duras pré-padronizadas subjacente, de acordo com uma modalidade da presente revelação.
[0672] Em relação à Figura 36A, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3602 e uma segunda máscara dura pré-padronizada 3604. Uma pluralidade de porções de camadas fotorresistentes 3610 e uma pluralidade de aberturas 3616 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3602 e da segunda máscara dura prépadronizada 3604. Uma atual camada é representada por imagens de sobreposição 3650A. As imagens de sobreposição 3650A têm um deslocamento de sobreposição de zero e um delta de afastamento de P/4. O afastamento das imagens de sobreposição 3650A da atual camada mostrado como 25% maior (na meia região superior 3652A) e 25% menor (na meia região inferior 3654A) como uma modalidade exemplificativa. Membros não expostos grandes 3656A e 3658A são incluídos na atual camada, como é retratado na Figura 36A.
[0673] A Figura 36B ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um quarto de afastamento com respeito a uma grelha de máscaras duras pré-padronizadas subjacente, de acordo com uma modalidade da presente revelação.
[0674] Em relação à Figura 36B, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3602 e uma segunda máscara dura pré-padronizada 3604. Uma pluralidade de porções de camadas fotorresistentes 3610 e uma pluralidade de aberturas 3616 (tendo sido
Petição 870190046922, de 20/05/2019, pág. 298/557
289/326 expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3602 e da segunda máscara dura prépadronizada 3604. Uma atual camada é representada por imagens de sobreposição 3650B. As imagens de sobreposição 3650B têm um deslocamento de sobreposição positiva (+va) de P/4. Membros não expostos grandes 3656B e 3658B são incluídos na atual camada, com movimento dos membros não expostos grandes 3656B e 3658B como retratado na Figura 36B.
[0675] A Figura 36C ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de meio afastamento com respeito a uma grelha de máscaras duras pré~padronizadas subjacente, de acordo com uma modalidade da presente revelação.
[0676] Em relação à Figura 36C, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3602 e uma segunda máscara dura pré-padronizada 3604. Uma pluralidade de porções de camadas fotorresistentes 3610 e uma pluralidade de aberturas 3616 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3602 e da segunda máscara dura pré-padronizada 3604. Uma atual camada é representada por imagens de sobreposição 3650C. As imagens de sobreposição 3650C têm um deslocamento de sobreposição positiva (+va) de P/2. Membros não expostos grandes 3656C e 3658C são incluídos na atual camada, com movimento dos membros não expostos grandes 3656C e 3658C como retratado na Figura 36C.
[0677] A Figura 36D ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um valor arbitrário Δ com respeito a uma grelha de máscaras duras pré-padronizadas subjacente, de acordo com uma modalidade da presente revelação.
Petição 870190046922, de 20/05/2019, pág. 299/557
290/326 [0678] Em relação à Figura 36D, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3602 e uma segunda máscara dura pré-padronizada 3604. Uma pluralidade de porções de camadas fotorresistentes 3610 e uma pluralidade de aberturas 3616 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3602 e da segunda máscara dura pré-padronizada 3604. Uma atual camada é representada por imagens de sobreposição 3650D. As imagens de sobreposição 3650D têm um deslocamento de sobreposição de zero e um delta de afastamento de P + Δ. Membros não expostos grandes 3656D e 3658D são incluídos na atual camada, como é retratado na Figura 36D.
[0679] A Figura 36E ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma atual camada tem uma sobreposição positiva de um valor arbitrário Δ com respeito a uma grelha de máscaras duras pré-padronizadas subjacente, onde um Δ mensurável é tornado tão baixo como necessário mudando a sensibilidade de resistência s e/ou o tamanho de membro desenhado, de acordo com uma modalidade da presente revelação.
[0680] Em relação à Figura 36E, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3602 e uma segunda máscara dura pré-padronizada 3604. Uma pluralidade de porções de camadas fotorresistentes 3610 e uma pluralidade de aberturas 3616 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3602 e da segunda máscara dura prépadronizada 3604. Uma atual camada é representada por imagens de sobreposição 3650E. As imagens de sobreposição 3650E têm um deslocamento de sobreposição de + Δ e um delta de afastamento de P + Δ. Membros não expostos grandes 3656E e 3658E são incluídos na atual camada, com movimento dos membros não expostos grandes 3656E e 3658E como retratado na Figura 36E. Em uma modalidade,
Petição 870190046922, de 20/05/2019, pág. 300/557
291/326 para um pequeno deslocamento de sobreposição de Δ, o sinal medido é ampliado para a ordem de P, e Δ pode ser tão pequeno quanto necessário.
[0681] A Figura 36F ilustra uma estrutura de metrologia exemplificativa adequada para as abordagens descritas acima em associação com as Figuras 36A a 36E, de acordo com uma modalidade da presente revelação. Em relação à Figura 36F, uma estrutura de metrologia 3697 inclui tanto membros 3698 da camada 1 (por exemplo, camada subjacente) como membros 3699 da camada 2 (por exemplo, atual camada). Em uma modalidade, a largura de cada um dos membros é de aproximadamente 20 a 30 microns, como é retratado na Figura 36F. Essa estrutura pode ser incluída em uma linha de escriba ou em uma pastilha em uma célula drop-in, por exemplo. Em uma modalidade, uma pastilha concluída pode incluir uma região tendo uma frequência de batida de membros grandes formados por uma matriz de vias ou plugues em uma coleção de membros estreitos. A presença de duas frequências de batida diferentes em qualquer direção pode implicar o uso da técnica descrita acima para medir a sobreposição. A abordagem descrita acima pode permitir a medição precisa de sobreposição em photobuckets para cada camada de padronização de vias ou plugues que use a técnica. As modalidades podem melhorar a precisão para gerações futuras de tecnologia usando ao mesmo tempo ferramentas de medição de sobreposição de tecnologia atual.
[0682] Uma ou mais modalidades aqui descritas são direcionadas para abordagens envolvendo medição de sobreposição na máscara dura padronizada (por exemplo, photobuckets) usando técnicas de microscopia de elétrons de varredura de dimensão crítica (CDSEM Critical Dimension Scanning Electron Microscopy). As modalidades aqui descritas podem ser implementadas para resolver problemas associados com medição de sobreposição entre uma camada de vias
Petição 870190046922, de 20/05/2019, pág. 301/557
292/326 e/ou plugues padronizada por cima de uma camada de máscara dura pré-padronizada (por exemplo, camada de photobuckets') e da camada de máscara dura pré-padronizada subjacente usando um microscópio de elétrons de varredura (por exemplo, CDSEM). Em uma modalidade, localizações de vias ou plugues são padronizadas em afastamentos que sejam ligeiramente diferentes do afastamento de máscara dura prépadronizada subjacente. Devido a uma disparidade de sobreposição, a posição do photobucket que é removido depende da quantidade de disparidade de sobreposição.
[0683] A Figura 37A ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual é sobreposta em uma máscara dura pré-padronizada subjacente, de acordo com uma modalidade da presente revelação.
[0684] Em relação à Figura 37A, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3702 e uma segunda máscara dura pré-padronizada 3704. Uma pluralidade de porções de camadas fotorresistentes 3710 e uma pluralidade de aberturas 3716 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3702 e da segunda máscara dura prépadronizada 3704. Uma atual camada é representada por imagens de sobreposição 3750A. As imagens de sobreposição 3750A têm um deslocamento de sobreposição em X de zero e em Y de zero. O afastamento das imagens de sobreposição 3750A da atual camada é 25% maior em relação à camada subjacente como uma modalidade exemplificativa, ou seja, padronizada no afastamento + Δ, onde Δ = P/4. A região 3760A realça uma localização de um agrupamento de photobuckets11 no deslocamento de sobreposição de zero (ΡΒο,ο).
[0685] A Figura 37B ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem um deslocamento de sobreposição positiva de um quarto de afastamento com respeito à
Petição 870190046922, de 20/05/2019, pág. 302/557
293/326 grelha de máscaras duras pré-padronizadas subjacente na direção X, de acordo com uma modalidade da presente revelação.
[0686] Em relação à Figura 37B, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3702 e uma segunda máscara dura pré-padronizada 3704. Uma pluralidade de porções de camadas fotorresistentes 3710 e uma pluralidade de aberturas 3716 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3702 e da segunda máscara dura prépadronizada 3704. Uma atual camada é representada por imagens de sobreposição 3750B. As imagens de sobreposição 3750B têm um deslocamento de sobreposição em X de Px/4 e em Y de zero. O afastamento das imagens de sobreposição 3750B da atual camada é 25% maior em relação à camada subjacente como uma modalidade exemplificativa, ou seja, padronizada no afastamento + Δ, onde Δ ~ P/4. A região 3760B realça uma localização de X ···· -2Px e Y 0 para um agrupamento de photobuckets em relação a ΡΒο,ο. A região 3760B e correspondente coluna vertical aberta/fechada se movem para a esquerda em uma quantidade igual ao dobro do afastamento. Deve ser reconhecido que a coluna aberta/fechada terá um contraste diferente das outras colunas devido ao fato de a densidade de photobuckets exposta ser diferente das outras colunas na região.
[0687] A Figura 37C ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição negativa de um quarto de afastamento com respeito à grelha de máscara dura pré-padronizada subjacente na direção X, de acordo com uma modalidade da presente revelação.
[0688] Em relação à Figura 37C, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3702 e uma segunda máscara dura pré-padronizada 3704. Uma pluralidade de porções de camadas fotorresistentes 3710 e uma pluralidade de aberturas 3716
Petição 870190046922, de 20/05/2019, pág. 303/557
294/326 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3702 e da segunda máscara dura pré-padronizada 3704. Uma atual camada é representada por imagens de sobreposição 3750C. As imagens de sobreposição 3750C têm um deslocamento de sobreposição em X de -Px/4 e em Y de zero. O afastamento das imagens de sobreposição 3750C da atual camada é 25% maior em relação à camada subjacente como uma modalidade exemplificativa, ou seja, padronizada no afastamento + Δ, onde Δ ~ P/4. A região 3760C realça uma localização de X ·· +2Px e Y ···· 0 para um agrupamento de photobuckets em relação a PBo.o. A região 3760C e correspondente coluna vertical aberta/fechada se movem para a direita em uma quantidade igual ao dobro do afastamento.
[0689] A Figura 37D ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma camada atual tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscaras duras pré-padronizadas subjacente na direção Y, de acordo com uma modalidade da presente revelação.
[0690] Em relação à Figura 37D, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3702 e uma segunda máscara dura pré-padronizada 3704. Uma pluralidade de porções de camadas fotorresistentes 3710 e uma pluralidade de aberturas 3716 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3702 e da segunda máscara dura pré-padronizada 3704. Uma atual camada é representada por imagens de sobreposição 3750D. As imagens de sobreposição 3750D têm um deslocamento de sobreposição em X de zero e em Y de Ργ/4. O afastamento das imagens de sobreposição 3750D da atual camada é 25% maior em relação à camada subjacente como uma modalidade exemplificativa, ou seja, padronizada no afastamento + Δ, onde Δ - P/4. A região 3760D realça uma localização de X - 0 e Y - -2Ργ para um
Petição 870190046922, de 20/05/2019, pág. 304/557
295/326 agrupamento de photobuckets com respeito a ΡΒο,ο. A região 3760D e correspondente fila horizontal aberta/fechada se movem no sentido descendente em uma quantidade igual ao dobro do afastamento. [0691] A Figura 37E ilustra uma vista de cima para baixo de um cenário de sobreposição onde uma atual camada tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscaras duras pré-padronizadas subjacente na direção X e tem uma sobreposição positiva de um quarto de afastamento com respeito à grelha de máscaras duras pré-padronizadas subjacente na direção Y, de acordo com uma modalidade da presente revelação. [0692] Em relação à Figura 37E, uma camada subjacente inclui uma primeira máscara dura pré-padronizada 3702 e uma segunda máscara dura pré-padronizada 3704. Uma pluralidade de porções de camadas fotorresistentes 3710 e uma pluralidade de aberturas 3716 (tendo sido expostas e desenvolvidas) se encontram entre as estruturas da primeira máscara dura pré-padronizada 3702 e da segunda máscara dura prépadronizada 3704. Uma atual camada é representada por imagens de sobreposição 3750E. As imagens de sobreposição 3750E têm um deslocamento de sobreposição em X de Px/4 e em Y de Ργ/4. O afastamento das imagens de sobreposição 3750E da atual camada é 25% maior em relação à camada subjacente como uma modalidade exemplificativa, ou seja, padronizada no afastamento + Δ, onde Δ = P/4. A região 3760E realça uma localização de X ~ -2Px e Y ~ -2Ργ para um agrupamento de photobuckets com respeito a ΡΒο,ο. A região 3760E e correspondente fila horizontal aberta/fechada se movem no sentido descendente em uma quantidade igual ao dobro do afastamento. Adicionalmente, a região 3760E e correspondente coluna vertical aberta/fechada se movem para a esquerda em uma quantidade igual ao dobro do afastamento.
[0693] Com referência novamente às Figuras 37A a 37E, deve ser
Petição 870190046922, de 20/05/2019, pág. 305/557
296/326 reconhecido que a análise do corte transversal de um chip semicondutor pode revelar uma marca de alinhamento que inclui matrizes verticais e horizontais de vias e/ou plugues entre uma pluralidade de vias e plugues em grelha como indicativo da aplicação de uma ou mais modalidades aqui descritas. Essas estruturas podem ser incluídas em uma linha de escriba ou em uma pastilha em uma célula drop-in, por exemplo. A aplicação dessa abordagem pode permitir a medição precisa de sobreposição em photobuckets para cada camada de padronização de vias e/ou plugues destinada ao uso com a metrologia CDSEM. Deve igualmente ser reconhecido que técnicas convencionais de sobreposição podem não funcionar com esse estilo de padronização. [0694] De acordo com uma modalidade da presente revelação, são descritas novas estruturas para fabricação de máscaras de deslocamento de fase (PSM - Phase Shift Masks) de alta resolução para litografia, como por exemplo litografia ultravioleta extrema (EUV). Essas máscaras PSM podem ser usadas para litografia geral (direta) ou litografia complementar.
[0695] A fotolitografia é comumente usada em um processo de fabricação para formar padrões em uma camada de fotorresistência. No processo de fotolitografia, uma camada fotorresistente é depositada sobre uma camada subjacente que tem de ser gravada. Tipicamente, a camada subjacente é uma camada semicondutora, mas pode ser qualquer tipo de máscara dura ou material dielétrico. A camada fotorresistente é depois seletivamente exposta a radiação através de uma fotomáscara ou um retículo. A fotorresistência é depois desenvolvida e essas porções da fotorresistência que são expostas à radiação são removidas, no caso de fotorresistência positiva.
[0696] A fotomáscara ou o retículo usado para padronizar o wafer é colocado dentro de uma ferramenta de exposição de fotolitografia, comumente conhecida como um processador passo a passo ou
Petição 870190046922, de 20/05/2019, pág. 306/557
297/326 scanner. Na máquina de processador passo a passo ou scanner, a fotomáscara ou o retículo é colocado entre uma fonte de radiação e um wafer. A fotomáscara ou o retículo é tipicamente formado desde cromo padronizado (camada absorvedora) colocado em um substrato de quartzo. A radiação passa substancialmente não atenuada pelas seções de quartzo da fotomáscara ou do retículo em localizações onde nao existe nenhum cromo. Em oposição, a radiaçao nao passa pelas porções cromadas da máscara. Uma vez que a radiação incidente na máscara passa completamente pelas seções de quartzo ou é completamente bloqueada pelas seções cromadas, esse tipo de máscara é referido como uma máscara binária. Depois de a radiação passar seletivamente pela máscara, o padrão na máscara é transferido para a fotorresistência projetando uma imagem da máscara na fotorresistência através de uma série de lentes.
[0697] À medida que os membros na fotomáscara ou no retículo ficam cada vez mais próximos, os efeitos de difração começam a se notar quando o tamanho dos membros na máscara é comparável ao comprimento de onda da fonte de luz. A difração desfoca a imagem projetada na fotorresistência, resultando em má resolução.
[0698] Um método do estado da técnica para impedir os padrões de difração de interferir na padronização desejada da fotorresistência é a cobertura de aberturas selecionadas na fotomáscara ou no retículo com uma camada transparente conhecida como um deslocador. O deslocador desloca um dos conjuntos de raios de exposição desfasados com outro conjunto adjacente, o que anula o padrão de interferência da difração. Essa abordagem é referida como uma abordagem de máscara de deslocamento de fase (PSM). Contudo, esquemas de fabricação de máscaras alternativos que reduzam os defeitos e aumentem o rendimento na produção de máscaras são importantes áreas de foco do desenvolvimento de processos de litografia.
Petição 870190046922, de 20/05/2019, pág. 307/557
298/326 [0699] Uma ou mais modalidades da presente revelação são direcionadas para métodos de fabricação de máscaras litográficas e máscaras litográficas resultantes. Para contextualizar, o requisito para cumprir objetivos agressivos de dimensionamento de dispositivos estabelecidos pela indústria de semicondutores assenta na capacidade das máscaras litográficas para padronizar membros menores com altafidelidade. Todavia, as abordagens para padronizar membros cada vez menores apresentam desafios formidáveis para a fabricação de máscaras. A esse respeito, máscaras litográficas muito usadas atualmente se baseiam no conceito da tecnologia de máscaras de deslocamento de fase (PSM) para padronizar membros. Todavia, a redução de defeitos criando ao mesmo tempo padrões cada vez menores continua sendo um dos maiores obstáculos na fabricação de máscaras. O uso da máscara de deslocamento de fase pode ter diversas desvantagens. Primeiro, o desenho de uma máscara de deslocamento de fase é um procedimento relativamente complicado que requer recursos significativos. Segundo, devido à natureza de uma máscara de deslocamento de fase, é difícil checar se existem ou não defeitos na máscara de deslocamento de fase. Esses defeitos nas máscaras de deslocamento de fase surgem dos atuais esquemas de integração empregues para produzir a própria máscara. As máscaras de deslocamento de fase convencionais adotam uma abordagem complexa e de algum modo propensa a defeitos para padronizar materiais espessos de absorção de luz e depois transferir o padrão para uma camada secundária que ajuda no deslocamento de fase. Para complicar, a camada absorvedora é submetida a gravação por plasma duas vezes e, consequentemente, efeitos indesejados da gravação por plasma, como por exemplo efeitos de carregamento, atraso na gravação iônica reativa, efeitos de carregamento e reproduzíveis, originam defeitos na produção de máscaras.
Petição 870190046922, de 20/05/2019, pág. 308/557
299/326 [0700] A inovação em materiais e novas técnicas de integração para fabricar máscaras litograficas livres de defeitos continuam sendo uma elevada prioridade para permitir o dimensionamento de dispositivos. Conformemente, de modo a explorar todos os benefícios de uma tecnologia de máscaras de deslocamento de fase, pode ser necessário um novo esquema de integração que empregue (i) padronização de uma camada deslocadora com alta-fidelidade e (ii) padronização de um absorvedor somente uma vez e durante os estágios finais de fabricação. Adicionalmente, esse esquema de fabricação pode igualmente oferecer outras vantagens, como por exemplo flexibilidade em escolhas de material, menores danos no substrato durante a fabricação e maior rendimento na fabricação de máscaras.
[0701] A Figura 38 ilustra uma vista em corte transversal de uma estrutura de máscara de litografia 3801, de acordo com uma modalidade da presente revelação. A máscara de litografia 3801 inclui uma região na pastilha 3810, uma região de quadro 3820 e uma região de interface pastilha-quadro 3830. A região de interface pastilha-quadro 3830 inclui porções adjacentes da região na pastilha 3810 e da região de quadro 3820. A região na pastilha 3810 inclui uma camada deslocadora padronizada 3806 disposta diretamente em um substrato 3800, em que a camada deslocadora padronizada tem membros que têm paredes laterais. A região de quadro 3820 circunda a região na pastilha 3810 e inclui uma camada absorvedora padronizada 3802 disposta diretamente no substrato 3800.
[0702] A região de interface pastilha-quadro 3830, disposta no substrato 3800, inclui uma pilha de camada dupla 3840. A pilha de camada dupla 3840 inclui uma camada superior 3804, disposta na camada deslocadora padronizada inferior 3806. A camada superior 3804 da pilha de camada dupla 3840 é composta por um mesmo material da camada absorvedora padronizada 3802 da região de trama
Petição 870190046922, de 20/05/2019, pág. 309/557
300/326
3820.
[0703] Em uma modalidade, uma superfície mais alta 3808 dos membros da camada deslocadora padronizada 3806 tem uma altura diferente de uma superfície mais alta 3812 dos membros da região de interface pastilha-quadro e diferente de uma superfície mais alta 3814 dos membros na região de quadro. Ademais, em uma modalidade, a altura da superfície mais alta 3812 dos membros da região de interface pastilha-quadro é diferente da altura da superfície mais alta 3814 dos membros da região de quadro. A espessura típica da camada deslocadora de fase 3806 varia entre 40 e 100 nm, enquanto uma espessura típica da camada absorvedora varia entre 30 e 100 nm. Em uma modalidade, a espessura da camada absorvedora 3802 na região de trama 3820 é de 50 nm, a espessura combinada da camada absorvedora 3804 que é disposta na camada deslocadora 3806 na região de interface pastilha-quadro 3830 é de 120 nm e a espessura do absorvedor na região de quadro é de 70 nm. Em uma modalidade, o substrato 3800 corresponde a quartzo, a camada deslocadora padronizada inclui um material, como por exemplo, mas não se limitando a, molibdênio-silicieto, oxinitreto de molibdênio-silício, nitreto de molibdênio-silício, oxinitreto de silício ou nitreto de silício, e o material absorvedor é cromo.
[0704] De acordo com uma modalidade da presente revelação, é descrita uma litografia de feixe de elétrons complementar. Uma ou mais modalidades aqui descritas são direcionadas para abordagens litográficas e ferramentas envolvendo ou adequadas para litografia de feixes de elétrons complementar (CEBL - Complementary E-Beam Lithography), incluindo considerações de processamento de semicondutores na implementação dessas abordagens e ferramentas.
[0705] A litografia complementar se inspira nos pontos fortes de duas tecnologias de litografia, trabalhando de mãos dadas, para reduzir
Petição 870190046922, de 20/05/2019, pág. 310/557
301/326 o custo de padronização de camadas críticas em dispositivos iógicos no meio afastamento de 20 nm e inferior, na fabricação de alto volume (HVM - High-Volume Manufacturing). A forma mais rentável de implementar litografia complementar é combinar litografia óptica com litografia de feixes de elétrons (EBL). O processo de transferência de desenhos de circuito integrado (Cl) para o wafer implica o seguinte: litografia óptica para imprimir linhas unidirecionais (quer estritamente unidirecionais quer predominantemente unidirecionais) em um afastamento predefinido, técnicas de divisão de afastamento para aumentar a densidade das linhas e EBL para cortar as linhas. EBL é igualmente usada para padronizar outras camadas críticas, nomeadamente lacunas de vias e contatos. A litografia óptica pode ser usada sozinha para padronizar outras camadas. Quando usada para complementar litografia óptica, EBL é referida como CEBL ou EBL complementar. CEBL é direcionada para cortar linhas e lacunas. Ao não tentar padronizar todas as camadas, CEBL desempenha um papel complementar, mas crucial em satisfazer as necessidades de padronização da indústria em nós de tecnologia (menor) avançada (por exemplo, 10 nm ou menor, como por exemplo nós de tecnologia de 7 nm ou de 5 nm). CEBL estende igualmente o uso de tecnologia óptica, ferramentas e infraestrutura atuais.
[0706] As modalidades aqui reveladas podem ser usadas para fabricar uma vasta variedade de diferentes tipos de circuitos integrados e/ou dispositivos microeletrônicos. Exemplos desses circuitos integrados incluem, mas não se limitam a, processadores, componentes de chipset, processadores gráficos, processadores de sinais digitais, microcontroiadores e afins. Em outras modalidades, pode ser fabricada memória de semicondutores. Além do mais, os circuitos integrados ou outros dispositivos microeletrônicos podem ser usados em uma vasta variedade de dispositivos eletrônicos conhecidos na técnica. Por
Petição 870190046922, de 20/05/2019, pág. 311/557
302/326 exemplo, em sistemas de computador (por exemplo, desktop, laptop, servidor), telefones celulares, eletrônica pessoal, etc. Os circuitos integrados podem ser acoplados em um barramento e outros componentes nos sistemas. Por exemplo, um processador pode ser acoplado por um ou mais barramentos em uma memória, um chipset, etc. Cada um do processador, da memória e do chipset pode potencialmente ser fabricado usando as abordagens aqui reveladas. [0707] Como descrito acima, a litografia de feixe de elétrons (ebeam) pode ser implementada para complementar técnicas litográficas padrão de modo a alcançar o dimensionamento desejado de membros para fabricação de circuitos integrados. Uma ferramenta de litografia de feixe de elétrons pode ser usada para efetuar a litografia de feixe de elétrons. Em uma modalidade exemplificativa, a Figura 39 é uma representação esquemática em corte transversal de uma coluna de feixe de elétrons de um aparelho de litografia de feixe de elétrons.
[0708] Em relação à Figura 39, uma coluna de feixe de elétrons 3900 inclui uma fonte de elétrons 3902 para fornecimento de um feixe de elétrons 3904. O feixe de elétrons 3904 é passado por um orifício de limitação 3906 e subsequentemente por óptica de iluminação de elevada relação de aspecto 3908. O feixe de saída 3910 é depois passado por uma fenda 3912 e pode ser controlado por uma lente fina 3914, por exemplo, que pode ser magnética. Por fim, o feixe 3904 é passado por um orifício de modelagem 3916 (que pode ser um orifício de modelagem unidimensional (1-D)) e depois por uma matriz de orifícios de supressão (BAA) 3918. A BAA 3918 inclui aí uma pluralidade de orifícios físicos, como por exemplo aberturas formadas em um pedaço fino de silício. Pode acontecer que somente uma porção da BAA 3918 seja exposta ao feixe de elétrons em um dado momento. Em alternativa, ou em conjunto, somente uma porção 3920 do feixe de elétrons 3904 que passa pela BAA 3918 pode passar por um orifício
Petição 870190046922, de 20/05/2019, pág. 312/557
303/326 final 3922 (por exemplo, a porção de feixe 3921 é mostrada como bloqueada) e, possivelmente, um defletor de retorno de plataforma 3924.
[0709] Em relação novamente à Figura 39, o feixe de elétrons 3926 resultante colide por fim como um ponto 3928 em uma superfície de um wafer 3930, como por exemplo um wafer de silício usado na fabricação de Cl. Especificamente, o feixe de elétrons resultante pode colidir em uma camada fotorresistente no wafer, mas as modalidades não são tão limitadas. Uma varredura de plataforma 3932 move o wafer 3930 em relação ao feixe 3926 ao longo da direção da seta 3934 mostrada na Figura 39. Deve ser reconhecido que uma ferramenta de feixe de elétrons em sua totalidade pode incluir inúmeras colunas 3900 do tipo retratado na Figura 39. Igualmente, a ferramenta de feixe de elétrons pode ter um computador de base associado, e cada coluna pode ainda ter um computador de colunas correspondente.
[0710] Em uma modalidade, ao fazer referência abaixo a aberturas ou orifícios em uma matriz de orifícios de supressor (BAA), a totalidade ou algumas das aberturas ou orifícios da BAA podem ser trocados abertos ou fechados (por exemplo, por deflexão de feixes) à medida que o wafer/a pastilha se move por baixo ao longo de uma direção de varredura ou de percurso de wafer. Em uma modalidade, a BAA pode ser controlada de modo independente do fato de cada abertura passar o feixe de elétrons pela amostra ou defletir o feixe em, por exemplo, um orifício de supressão ou copo de Faraday. O aparelho ou a coluna de feixe de elétrons, incluindo uma BAA assim, pode ser construído para defletir toda a cobertura de feixes em somente uma porção da BAA, e depois aberturas individuais na BAA são eletricamente configuradas para passar o feixe de elétrons (on) ou não passar (off). Por exemplo, elétrons não defletidos passam pelo wafer e expõem uma camada resistente, enquanto elétrons defletidos são capturados no copo de
Petição 870190046922, de 20/05/2019, pág. 313/557
304/326
Faraday ou orifício de supressão. Deve ser reconhecido que a referência a aberturas ou alturas de abertura se refere ao tamanho de ponto que colidiu com o wafer de recepção e não com a abertura física na BAA, uma vez que as aberturas físicas são substancialmente maiores (por exemplo, escala de mícrones) que o tamanho de ponto (por exemplo, escala nanométrica) por fim gerado desde a BAA. Desse modo, quando é aqui descrito o afastamento de uma BAA ou coluna de aberturas em uma BAA referido como correspondendo ao afastamento de linhas de metal, essa descrição se refere na realidade à relação entre o afastamento dos pontos de colisão como gerado desde a BAA e o afastamento das linhas sendo cortadas. Como um exemplo fornecido abaixo, os pontos gerados desde a BAA 4310 têm um afastamento igual ao afastamento das linhas 4300 (quando ambas as colunas de aberturas BAA são consideradas juntas). Entretanto, os pontos gerados somente desde uma coluna da matriz escalonada da BAA 4310 têm o dobro do afastamento das linhas 4300.
[0711] Em uma modalidade, uma matriz escalonada de orifícios de feixe é implementada para resolver o rendimento de uma máquina de feixes de elétrons permitindo ao mesmo tempo um afastamento de fios mínimo. Sem nenhum escalonamento, a consideração de erro de colocação de beiras (EPE) significa que um afastamento mínimo que seja o dobro da largura do fio não pode ser cortado, uma vez que não existe nenhuma possibilidade de empilhamento vertical em uma única pilha. Por exemplo, a Figura 40 ilustra um orifício 4000 de uma BAA em relação a uma linha 4002 a ser cortada ou para ter vias colocadas em localizações direcionadas enquanto a linha é varrida ao longo da direção da seta 4004 sob o orifício 4000. Em relação à Figura 40, para uma dada linha 4002 a ser cortada ou vias a serem colocadas, o EPE 4006 da abertura (orifício) do cortador resulta em uma abertura retangular na grelha BAA que corresponde ao afastamento da linha.
Petição 870190046922, de 20/05/2019, pág. 314/557
305/326 [0712] A Figura 41 ilustra dois orifícios não escalonados 4100 e 4102 de uma BAA em relação a duas linhas 4104 e 4106, respectivamente, a serem cortadas ou para terem vias colocadas em localizações direcionadas enquanto as linhas são varridas ao longo da direção da seta 4108 sob os orifícios 4100 e 4102. Em relação à Figura 41, quando a abertura retangular 4000 da Figura 40 é colocada em uma única coluna vertical com outras aberturas retangulares assim (por exemplo, agora como 4100 e 4102), o afastamento permitido das linhas a serem cortadas é limitado em 2x EPE 4110 mais o requisito de distância 4112 entre as aberturas BAA 4100 e 4102 mais a largura de um fio 4104 ou 4106. O espaçamento resultante 4114 é mostrado pela seta na extremidade direita da Figura 41. Essa matriz linear pode limitar severamente o afastamento da cablagem para ser substancialmente superior a 3-4x da largura dos fios, o que pode ser inaceitável. Outra alternativa possivelmente inaceitável seria cortar fios de afastamento mais apertado em duas (ou mais) passagens com localizações de fio ligeiramente compensadas; essa abordagem pode limitar severamente o rendimento da máquina de feixes de elétrons.
[0713] Em oposição à Figura 41, a Figura 42 ilustra duas colunas 4202 e 4204 de orifícios escalonados 4206 de uma BAA 4200 em relação à pluralidade de linhas 4208 a serem cortadas ou para terem vias colocadas em localizações direcionadas enquanto as linhas 4208 são varridas ao longo da direção 4210 sob os orifícios 4206, com a direção de varredura mostrada pela seta, de acordo com uma modalidade da presente revelação. Em relação à Figura 41, uma BAA 4200 escalonada inclui duas matrizes lineares 4202 e 4204, escalonadas espacialmente como mostrado. As duas matrizes escalonadas 4202 e 4204 cortam (ou colocam vias em) linhas 4208 alternadas. As linhas 4208 são, em uma modalidade, colocadas em uma grelha apertada com o dobro da largura de fios. Como usado em toda a
Petição 870190046922, de 20/05/2019, pág. 315/557
306/326 presente revelação, o termo matriz escalonada pode se referir a um escalonamento de aberturas 4206 que são escalonadas em uma direção (por exemplo, a direção vertical) e não têm nenhuma sobreposição ou têm alguma sobreposição quando vistas como sendo varridas na direção ortogonal (por exemplo, a direção horizontal). No último caso, a sobreposição efetiva propicia tolerância no desalinhamento.
[0714] Deve ser reconhecido que, embora uma matriz escalonada seja aqui mostrada como duas colunas verticais por motivos de simplicidade, as aberturas ou os orifícios de uma única coluna não necessitam de ser colunares na direção vertical. Por exemplo, em uma modalidade, desde que uma primeira matriz tenha coletivamente um afastamento na direção vertical, e uma segunda matriz escalonada na direção de varredura desde a primeira matriz tenha coletivamente o afastamento na direção vertical, é alcançada a matriz escalonada a. Desse modo, a referência a, ou a representação de, uma coluna vertical aqui pode na realidade ser constituída por uma ou mais colunas salvo especificado como sendo uma única coluna de aberturas ou orifícios. Em uma modalidade, no caso de uma coluna” de aberturas não ser uma única coluna de aberturas, qualquer compensação dentro da coluna pode ser compensada com temporização estroboscópica. Em uma modalidade, o ponto crítico é que as aberturas ou os orifícios de uma matriz escalonada de uma BAA se situem em um afastamento específico na primeira direção, mas sejam compensadas na segunda direção para lhes permitir colocar cortes ou vias sem qualquer brecha entre cortes ou vias na primeira direção.
[0715] Desse modo, uma ou mais modalidades são direcionadas para uma matriz de orifícios de feixes escalonada onde aberturas são escalonadas para permitir o cumprimento de requisitos de cortes e/ou vias EPE em oposição a uma organização em linha que não consegue
Petição 870190046922, de 20/05/2019, pág. 316/557
307/326 acomodar necessidades de tecnologia EPE. Em oposição, sem nenhum escalonamento, o problema de erro de colocação de beiras (EPE) significa que um afastamento mínimo que seja o dobro da largura do fio não pode ser cortado, uma vez que não existe nenhuma possibilidade de empilhamento vertical em uma única pilha. Em vez disso, em uma modalidade, o uso de uma BAA escalonada permite uma escrita de feixe de elétrons mais rápida muito mais que 4000 vezes que escrever individualmente cada localização de fio. Ademais, uma matriz escalonada permite que um afastamento de fio seja o dobro da largura do fio. Em uma modalidade particular, uma matriz tem 4096 aberturas escalonadas sobre duas colunas, de modo a poder ser efetuado um EPE para cada uma das localizações de cortes e vias. Deve ser reconhecido que uma matriz escalonada, como aqui contemplado, pode incluir duas ou mais colunas de aberturas escalonadas.
[0716] Em uma modalidade, o uso de uma matriz escalonada deixa espaço para incluir metal em torno dos orifícios da BAA que contêm um ou dois eletrodos para passar ou conduzir o feixe de elétrons até ao wafer ou conduzir até um copo de Faraday ou orifício de supressão. Ou seja, cada abertura pode ser separadamente controlada por eletrodos para passar ou defletir o feixe de elétrons. Em uma modalidade, a BAA tem 4096 aberturas, e o aparelho de feixe de elétrons cobre toda a matriz de 4096 aberturas, com cada abertura eletricamente controlada. Os melhoramentos de rendimento são permitidos varrendo o wafer sob a abertura como mostrado pelas setas pretas grossas.
[0717] Em uma modalidade particular, uma BAA escalonada tem duas filas de aberturas BAA escalonadas. Essa matriz permite fios de afastamento apertado, onde o afastamento de fios pode ser 2x a largura dos fios. Ademais, todos os fios podem ser cortados em uma única passagem (ou podem ser feitas vias em uma única passagem), permitindo assim o rendimento na máquina de feixe de elétrons. A
Petição 870190046922, de 20/05/2019, pág. 317/557
308/326
Figura 21A ilustra duas colunas de orifícios escalonados (esquerda) de uma BAA relativa a uma pluralidade de linhas (direita) tendo cortes (quebras nas linhas horizontais) ou vias (caixas preenchidas) padronizadas usando a BAA escalonada, com a direção de varredura mostrada pela seta, de acordo com uma modalidade da presente revelação.
[0718] Em relação à Figura 43A, o resultado da linha de uma única matriz escalonada pode ser como retratado, onde as linhas são de afastamento único, com cortes e vias padronizadas. Em particular, a Figura 43A retrata uma pluralidade de linhas 4300 ou posições de linhas abertas 4302 onde não existem nenhumas linhas. Vias 4304 e cortes 4306 podem ser formados ao longo das linhas 4300. As linhas 4300 são mostradas em relação a uma BAA 4310 tendo uma direção de varredura 4312. Desse modo, a Figura 43A pode ser vista como um padrão típico produzido por uma única matriz escalonada. As linhas pontilhadas mostram onde ocorreram cortes nas linhas padronizadas (incluindo corte total para remover uma linha inteira ou porção de linha). As localizações de via 4304 são vias de padronização que se situam por cima dos fios 4300.
[0719] Deve ser reconhecido que uma coluna de feixes de elétrons que inclua uma matriz de orifícios de feixes escalonada (BAA escalonada) como descrito acima pode igualmente incluir outros membros além das descritas em associação com a Figura 39. Por exemplo, em uma modalidade, a plataforma de amostra pode ser rodada em 90 graus para acomodar camadas de metalização alternadas que possam ser impressas ortogonalmente entre si (por exemplo, rodadas entre as direções de varredura X e Y). Em outra modalidade, uma ferramenta de feixes de elétrons é capaz de rodar um wafer em 90 graus antes do carregamento do wafer na plataforma.
[0720] A Figura 43B ilustra uma vista em corte transversal de uma
Petição 870190046922, de 20/05/2019, pág. 318/557
309/326 pilha 4350 de camadas de metalização 4352 em um circuito integrado com base em layouts de linhas de metal do tipo ilustrado na Figura 43A, de acordo com uma modalidade da presente revelação. Em relação à Figura 43B, em uma modalidade exemplificativa, um corte transversal de metal para uma pilha de interconexão 4350 é derivado de uma única matriz BAA para as oito camadas de metal combinadas inferiores 4354, 4356, 4358, 4360, 4362, 4364, 4366 e 4368. Deve ser reconhecido que não serão criadas linhas de metal superiores mais espessas/mais largas 4370 e 4372 com a única BAA. As localizações de vias 4374 são retratadas como conectando as oito camadas de metal combinadas inferiores 4354, 4356, 4358, 4360, 4362, 4364, 4366 e 4368.
[0721] Globalmente, em uma modalidade, a litografia complementar como aqui descrito envolve a fabricação primeiro de um layout em grelha mediante litografia convencional ou do estado da técnica, como por exemplo litografia de imersão de 193 nm (193i). A divisão de afastamento pode ser implementada para aumentar a densidade das linhas no layout em grelha em um fator de η. A formação de layout em grelha com litografia 193i mais divisão de afastamento em um fator de n pode ser designada como 193i + P/n Divisão de Afastamento. A padronização do layout em grelha de afastamento dividido pode depois ser efetuada usando cortes de escrita direta de feixes de elétrons (EBDW). Em uma tal modalidade, o dimensionamento de imersão de 193 nm pode ser estendido por muitas gerações com divisão de afastamento rentável. Em uma modalidade, é usada EBL complementar para quebrar a continuidade das grades e para padronizar vias. Em outra modalidade, é usada EUV complementar para quebrar a continuidade das grades e para padronizar vias.
[0722] A Figura 44 ilustra um dispositivo de computação 4400 de acordo com uma implementação da revelação. O dispositivo de computação 4400 aloja uma placa 4402. A placa 4402 pode incluir
Petição 870190046922, de 20/05/2019, pág. 319/557
310/326 diversos componentes, incluindo, mas não se limitando a, um processador 4404 e pelo menos um chip de comunicação 4406. O processador 4404 é física e eletricamente acoplado na placa 4402. Em algumas implementações, o pelo menos um chip de comunicação 4406 é igualmente física e eletricamente acoplado na placa 4402. Em outras implementações, o chip de comunicação 4406 faz parte do processador 4404.
[0723] Dependendo de suas aplicações, o dispositivo de computação 4400 pode incluir outros componentes que podem ou podem não ser física e eletricamente acoplados na placa 4402. Esses outros componentes incluem, mas não se limitam a, memória volátil (por exemplo, DRAM), memória não volátil (por exemplo, ROM), memória fiash, um processador gráfico, um processador de sinais digitais, um processador criptográfico, um chipset, uma antena, um display, um display de écran tátil, um controlador de écran tátil, uma bateria, um codec de áudio, um codec de vídeo, um amplificador de potência, um dispositivo de sistema de posicionamento global (GPS - Global Positioning System), um compasso, um acelerômetro, um giroscópio, um alto-falante, uma câmera e um dispositivo de armazenamento em massa (como por exemplo uma unidade de disco rígido, disco compacto (CD - Compact Disk), disco versátil digital (DVD - Digital Versatile Disk), etc.).
[0724] O chip de comunicação 4406 permite comunicações sem fio para a transferência de dados desde e para o dispositivo de computação 4400. O termo sem fio e seus derivados podem ser usados para descrever circuitos, dispositivos, sistemas, métodos, técnicas, canais de comunicação, etc., que possam comunicar dados pelo uso de radiação eletromagnética modulada através de um meio não sólido. O termo não implica que os dispositivos associados não contenham quaisquer fios, embora em algumas modalidades possam não conter. O chip de
Petição 870190046922, de 20/05/2019, pág. 320/557
311/326 comunicação 4406 pode implementar qualquer um de diversos protocolos ou normas sem fio, incluindo, mas não se limitando a, Wi-Fi (família IEEE 802.11), WiMAX (família IEEE 802.16), IEEE 802.20, evolução a longo prazo (LTE - Long Term Evolution), Ev~DO HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivados dos mesmos, bem como quaisquer outros protocolos sem fio que são designados por 3G, 4G, 5G e superior. O dispositivo de computação 4400 pode incluir uma pluralidade de chips de comunicação 4406. Por exemplo, um primeiro chip de comunicação 4406 pode ser dedicado a comunicações sem fio de alcance mais curto, como por exemplo Wi-Fi e Bluetooth, e um segundo chip de comunicação 4406 pode ser dedicado a comunicações sem fio de alcance mais longo, como por exemplo GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, e outros.
[0725] O processador 4404 do dispositivo de computação 4400 inclui uma pastilha de circuito integrado acondicionada dentro do processador 4404. Em algumas implementações de modalidades da revelação, a pastilha de circuito integrado do processador inclui um ou mais dispositivos, como por exemplo transistores MOS-FET construídos de acordo com implementações da revelação. O termo processador pode se referir a qualquer dispositivo ou porção de um dispositivo que processe dados eletrônicos de registros e/ou memória para transformar esses dados eletrônicos em outros dados eletrônicos que possam ser armazenados em registros e/ou memória.
[0726] O chip de comunicação 4406 inclui igualmente uma pastilha de circuito integrado acondicionada dentro do chip de comunicação 4406. De acordo com outra implementação da revelação, a pastilha de circuito integrado do chip de comunicação é construída de acordo com implementações da revelação.
[0727] Em outras implementações, outro componente alojado
Petição 870190046922, de 20/05/2019, pág. 321/557
312/326 dentro do dispositivo de computação 4400 pode conter uma pastilha de circuito integrado construído de acordo com implementações de modalidades da revelação.
[0728] Em várias modalidades, o dispositivo de computação 4400 pode ser um laptop, um netbook, um notebook, um ultrabook, um smartphone, um tablet, um assistente pessoal digital (PDA - Personal Digital Assistant), um PC ultra móvel, um telefone móvel, um computador de secretária, um servidor, uma impressora, um scanner, um monitor, uma set-top box, uma unidade de controle de entretenimento, uma câmera digital, um leitor de música portátil ou um gravador de vídeo digital. Em outras implementações, o dispositivo de computação 4400 pode ser qualquer outro dispositivo eletrônico que processe dados.
[0729] A Figura 45 ilustra um interposer 4500 que inclui uma ou mais modalidades da revelação. O interposer 4500 é um substrato intermediário usado para ligar em ponte um primeiro substrato 1102 a um segundo substrato 4502. O primeiro substrato 4502 pode ser, por exemplo, uma pastilha de circuito integrado. O segundo substrato 4504 pode ser, por exemplo, um módulo de memória, uma placa-mãe de computador ou outra pastilha de circuito integrado. Geralmente, o propósito de um interposer 4500 é difundir uma conexão até um afastamento maior ou redirecionar uma conexão para uma conexão diferente. Por exemplo, um interposer 4500 pode acoplar uma pastilha de circuito integrado em uma matriz de grade de esferas (BGA - Bali Grid Array) 506 que pode ser subsequentemente acoplada no segundo substrato 4504. Em algumas modalidades, o primeiro e segundo substratos 4502/4504 são ligados a lados opostos do interposer 4500. Em outras modalidades, o primeiro e segundo substratos 4502/4504 são ligados ao mesmo lado do interposer 4500. Igualmente, em outras modalidades, três ou mais substratos são interconectados através do
Petição 870190046922, de 20/05/2019, pág. 322/557
313/326 interposer 4500.
[0730] O interposer 4500 pode ser formado por uma resina epóxi, uma resina epóxi de fibra de vidro reforçada, um material cerâmico ou um material de polímero, como por exemplo poliimida. Em outras implementações, o interposer poáe ser formado por materiais rígidos ou flexíveis alternativos que possam incluir os mesmos materiais descritos acima para uso em um substrato semicondutor, como por exemplo silício, germânio e outros materiais do grupo lll-V e do grupo IV.
[0731] O interposer pode incluir interconexões de metal 4508 e vias 4510, incluindo, mas não se limitando a, vias através de silício (TSVs Through-Silicon Vias) 4512. O interposer 4500 pode ainda incluir dispositivos incorporados 4514, incluindo dispositivos tanto passivos como ativos. Esses dispositivos incluem, mas não se limitam a, condensadores, condensadores de desacoplamento, resistores, indutores, fusíveis, díodos, transformadores, sensores e dispositivos de descarga eletrostática (ESD - Electrostatic Discharge). Dispositivos mais complexos, como por exemplo dispositivos de radiofrequência (RF - Radio-Frequency), amplificadores de potência, dispositivos de gerenciamento de potência, antenas, matrizes, sensores e dispositivos MEMS, podem igualmente ser formados no interposer 45QQ. De acordo com modalidades da revelação, podem ser usados aparelhos ou processos aqui revelados na fabricação do interposer 4500.
[0732] Desse modo, as modalidades da presente revelação incluem padronização de afastamento sub-10 nm e dispositivos automontados. [0733] Modalidade de exemplo 1: uma estrutura de circuito integrado inclui uma pluralidade de corpos semicondutores se projetando desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um padrão de grade interrompido por uma porção de corpo parcial. Uma camada de isolamento de valas se encontra entre a pluralidade de corpos
Petição 870190046922, de 20/05/2019, pág. 323/557
314/326 semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, onde a camada de isolamento de valas se encontra sobre a porção de corpo parcial. Uma ou mais pilhas de eletrodos de porta se encontram em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da pluralidade de corpos semicondutores e em porções da camada de isolamento de valas. Uma camada de metalização na extremidade traseira de linha (BEOL) se encontra por cima da uma ou mais pilhas de eletrodos de porta, a camada de metalização na BEOL incluindo uma pluralidade de primeiros e segundos tipos de linha condutiva alternados ao longo de uma mesma direção, em que uma composição total do primeiro tipo de linha condutiva é diferente de uma composição total do segundo tipo de linha condutiva.
[0734] Modalidade de exemplo 2: a estrutura de circuito integrado da modalidade de exemplo 1, em que as linhas do primeiro tipo de linhas condutivas se encontram espaçadas por um afastamento, e em que as linhas do segundo tipo de linhas condutivas se encontram espaçadas pelo afastamento.
[0735] Modalidade de exemplo 3: a estrutura de circuito integrado da modalidade de exemplo 1 ou 2, em que a pluralidade de primeiros e segundos tipos de linhas condutivas alternados se encontra em uma camada dielétrica entre camadas (ILD).
[0736] Modalidade de exemplo 4: a estrutura de circuito integrado da modalidade de exemplo 1 ou 2, em que as linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são separadas por uma caixa de ar.
[0737] Modalidade de exemplo 5: a estrutura de circuito integrado da modalidade de exemplo 1,2,3 ou 4, em que a composição total do primeiro tipo de linhas condutivas inclui substancialmente cobre, e em
Petição 870190046922, de 20/05/2019, pág. 324/557
315/326 que a composição total do segundo tipo de linhas condutivas inclui substancialmente um material selecionado desde o grupo consistindo em Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos.
[0738] Modalidade de exemplo 6: a estrutura de circuito integrado da modalidade de exemplo 1,2, 3, 4 ou 5, em que cada uma das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados inclui uma camada de barreira ao longo de um fundo e das paredes laterais da linha.
[0739] Modalidade de exemplo 7: a estrutura de circuito integrado da modalidade de exemplo 1,2, 3, 4 ou 5, em que cada uma das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados inclui uma camada de barreira ao longo de um fundo da linha, mas não ao longo das paredes laterais da linha.
[0740] Modalidade de exemplo 8: a estrutura de circuito integrado da modalidade de exemplo 1, 2, 3, 4, 5, 6 ou 7, em que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são conectadas a uma via subjacente conectada a uma camada de metalização subjacente, a camada de metalização subjacente entre a uma ou mais pilhas de eletrodos de porta e a camada de metalização na BEOL, e em que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são interrompidas por um plugue dielétrico.
[0741] Modalidade de exemplo 9: a estrutura de circuito integrado da modalidade de exemplo 1, 2, 3, 4, 5, 6, 7 ou 8, em que o padrão de grade tem um afastamento constante.
[0742] Modalidade de exemplo 10: a estrutura de circuito integrado da modalidade de exemplo 1, 2, 3, 4, 5, 6, 7, 8 ou 9, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno são adjacentes
Petição 870190046922, de 20/05/2019, pág. 325/557
316/326 às porções superiores da pluralidade de corpos semicondutores e incluem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
[0743] Modalidade de exemplo 11: a estrutura de circuito integrado da modalidade de exemplo 1, 2, 3, 4, 5, 6, 7, 8 ou 9, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
[0744] Modalidade de exemplo 12: a estrutura de circuito integrado da modalidade de exemplo 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 ou 11, em que cada uma da uma ou mais pilhas de eletrodos de porta inclui uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal. [0745] Modalidade de exemplo 13: a estrutura de circuito integrado da modalidade de exemplo 1,2, 3, 4, 5, 6, 7, 8,9, 10, 11 ou 12, em que os primeiros tipos de linha condutiva têm uma superfície superior com uma composição metálica diferente de uma composição metálica de uma superfície superior dos segundos tipos de linha condutiva.
[0746] Modalidade de exemplo 14: uma estrutura de circuito integrado inclui uma pluralidade de corpos semicondutores se projetando desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um padrão de grade interrompido por uma porção de corpo parcial. Uma camada de isolamento de valas se encontra entre a pluralidade de corpos semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, onde a camada de isolamento de valas se encontra sobre a porção de corpo parcial. Uma ou mais pilhas de eletrodos de porta se encontram em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da
Petição 870190046922, de 20/05/2019, pág. 326/557
317/326 pluralidade de corpos semicondutores e em porções da camada de isolamento de valas. Uma camada de metalização na extremidade traseira de linha (BEOL) se encontra por cima da uma ou mais pilhas de eletrodos de porta, a camada de metalização na BEOL incluindo uma pluralidade de primeiros e segundos tipos de linhas condutivas alternados ao longo de uma mesma direção, em que cada uma das linhas da pluralidade de primeiros e segundos tipos de linha condutiva alternados inclui uma camada de barreira ao longo de um fundo da linha, mas não ao longo das paredes laterais da linha.
[0747] Modalidade de exemplo 15: a estrutura de circuito integrado da modalidade de exemplo 14, em que as linhas do primeiro tipo de linhas condutivas se encontram espaçadas por um afastamento, e em que as linhas do segundo tipo de linhas condutivas se encontram espaçadas pelo afastamento.
[0748] Modalidade de exemplo 16: a estrutura de circuito integrado da modalidade de exemplo 14 ou 15, em que a pluralidade de primeiros e segundos tipos de linhas condutivas alternados se encontra em uma camada dielétrica entre camadas (ILD).
[0749] Modalidade de exemplo 17: a estrutura de circuito integrado da modalidade de exemplo 14 ou 15, em que as linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são separadas por uma caixa de ar.
[0750] Modalidade de exemplo 18: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16 ou 17, em que uma composição total do primeiro tipo de linha condutiva é igual a uma composição total do segundo tipo de linha condutiva.
[0751] Modalidade de exemplo 19: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16 ou 17, em que uma composição total do primeiro tipo de linhas condutivas inclui substancialmente cobre, e em que uma composição total do segundo tipo de linhas condutivas
Petição 870190046922, de 20/05/2019, pág. 327/557
318/326 inclui substancialmente um material selecionado desde o grupo consistindo em Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos.
[0752] Modalidade de exemplo 20: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16, 17, 18 ou 19, em que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são conectadas a uma via subjacente conectada a uma camada de metalização subjacente, a camada de metalização subjacente entre a uma ou mais pilhas de eletrodos de porta e a camada de metalização na BEOL, e em que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são interrompidas por um plugue dielétrico.
[0753] Modalidade de exemplo 21: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16, 17, 18 ou 19, em que o padrão de grade tem um afastamento constante.
[0754] Modalidade de exemplo 22: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16, 17, 18, 19, 20 ou 21, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno são adjacentes às porções superiores da pluralidade de corpos semicondutores e incluem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
[0755] Modalidade de exemplo 23: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16, 17, 18, 19, 20 ou 21, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
[0756] Modalidade de exemplo 24: a estrutura de circuito integrado da modalidade de exemplo 14, 15, 16, 17, 18, 19, 20, 21, 22 ou 23, em
Petição 870190046922, de 20/05/2019, pág. 328/557
319/326 que cada uma da uma ou mais pilhas de eletrodos de porta inclui uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal. [0757] Modalidade de exemplo 25: uma estrutura de circuito integrado inclui uma pluralidade de corpos semicondutores se projetando desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um primeiro padrão de grade interrompido por uma porção de corpo parcial. Uma camada de isolamento de valas se encontra entre a pluralidade de corpos semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, onde a camada de isolamento de valas se encontra sobre a porção de corpo parcial. Uma ou mais pilhas de eletrodos de porta se encontram em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da pluralidade de corpos semicondutores e em porções da camada de isolamento de valas. Uma primeira camada de metalização na extremidade traseira de linha (BEOL) se encontra por cima da uma ou mais pilhas de eletrodo de porta, a primeira camada de metalização na BEOL incluindo uma segunda grade de linhas de metal e linhas dielétricas alternadas em uma primeira direção. Uma segunda camada de metalização na BEOL se encontra por cima da primeira camada de metalização na BEOL, a segunda camada de metalização na BEOL incluindo uma terceira grade de linhas de metal e linhas dielétricas alternadas em uma segunda direção. A segunda direção é ortogonal à primeira direção. Cada linha de metal da terceira grade da segunda camada de metalização na BEOL se encontra em uma camada dielétrica incluindo regiões distintas alternadas de um primeiro material dielétrico e um segundo material dielétrico correspondendo às linhas de metal e linhas dielétricas alternadas da primeira camada de metalização na BEOL. Cada linha dielétrica da terceira grade da segunda camada
Petição 870190046922, de 20/05/2019, pág. 329/557
320/326 de metalização na BEOL inclui uma região contínua de um terceiro material dielétrico distinto das regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico.
[0758] Modalidade de exemplo 26: a estrutura de circuito integrado da modalidade de exemplo 25, em que uma linha de metal da segunda camada de metalização na BEOL é eletricamente acoplada a uma linha de metal da primeira camada de metalização na BEOL por uma via tendo um centro diretamente alinhado com um centro da linha de metal da primeira camada de metalização na BEOL e com um centro da linha de metal da segunda camada de metalização na BEOL.
[0759] Modalidade de exemplo 27: a estrutura de circuito integrado da modalidade de exemplo 25 ou 26, em que uma linha de metal da segunda camada de metalização na BEOL é perturbada por um plugue tendo um centro diretamente alinhado com um centro de uma linha dielétrica da primeira camada de metalização na BEOL.
[0760] Modalidade de exemplo 28: a estrutura de circuito integrado da modalidade de exemplo 25, 26 ou 27, em que nenhum do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
[0761] Modalidade de exemplo 29: a estrutura de circuito integrado da modalidade de exemplo 25, 26 ou 27, em que somente dois do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
[0762] Modalidade de exemplo 30: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28 ou 29, em que as regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico são separadas por junções, e em que a região contínua do terceiro material dielétrico é separada das regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico por junções.
Petição 870190046922, de 20/05/2019, pág. 330/557
321/326 [0763] Modalidade de exemplo 31: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27 ou 30, em que todos do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
[0764] Modalidade de exemplo 32: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28, 29, 30 ou 31, em que o primeiro padrão de grade tem um afastamento constante.
[0765] Modalidade de exemplo 33: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28, 29, 30, 31 ou 32, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno são adjacentes às porções superiores da pluralidade de corpos semicondutores e incluem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
[0766] Modalidade de exemplo 34: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28, 29, 30, 31 ou 32, incluindo ainda regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
[0767] Modalidade de exemplo 35: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28, 29, 30, 31, 32, 33 ou 34, em que cada uma da uma ou mais pilhas de eletrodos de porta inclui uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal. [0768] Modalidade de exemplo 36: a estrutura de circuito integrado da modalidade de exemplo 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ou 35, em que uma camada de paragem de gravação ou uma camada dielétrica adicional separa a primeira camada de metalização na BEOL e a segunda camada de metalização na BEOL.
[0769] Modalidade de exemplo 37: um método de fabricação de
Petição 870190046922, de 20/05/2019, pág. 331/557
322/326 uma estrutura de circuito integrado inclui a formação de uma pluralidade de membros de base por cima de um substrato, formando um primeiro conjunto de espaçadores ao longo de paredes laterais de cada um da pluralidade de membros de base, o primeiro conjunto de espaçadores tendo uma primeira composição de material diferente de uma composição de material da pluralidade de membros de base, formando um segundo conjunto de espaçadores ao longo de paredes laterais de cada um do primeiro conjunto de espaçadores, o segundo conjunto de espaçadores tendo uma segunda composição de material diferente da primeira composição de material e diferente da composição de material da pluralidade de membros de base, formando um terceiro conjunto de espaçadores ao longo das paredes laterais de cada um do segundo conjunto de espaçadores, o terceiro conjunto de espaçadores tendo uma terceira composição de material diferente da primeira composição de material, diferente da segunda composição de material e diferente da composição de material da pluralidade de membros de base, formando um quarto conjunto de espaçadores ao longo das paredes laterais de cada um do terceiro conjunto de espaçadores, o quarto conjunto de espaçadores tendo a segunda composição de material, formando um quinto conjunto de espaçadores lateralmente adjacente às paredes laterais de cada um do quarto conjunto de espaçadores, o quinto conjunto de espaçadores tendo a primeira composição de material, removendo a pluralidade de membros de base subsequentemente à formação do quinto conjunto de espaçadores, formando um sexto conjunto de espaçadores ao longo das paredes laterais de cada um do primeiro conjunto de espaçadores e ao longo das paredes laterais de cada um do quinto conjunto de espaçadores subsequentemente à remoção da pluralidade de membros de base, o sexto conjunto de espaçadores tendo a segunda composição de material, formando um membro final em cada abertura entre pares
Petição 870190046922, de 20/05/2019, pág. 332/557
323/326 adjacentes de espaçadores do sexto conjunto de espaçadores, planarizando o primeiro conjunto de espaçadores, o segundo conjunto de espaçadores, o terceiro conjunto de espaçadores, o quarto conjunto de espaçadores, o quinto conjunto de espaçadores, o sexto conjunto de espaçadores e os membros finais para formar uma camada de base alvo, e usando a camada de base alvo para formar uma camada de metalização de uma estrutura semicondutora.
[0770] Modalidade de exemplo 38: o método da modalidade de exemplo 37, em que a formação da pluralidade de membros de base inclui o uso de uma operação de litografia padrão.
[0771] Modalidade de exemplo 39: o método da modalidade de exemplo 37 ou 38, em que a formação da pluralidade de membros de base inclui a formação de uma pluralidade de membros incluindo um material selecionado desde o grupo consistindo em nitreto de silício, óxido de silício e carboneto de silício.
[0772] Modalidade de exemplo 40: o método da modalidade de exemplo 37, 38 ou 39, em que a formação do primeiro conjunto de espaçadores inclui a deposição de um material do primeiro conjunto de espaçadores conforme com a pluralidade de membros de base usando um processo de deposição de camada atômica (ALD), e uma gravação isotrópica do material do primeiro conjunto de espaçadores para formar o primeiro conjunto de espaçadores ao longo das paredes laterais de cada um da pluralidade de membros de base.
[0773] Modalidade de exemplo 41: o método da modalidade de exemplo 37, 38 ou 39, em que a formação do primeiro conjunto de espaçadores inclui o crescimento de forma seletiva de um material do primeiro conjunto de espaçadores ao longo das paredes laterais de cada um da pluralidade de membros de base.
[0774] Modalidade de exemplo 42: o método da modalidade de exemplo 37, 38, 39, 40 ou 41, em que cada membro final tem uma
Petição 870190046922, de 20/05/2019, pág. 333/557
324/326 largura lateral maior que uma largura lateral de cada espaçador do primeiro conjunto de espaçadores, do segundo conjunto de espaçadores, do terceiro conjunto de espaçadores, do quarto conjunto de espaçadores, do quinto conjunto de espaçadores e do sexto conjunto de espaçadores.
[0775] Modalidade de exemplo 43: o método da modalidade de exemplo 37, 38, 39, 40, 41 ou 42, em que cada membro final é formado por uma fusão de crescimento de material formado ao longo de pares adjacentes de espaçadores do sexto conjunto de espaçadores.
[0776] Modalidade de exemplo 44: o método da modalidade de exemplo 37, 38, 39, 40, 41,42 ou 43, em que cada membro final inclui a terceira composição de material.
[0777] Modalidade de exemplo 45: o método da modalidade de exemplo 37, 38, 39, 40, 41,42, 43 ou 44, em que o uso da camada de base alvo para formar a camada de metalização da estrutura semicondutora inclui a remoção de todas as porções da primeira composição de material para formar uma primeira pluralidade de valas, e a formação de uma primeira pluralidade de linhas condutivas na primeira pluralidade de valas.
[0778] Modalidade de exemplo 46: o método da modalidade de exemplo 45, em que o uso da camada de base alvo para formar a camada de metalização da estrutura semicondutora inclui ainda a remoção de todas as porções da terceira composição de material para formar uma segunda pluralidade de valas, e a formação de uma segunda pluralidade de linhas condutivas na segunda pluralidade de valas.
[0779] Modalidade de exemplo 47: o método da modalidade de exemplo 46, em que a primeira pluralidade de linhas condutivas e a segunda pluralidade de linhas condutivas são de uma mesma composição.
Petição 870190046922, de 20/05/2019, pág. 334/557
325/326 [0780] Modalidade de exemplo 48: o método da modalidade de exemplo 46, em que a primeira pluralidade de linhas condutivas e a segunda pluralidade de linhas condutivas são de uma composição diferente.
[0781] Modalidade de exemplo 49: o método da modalidade de exemplo 37, 38, 39, 40, 41,42, 43, 44, 45, 46, 47 ou 48, incluindo ainda a formação de 20 a 200 conjuntos adicionais de espaçadores entre a formação do quinto conjunto de espaçadores e do sexto conjunto de espaçadores, e antes da remoção da pluralidade de membros de base. [0782] Modalidade de exemplo 50: uma estrutura alvo para fabricação de uma estrutura de circuito integrado inclui um primeiro conjunto de espaçadores por cima de uma camada de máscara dura por cima de um substrato, o primeiro conjunto de espaçadores tendo uma primeira composição de material. Um segundo conjunto de espaçadores se encontra ao longo das paredes laterais exteriores de cada um do primeiro conjunto de espaçadores, o segundo conjunto de espaçadores tendo uma segunda composição de material diferente da primeira composição de material. Um terceiro conjunto de espaçadores se encontra ao longo das paredes laterais de cada um do segundo conjunto de espaçadores, o terceiro conjunto de espaçadores tendo uma terceira composição de material diferente da primeira composição de material e diferente da segunda composição de material. Um quarto conjunto de espaçadores se encontra ao longo das paredes laterais de cada um do terceiro conjunto de espaçadores, o quarto conjunto de espaçadores tendo a segunda composição de material. Um quinto conjunto de espaçadores é lateralmente adjacente às paredes laterais de cada um do quarto conjunto de espaçadores, o quinto conjunto de espaçadores tendo a primeira composição de material. Um sexto conjunto de espaçadores se encontra ao longo das paredes laterais interiores de cada um do primeiro conjunto de espaçadores e ao longo
Petição 870190046922, de 20/05/2019, pág. 335/557
326/326 das paredes laterais de cada um do quinto conjunto de espaçadores e o sexto conjunto de espaçadores tendo a segunda composição de material. Uma funcionalidade final se encontra em cada abertura entre pares adjacentes de espaçadores do sexto conjunto de espaçadores. [0783] Modalidade de exemplo 51: a estrutura alvo da modalidade de exemplo 50, em que o primeiro conjunto de espaçadores, o segundo conjunto de espaçadores, o terceiro conjunto de espaçadores, o quarto conjunto de espaçadores, o quinto conjunto de espaçadores, o sexto conjunto de espaçadores e os membros finais são substancialmente coplanares entre si.
[0784] Modalidade de exemplo 52: a estrutura alvo da modalidade de exemplo 50 ou 51, em que cada membro final tem uma largura lateral maior que uma largura lateral de cada espaçador do primeiro conjunto de espaçadores, do segundo conjunto de espaçadores, do terceiro conjunto de espaçadores, do quarto conjunto de espaçadores, do quinto conjunto de espaçadores e do sexto conjunto de espaçadores.
[0785] Modalidade de exemplo 53: a estrutura alvo da modalidade de exemplo 52, em que a largura lateral de cada membro final se encontra na faixa de 6 a 12 nanômetros.
[0786] Modalidade de exemplo 54: a estrutura alvo da modalidade de exemplo 50, 51,52 ou 53, em que cada membro final tem uma junção aproximadamente centrada dentro do membro final.
[0787] Modalidade de exemplo 55: a estrutura alvo da modalidade de exemplo 50, 51, 52, 53 ou 54, em que cada membro final inclui a terceira composição de material.

Claims (55)

  1. REIVINDICAÇÕES
    1. Estrutura de circuito integrado, caracterizada pelo fato de compreender:
    uma pluralidade de corpos semicondutores se projetando desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um padrão de grade interrompido por uma porção de corpo parcial;
    uma camada de isolamento de valas entre a pluralidade de corpos semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, em que a camada de isolamento de valas se encontra sobre a porção de corpo parcial;
    uma ou mais pilhas de eletrodos de porta em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da pluralidade de corpos semicondutores e em porções da camada de isolamento de valas; e uma camada de metalização na extremidade traseira de linha (BEOL) por cima da uma ou mais pilhas de eletrodos de porta, a camada de metalização na BEOL compreendendo uma pluralidade de primeiros e segundos tipos de linha condutiva alternados ao longo de uma mesma direção, em que uma composição total do primeiro tipo de linha condutiva é diferente de uma composição total do segundo tipo de linha condutiva.
  2. 2. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que as linhas do primeiro tipo de linhas condutivas se encontram espaçadas por um afastamento, e em que as linhas do segundo tipo de linhas condutivas se encontram espaçadas pelo afastamento.
  3. 3. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que a pluralidade de
    Petição 870190046922, de 20/05/2019, pág. 337/557
    2/14 primeiros e segundos tipos de linhas condutivas alternados se encontra em uma camada dielétrica entre camadas (ILD).
  4. 4. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que as linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são separadas por uma caixa de ar.
  5. 5. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que a composição total do primeiro tipo de linhas condutivas compreende substancialmente cobre, e em que a composição total do segundo tipo de linhas condutivas compreende substancialmente um material selecionado desde o grupo consistindo em Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos.
  6. 6. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que cada uma das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados compreende uma camada de barreira ao longo de um fundo e das paredes laterais da linha.
  7. 7. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que cada uma das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados compreende uma camada de barreira ao longo de um fundo da linha, mas não ao longo das paredes laterais da linha.
  8. 8. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são conectadas a uma via subjacente conectada a uma camada de metalização subjacente, a camada de metalização subjacente entre a uma ou mais pilhas de eletrodos de porta e a camada de metalização na BEOL, e em que uma ou mais das linhas da
    Petição 870190046922, de 20/05/2019, pág. 338/557
    3/14 pluralidade de primeiros e segundos tipos de linhas condutivas alternados são interrompidas por um plugue dielétrico.
  9. 9. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que o padrão de grade tem um afastamento constante.
  10. 10. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de compreender ainda:
    regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodo de porta, em que as regiões de fonte ou dreno são adjacentes às porções superiores da pluralidade de corpos semicondutores e compreendem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
  11. 11. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de compreender ainda:
    regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
  12. 12. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que cada uma da uma ou mais pilhas de eletrodos de porta compreende uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal.
  13. 13. Estrutura de circuito integrado, de acordo com a reivindicação 1, caracterizada pelo fato de que os primeiros tipos de linha condutiva têm uma superfície superior com uma composição metálica diferente de uma composição metálica de uma superfície superior dos segundos tipos de linha condutiva.
  14. 14. Estrutura de circuito integrado, caracterizada pelo fato de compreender:
    uma pluralidade de corpos semicondutores se projetando
    Petição 870190046922, de 20/05/2019, pág. 339/557
    4/14 desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um padrão de grade interrompido por uma porção de corpo parcial;
    uma camada de isolamento de valas entre a pluralidade de corpos semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, em que a camada de isolamento de valas se encontra sobre a porção de corpo parcial;
    uma ou mais pilhas de eletrodos de porta em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da pluralidade de corpos semicondutores e em porções da camada de isolamento de valas; e uma camada de metalização na extremidade traseira de linha (BEOL) por cima da uma ou mais pilhas de eletrodos de porta, a camada de metalização na BEOL compreendendo uma pluralidade de primeiros e segundos tipos de linhas condutivas alternados ao longo de uma mesma direção, em que cada uma das linhas da pluralidade de primeiros e segundos tipos de linha condutiva alternados compreende uma camada de barreira ao longo de um fundo da linha, mas não ao longo das paredes laterais da linha.
  15. 15. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada peto fato de que as linhas do primeiro tipo de linhas condutivas se encontram espaçadas por um afastamento, e em que as linhas do segundo tipo de linhas condutivas se encontram espaçadas pelo afastamento.
  16. 16. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que a pluralidade de primeiros e segundos tipos de linhas condutivas alternados se encontra em uma camada dielétrica entre camadas (ILD).
  17. 17. Estrutura de circuito integrado, de acordo com a
    Petição 870190046922, de 20/05/2019, pág. 340/557
    5/14 reivindicação 14, caracterizada pelo fato de que as linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são separadas por uma caixa de ar.
  18. 18. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que uma composição total do primeiro tipo de linha condutiva é igual a uma composição total do segundo tipo de linha condutiva.
  19. 19. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que uma composição total do primeiro tipo de linhas condutivas compreende substancialmente cobre, e em que uma composição total do segundo tipo de linhas condutivas compreende substancialmente um material selecionado desde o grupo consistindo em Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au e ligas dos mesmos.
  20. 20. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são conectadas a uma via subjacente conectada a uma camada de metalização subjacente, a camada de metalização subjacente entre a uma ou mais pilhas de eletrodos de porta e a camada de metalização na BEOL, e em que uma ou mais das linhas da pluralidade de primeiros e segundos tipos de linhas condutivas alternados são interrompidas por um plugue dielétrico.
  21. 21. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que o padrão de grade tem um afastamento constante.
  22. 22. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de compreender ainda:
    regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodo de porta, em que as regiões de fonte ou dreno
    Petição 870190046922, de 20/05/2019, pág. 341/557
    6/14 são adjacentes às porções superiores da pluralidade de corpos semicondutores e compreendem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
  23. 23. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de compreender ainda:
    regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
  24. 24. Estrutura de circuito integrado, de acordo com a reivindicação 14, caracterizada pelo fato de que cada uma da uma ou mais pilhas de eletrodos de porta compreende uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal.
  25. 25. Estrutura de circuito integrado, caracterizada pelo fato de compreender:
    uma pluralidade de corpos semicondutores se projetando desde uma superfície de um substrato semicondutor, a pluralidade de corpos semicondutores tendo um primeiro padrão de grade interrompido por uma porção de corpo parcial;
    uma camada de isolamento de valas entre a pluralidade de corpos semicondutores e adjacente a porções inferiores da pluralidade de corpos semicondutores, mas não adjacente a porções superiores da pluralidade de corpos semicondutores, em que a camada de isolamento de valas se encontra sobre a porção de corpo parcial;
    uma ou mais pilhas de eletrodos de porta em superfícies superiores e lateralmente adjacentes a paredes laterais das porções superiores da pluralidade de corpos semicondutores e em porções da camada de isolamento de valas;
    uma primeira camada de metalização na extremidade traseira de linha (BEOL) por cima da uma ou mais pilhas de eletrodo de
    Petição 870190046922, de 20/05/2019, pág. 342/557
    7/14 porta, a primeira camada de metalização na BEOL compreendendo uma segunda grade de linhas de metal e linhas dielétricas alternadas em uma primeira direção; e uma segunda camada de metalização na BEOL por cima da primeira camada de metalização na BEOL, a segunda camada de metalização na BEOL compreendendo uma terceira grade de linhas de metal e linhas dielétricas alternadas em uma segunda direção, a segunda direção ortogonal à primeira direção, em que cada linha de metal da terceira grade da segunda camada de metalização na BEOL se encontra em uma camada dielétrica compreendendo regiões distintas alternadas de um primeiro material dielétrico e um segundo material dielétrico correspondendo às linhas de metal e linhas dielétricas alternadas da primeira camada de metalização na BEOL, e em que cada linha dielétrica da terceira grade da segunda camada de metalização na BEOL compreende uma região contínua de um terceiro material dielétrico distinto das regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico.
  26. 26. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que uma linha de metal da segunda camada de metalização na BEOL é eletricamente acoplada a uma linha de metal da primeira camada de metalização na BEOL por uma via tendo um centro diretamente alinhado com um centro da linha de metal da primeira camada de metalização na BEOL e com um centro da linha de metal da segunda camada de metalização na BEOL.
  27. 27. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que uma linha de metal da segunda camada de metalização na BEOL é perturbada por um plugue tendo um centro diretamente alinhado com um centro de uma linha dielétrica da primeira camada de metalização na BEOL.
  28. 28. Estrutura de circuito integrado, de acordo com a
    Petição 870190046922, de 20/05/2019, pág. 343/557
    8/14 reivindicação 25, caracterizada pelo fato de que nenhum do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
  29. 29. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que somente dois do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
  30. 30. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que as regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico são separadas por junções, e em que a região contínua do terceiro material dielétrico é separada das regiões distintas alternadas do primeiro material dielétrico e do segundo material dielétrico por junções.
  31. 31. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que todos do primeiro material dielétrico, do segundo material dielétrico e do terceiro material dielétrico são o mesmo material.
  32. 32. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que o primeiro padrão de grade tem um afastamento constante.
  33. 33. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de compreender ainda:
    regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodo de porta, em que as regiões de fonte ou dreno são adjacentes às porções superiores da pluralidade de corpos semicondutores e compreendem um material semicondutor diferente do material semicondutor dos corpos semicondutores.
  34. 34. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de compreender ainda:
    Petição 870190046922, de 20/05/2019, pág. 344/557
    9/14 regiões de fonte ou dreno em ambos os lados da uma ou mais pilhas de eletrodos de porta, em que as regiões de fonte ou dreno se encontram dentro das porções superiores da pluralidade de corpos semicondutores.
  35. 35. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que cada uma da uma ou mais pilhas de eletrodos de porta compreende uma camada dielétrica de porta de k elevado e um eletrodo de porta de metal.
  36. 36. Estrutura de circuito integrado, de acordo com a reivindicação 25, caracterizada pelo fato de que uma camada de paragem de gravação ou uma camada dielétrica adicional separa a primeira camada de metalização na BEOL e a segunda camada de metalização na BEOL.
  37. 37. Método de fabricação de uma estrutura de circuito integrado, caracterizado pelo fato de compreender:
    a formação de uma pluralidade de membros de base por cima de um substrato;
    a formação de um primeiro conjunto de espaçadores ao longo das paredes laterais de cada um da pluralidade de membros de base, o primeiro conjunto de espaçadores tendo uma primeira composição de material diferente de uma composição de material da pluralidade de membros de base;
    a formação de um segundo conjunto de espaçadores ao longo das paredes laterais de cada um do primeiro conjunto de espaçadores, o segundo conjunto de espaçadores tendo uma segunda composição de material diferente da primeira composição de material e diferente da composição de material da pluralidade de membros de base;
    a formação de um terceiro conjunto de espaçadores ao longo das paredes laterais de cada um do segundo conjunto de espaçadores,
    Petição 870190046922, de 20/05/2019, pág. 345/557
    10/14 o terceiro conjunto de espaçadores tendo uma terceira composição de material diferente da primeira composição de material, diferente da segunda composição de material e diferente da composição de material da pluralidade de membros de base;
    a formação de um quarto conjunto de espaçadores ao longo das paredes laterais de cada um do terceiro conjunto de espaçadores, o quarto conjunto de espaçadores tendo a segunda composição de material;
    a formação de um quinto conjunto de espaçadores lateralmente adjacente às paredes laterais de cada um do quarto conjunto de espaçadores, o quinto conjunto de espaçadores tendo a primeira composição de material;
    subsequente à formação do quinto conjunto de espaçadores, a remoção da pluralidade de membros de base;
    subsequente à remoção da pluralidade de membros de base, a formação de um sexto conjunto de espaçadores ao longo das paredes laterais de cada um do primeiro conjunto de espaçadores e ao longo das paredes laterais de cada um do quinto conjunto de espaçadores, o sexto conjunto de espaçadores tendo a segunda composição de material;
    a formação de um membro final em cada abertura entre pares adjacentes de espaçadores do sexto conjunto de espaçadores;
    a planarização do primeiro conjunto de espaçadores, do segundo conjunto de espaçadores, do terceiro conjunto de espaçadores, do quarto conjunto de espaçadores, do quinto conjunto de espaçadores, do sexto conjunto de espaçadores e dos membros finais para formar uma camada de base alvo; e o uso da camada de base alvo para formar uma camada de metalização de uma estrutura semicondutora.
  38. 38. Método, de acordo com a reivindicação 37,
    Petição 870190046922, de 20/05/2019, pág. 346/557
    11/14 caracterizado pelo fato de que a formação da pluralidade de membros de base compreende o uso de uma operação de litografia padrão.
  39. 39. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que a formação da pluralidade de membros de base compreende a formação de uma pluralidade de membros compreendendo um material selecionado desde o grupo consistindo em nitreto de silício, óxido de silício e carboneto de silício.
  40. 40. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que a formação do primeiro conjunto de espaçadores compreende:
    a deposição de um material do primeiro conjunto de espaçadores conforme com a pluralidade de membros de base usando um processo de deposição de camada atômica (ALD); e a gravação anisotrópica do material do primeiro conjunto de espaçadores para formar o primeiro conjunto de espaçadores ao longo das paredes laterais de cada um da pluralidade de membros de base.
  41. 41. Método, de acordo com a reivindicação 37, caracterizado peto fato de que a formação do primeiro conjunto de espaçadores compreende o crescimento de forma seletiva de um material do primeiro conjunto de espaçadores ao longo das paredes laterais de cada um da pluralidade de membros de base.
  42. 42. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que cada membro final tem uma largura lateral maior que uma largura lateral de cada espaçador do primeiro conjunto de espaçadores, do segundo conjunto de espaçadores, do terceiro conjunto de espaçadores, do quarto conjunto de espaçadores, do quinto conjunto de espaçadores e do sexto conjunto de espaçadores.
  43. 43. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que cada membro final é formado por uma fusão de crescimento de material formado ao longo de pares adjacentes
    Petição 870190046922, de 20/05/2019, pág. 347/557
    12/14 de espaçadores do sexto conjunto de espaçadores.
  44. 44. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que cada membro final compreende a terceira composição de material.
  45. 45. Método, de acordo com a reivindicação 37, caracterizado pelo fato de que o uso da camada de base alvo para formar a camada de metalização da estrutura semicondutora compreende:
    a remoção de todas as porções da primeira composição de material para formar uma primeira pluralidade de valas; e a formação de uma primeira pluralidade de linhas condutivas na primeira pluralidade de valas.
  46. 46. Método, de acordo com a reivindicação 45, caracterizado pelo fato de que o uso da camada de base alvo para formar a camada de metalização da estrutura semicondutora compreende ainda:
    a remoção de todas as porções da terceira composição de material para formar uma segunda pluralidade de valas; e a formação de uma segunda pluralidade de linhas condutivas na segunda pluralidade de valas.
  47. 47. Método, de acordo com a reivindicação 46, caracterizado pelo fato de que a primeira pluralidade de linhas condutivas e a segunda pluralidade de linhas condutivas são de uma mesma composição.
  48. 48. Método, de acordo com a reivindicação 46, caracterizado pelo fato de que a primeira pluralidade de linhas condutivas e a segunda pluralidade de linhas condutivas são de uma composição diferente.
  49. 49. Método, de acordo com a reivindicação 37, caracterizado pelo fato de compreender ainda a formação de 20 a 200
    Petição 870190046922, de 20/05/2019, pág. 348/557
    13/14 conjuntos adicionais de espaçadores entre a formação do quinto conjunto de espaçadores e do sexto conjunto de espaçadores, e antes da remoção da pluralidade de membros de base.
  50. 50. Estrutura alvo para fabricação de uma estrutura de circuito integrado, caracterizada pelo fato decompreender:
    um primeiro conjunto de espaçadores por cima de uma camada de máscara dura por cima de um substrato, o primeiro conjunto de espaçadores tendo uma primeira composição de material;
    um segundo conjunto de espaçadores ao longo das paredes laterais exteriores de cada um do primeiro conjunto de espaçadores, o segundo conjunto de espaçadores tendo uma segunda composição de material diferente da primeira composição de material;
    um terceiro conjunto de espaçadores ao longo das paredes laterais de cada um do segundo conjunto de espaçadores, o terceiro conjunto de espaçadores tendo uma terceira composição de material diferente da primeira composição de material e diferente da segunda composição de material;
    um quarto conjunto de espaçadores ao longo das paredes laterais de cada um do terceiro conjunto de espaçadores, o quarto conjunto de espaçadores tendo a segunda composição de material;
    um quinto conjunto de espaçadores lateralmente adjacente às paredes laterais de cada um do quarto conjunto de espaçadores, o quinto conjunto de espaçadores tendo a primeira composição de material;
    um sexto conjunto de espaçadores ao longo das paredes laterais interiores de cada um do primeiro conjunto de espaçadores e ao longo das paredes laterais de cada um do quinto conjunto de espaçadores, o sexto conjunto de espaçadores tendo a segunda composição de material; e um membro final em cada abertura entre pares adjacentes
    Petição 870190046922, de 20/05/2019, pág. 349/557
    14/14 de espaçadores do sexto conjunto de espaçadores.
  51. 51. Estrutura alvo, de acordo com a reivindicação 50, caracterizada pelo fato de que o primeiro conjunto de espaçadores, o segundo conjunto de espaçadores, o terceiro conjunto de espaçadores, o quarto conjunto de espaçadores, o quinto conjunto de espaçadores, o sexto conjunto de espaçadores e os membros finais são substancialmente coplanares entre si.
  52. 52. Estrutura alvo, de acordo com a reivindicação 50, caracterizada pelo fato de que cada membro final tem uma largura lateral maior que uma largura lateral de cada espaçador do primeiro conjunto de espaçadores, do segundo conjunto de espaçadores, do terceiro conjunto de espaçadores, do quarto conjunto de espaçadores, do quinto conjunto de espaçadores e do sexto conjunto de espaçadores.
  53. 53. Estrutura alvo, de acordo com a reivindicação 52, caracterizada pelo fato de que a largura lateral de cada membro final se encontra na faixa de 6 a 12 nanômetros.
  54. 54. Estrutura alvo, de acordo com a reivindicação 50, caracterizada pelo fato de que cada membro final tem uma junção aproximadamente centrada dentro do membro final.
  55. 55. Estrutura alvo, de acordo com a reivindicação 50, caracterizada pelo fato de que cada membro final compreende a terceira composição de material.
BR112019010217A 2016-12-23 2016-12-23 litografia avançada e dispositivos automontados BR112019010217A2 (pt)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/068586 WO2018118092A1 (en) 2016-12-23 2016-12-23 Advanced lithography and self-assembled devices

Publications (1)

Publication Number Publication Date
BR112019010217A2 true BR112019010217A2 (pt) 2019-08-27

Family

ID=62627078

Family Applications (1)

Application Number Title Priority Date Filing Date
BR112019010217A BR112019010217A2 (pt) 2016-12-23 2016-12-23 litografia avançada e dispositivos automontados

Country Status (7)

Country Link
US (4) US10892223B2 (pt)
JP (2) JP6923277B2 (pt)
KR (1) KR20190090372A (pt)
CN (2) CN110337715B (pt)
BR (1) BR112019010217A2 (pt)
DE (1) DE112016007542T5 (pt)
WO (1) WO2018118092A1 (pt)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180242465A1 (en) * 2017-02-23 2018-08-23 Lawrence Livermore National Security, Llc Fabrication of metal nanowire meshes over large areas by shear-alignment of block copolymers
FI128376B (en) * 2017-06-02 2020-04-15 Dispelix Oy Process for the preparation of a diffractive grating with varying efficiency and a diffraction grating
US11398428B2 (en) * 2018-03-26 2022-07-26 Intel Corporation Multifunctional molecules for selective polymer formation on conductive surfaces and structures resulting therefrom
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
EP3814530A4 (en) 2018-06-29 2022-03-23 Illumina, Inc. FLOW CELLS
US11335598B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Grating replication using helmets and topographically-selective deposition
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
US11004791B2 (en) * 2019-04-12 2021-05-11 Advanced Micro Devices, Inc. Semiconductor chip with stacked conductor lines and air gaps
US11270935B2 (en) * 2019-07-18 2022-03-08 International Business Machines Corporation Metallization layer formation process
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner
US12057388B2 (en) * 2019-09-24 2024-08-06 Intel Corporation Integrated circuit structures having linerless self-forming barriers
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
US20220181199A1 (en) * 2020-04-27 2022-06-09 United Microelectronics Corp. Semiconductor device and method for fabricating semiconductor device
CN117393536A (zh) * 2020-04-27 2024-01-12 联华电子股份有限公司 半导体元件及其制造方法
TWI828985B (zh) * 2020-06-10 2024-01-11 美商應用材料股份有限公司 完全自對準減法蝕刻
EP3922596A1 (en) 2020-06-12 2021-12-15 Imec VZW A method for processing a semiconductor device with two closely spaced gates
US11569166B2 (en) * 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
EP4044219A3 (en) * 2020-12-21 2022-08-31 INTEL Corporation Via opening rectification using lamellar triblock copolymer, polymer nanocomposite, or mixed epitaxy
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US20230086420A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Self aligned quadruple patterning interconnects

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6172387B1 (en) * 1998-05-04 2001-01-09 Micron Technology, Inc. Semiconductor interconnection structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7488650B2 (en) 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
JP5147330B2 (ja) * 2006-08-25 2013-02-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20090200683A1 (en) 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8362572B2 (en) 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
KR20140017631A (ko) 2011-03-29 2014-02-11 콘티넨탈 테베스 아게 운트 코. 오하게 전기 차량들에 있어서 공급 전압을 측정하는 디바이스
US8614144B2 (en) 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
KR20200054336A (ko) 2011-12-22 2020-05-19 인텔 코포레이션 반도체 구조
US9153440B2 (en) * 2012-03-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US20130256425A1 (en) 2012-03-27 2013-10-03 Alfonso M. Misuraca, SR. Self cleaning eductor
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
KR102167351B1 (ko) 2013-09-27 2020-10-19 인텔 코포레이션 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝
CN110060972B (zh) 2013-09-27 2024-02-23 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
US9793159B2 (en) 2013-09-27 2017-10-17 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US9041217B1 (en) 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9831306B2 (en) * 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
KR102195230B1 (ko) * 2014-06-03 2020-12-24 삼성전자주식회사 정전기 보호 소자
CN106463352B (zh) 2014-06-13 2020-06-19 英特尔公司 借助于电子束的层上单向金属
JP6526718B2 (ja) * 2014-06-13 2019-06-05 インテル・コーポレーション 電子ビームの非ユニバーサルカッタ
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
CN105322013B (zh) * 2014-07-17 2020-04-07 联华电子股份有限公司 半导体元件及其形成方法
KR102326376B1 (ko) * 2014-11-28 2021-11-17 삼성전자주식회사 키 패턴들의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
WO2016209205A1 (en) 2015-06-22 2016-12-29 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (beol) interconnects
WO2017044106A1 (en) 2015-09-10 2017-03-16 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (beol) interconnects
WO2017053296A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
TWI675406B (zh) 2015-10-07 2019-10-21 聯華電子股份有限公司 半導體元件及其製作方法
WO2017105445A1 (en) 2015-12-16 2017-06-22 Intel Corporation Grid self-aligned metal via processing schemes for back end of line (beol) interconnects and structures resulting therefrom
US10950501B2 (en) 2015-12-21 2021-03-16 Intel Corporation Triblock copolymers for self-aligning vias or contacts
WO2017111923A1 (en) 2015-12-21 2017-06-29 Intel Corporation Approaches for measuring overlay, dose or focus on pre-patterned hardmask structures using scanning electron microscopy (sem)
US10770291B2 (en) 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
WO2017111925A1 (en) 2015-12-21 2017-06-29 Intel Corporation Multi-pitch or variable pitch grating structures for overlay, dose or focus information extraction
WO2017111953A1 (en) 2015-12-22 2017-06-29 Intel Corporation Metal via processing schemes with via critical dimension (cd) control for back end of line (beol) interconnects and the resulting structures
US10535747B2 (en) 2015-12-23 2020-01-14 Intel Corporation Transistor with dual-gate spacer
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
US10559529B2 (en) 2016-03-28 2020-02-11 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
CN108885974A (zh) 2016-03-28 2018-11-23 英特尔公司 用于光刻边缘放置误差提前矫正的对齐节距四等分图案化
WO2017171796A1 (en) 2016-03-31 2017-10-05 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
US20190025694A1 (en) 2016-03-31 2019-01-24 Intel Corporation High resolution photomask or reticle and its method of fabrication
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
WO2017204821A1 (en) 2016-05-27 2017-11-30 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
DE112016006659T5 (de) 2016-05-27 2018-12-13 Intel Corporation Damaszierte Stopfen- und Zungenstrukturbildung mittels Photobuckets für auf Abstandhalter basierende Back-End-of-Line (BEOL)-Verbindungen
US11011463B2 (en) 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
CN109983564B (zh) * 2016-11-16 2023-05-02 东京毅力科创株式会社 亚分辨率衬底图案化的方法

Also Published As

Publication number Publication date
US11373950B2 (en) 2022-06-28
JP7251040B2 (ja) 2023-04-04
JP2020515029A (ja) 2020-05-21
JP6923277B2 (ja) 2021-08-25
CN117219572A (zh) 2023-12-12
WO2018118092A1 (en) 2018-06-28
DE112016007542T5 (de) 2019-09-12
US10892223B2 (en) 2021-01-12
KR20190090372A (ko) 2019-08-01
US20220262722A1 (en) 2022-08-18
JP2021170670A (ja) 2021-10-28
US20240071917A1 (en) 2024-02-29
US11854787B2 (en) 2023-12-26
US20210082800A1 (en) 2021-03-18
US20200066629A1 (en) 2020-02-27
CN110337715A (zh) 2019-10-15
CN110337715B (zh) 2023-08-25

Similar Documents

Publication Publication Date Title
BR112019010217A2 (pt) litografia avançada e dispositivos automontados
US10459338B2 (en) Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
TWI540677B (zh) 用於後段製程(beol)互連的自對準通孔及插塞圖案化
TWI540621B (zh) 用於後段製程(beol)互連之前層自對準通孔及插塞圖案化
KR102475024B1 (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
CN106164332A (zh) 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
KR102350503B1 (ko) 화학적 보조 패터닝을 위한 감광성 정렬 층
US9437481B2 (en) Self-aligned double patterning process for two dimensional patterns
TW201719721A (zh) 用於後段製程(beol)互連的預形成通孔和插塞的自對準等向蝕刻
TWI751188B (zh) 具有選擇性嫁接的光桶地板顏色
CN109964311A (zh) 用于导电通孔制造的基于导电帽的方法及由此得到的结构
US20180323104A1 (en) Triblock copolymers for self-aligning vias or contacts
TWI766949B (zh) 先進微影及自聚合裝置
TWI806638B (zh) 先進微影及自聚合裝置
TW202411153A (zh) 先進微影及自聚合裝置

Legal Events

Date Code Title Description
B06U Preliminary requirement: requests with searches performed by other patent offices: procedure suspended [chapter 6.21 patent gazette]
B350 Update of information on the portal [chapter 15.35 patent gazette]
B09A Decision: intention to grant [chapter 9.1 patent gazette]
B08F Application dismissed because of non-payment of annual fees [chapter 8.6 patent gazette]

Free format text: REFERENTE A 6A ANUIDADE.

B11D Dismissal acc. art. 38, par 2 of ipl - failure to pay fee after grant in time