TW202310173A - 具有氣隙的半導體結構及用於製造該結構的方法 - Google Patents

具有氣隙的半導體結構及用於製造該結構的方法 Download PDF

Info

Publication number
TW202310173A
TW202310173A TW110144871A TW110144871A TW202310173A TW 202310173 A TW202310173 A TW 202310173A TW 110144871 A TW110144871 A TW 110144871A TW 110144871 A TW110144871 A TW 110144871A TW 202310173 A TW202310173 A TW 202310173A
Authority
TW
Taiwan
Prior art keywords
layer
features
dielectric
conductive
silicon
Prior art date
Application number
TW110144871A
Other languages
English (en)
Inventor
黃心巖
羅廷亞
李劭寬
鄧志霖
李承晉
眭曉林
張孝慷
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310173A publication Critical patent/TW202310173A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種用於製造一半導體結構的方法包括製備一介電結構,該介電結構形成有由該介電結構的側表面個別界定的溝槽;在該等側表面上形成間隔層;將一導電材料填入該等溝槽中以形成導電特徵件;將一阻擋層選擇性地沉積在該介電結構上;將一介電材料選擇性地沉積在該等導電特徵件上以形成一覆蓋層;移除該阻擋層及該介電結構以形成凹槽;在該等凹槽中形成犧牲特徵件;形成一維持層以覆蓋該等犧牲特徵件;以及移除該等犧牲特徵件以獲得形成有由該維持層及該等間隔層所圍束的氣隙的該半導體結構。

Description

具有氣隙的半導體結構及用於製造該結構的方法
本發明實施例是有關於具有氣隙的半導體結構及用於製造該結構的方法。
諸如電晶體、二極體、電阻器、電容器等的各種電子元件的集積密度藉由持續縮小最小特徵件尺寸而於半導體產業中持續改良。隨著特徵件尺寸減小,金屬特徵件之間的距離也持續地減小。隨著金屬特徵件之間的距離減少,金屬特徵件之間所產生的寄生電容增加,導致積體晶片較高的功耗和較大的電阻-電容(RC)時間延遲。為改善效能並降低金屬特徵件之間的寄生電容,使用具有低介電(k)值的材料。舉例而言,使用諸如氧化矽或其他低k介電質的介電材料。此等介電材料具有範圍從約2.5至4的k值。然而,此等介電材料遇到許多阻止介電常數進一步改良的處理問題。
在半導體裝置中使用氣隙以強化金屬特徵件的隔離於半導體製造技藝是已知。因為空氣具有最低k值(k=1),日益增長的趨勢已將氣隙併入半導體裝置中以隔離金屬特徵件並降低線間電容和RC時間延遲。
根據本發明的一實施例,是特地提出一種用於製造一半導體結構的方法,其包含製備一介電結構,其形成有個別由該介電結構的側表面界定的溝槽;在該介電結構的該等側表面上形成間隔層;將一導電材料填入該等溝槽中以形成導電特徵件;將一阻擋層選擇性地沉積於該介電結構上,而使得該等導電特徵件從該阻擋層曝露出來;將一介電材料選擇性地沉積在該等曝露出來的導電特徵件上以形成一覆蓋層,使得該等導電特徵件被該覆蓋層及該等間隔層覆蓋;移除該阻擋層及該介電結構以形成由該等間隔層所界定的複數個凹槽;將一犧牲材料填入該等凹槽中,以便形成犧牲特徵件;形成一維持層以覆蓋該等犧牲特徵件;以及移除該等犧牲特徵件以形成由該維持層與該等間隔層所圍束的氣隙。
根據本發明的另一實施例,是特地提出一種用於製造一半導體結構的方法,其包含在一基板上形成一互連層;在一介電結構上形成複數個溝槽,該等溝槽藉由該介電結構的側表面個別界定;在該介電結構的該等側表面上形成間隔層;將一導電材料填入該等溝槽中以形成導電特徵件;將一阻擋層選擇性地沉積於該介電結構上,而使得該等導電特徵件從該阻擋層曝露出來;將一介電材料選擇性地沉積在該等曝露出來的導電特徵件上以形成一覆蓋層,使得該等導電特徵件被該覆蓋層及該等間隔層覆蓋;移除該阻擋層及該介電結構以形成由該等間隔層所界定的複數個凹槽;將一犧牲材料填入該等凹槽中,以便形成犧牲特徵件;形成一多孔性維持層以覆蓋該等犧牲特徵件;以及移除該等犧牲特徵件以形成由該維持層與該等間隔層所圍束的氣隙。
根據本揭露內容的又一實施例,是特地提出一種半導體結構,其包含一基板、一互連層、複數個導電特徵件、複數個間隔層、以及一多孔性維持層。該基板包括至少一導電元件。該互連層是放置於該基板上,且包括與該至少一導電元件接觸的至少一互連件。該等導電特徵件彼此間隔開且至少一該等導電特徵件與該至少一互連件接觸。該等間隔層側向覆蓋該等導電特徵件,以形成由該等間隔層界定的複數個氣隙。該多孔性維持層是放置於該等導電特徵件上並且覆蓋該等間隔層及該等氣隙。
較佳實施例的詳細說明
以下揭露內容提供許多不同實施例或範例,用於實施本揭露內容的不同特徵。以下描述組件及配置的具體實施例以簡化本揭露內容。當然,這些僅為實施例且非意欲作為限制。例如,在以下描述中,一第一特徵件形成在一第二特徵件的上方或上面可包括該第一和第二特徵件形成為直接接觸的實施例,亦可包括額外的特徵件可形成於該第一和第二特徵件之間而使得該第一和第二特徵件可不直接接觸的實施例。另外,本揭露內容在各種實施例中的參考編號及/或字母可重複使用。這種重複使用是出於簡潔及清楚的目的,而不是其自身表示所論述的各種實施例及/或組態之間的關係。
此外,本文中所使用的空間相對性術語,諸如,「在上方」、「在上面」、「向下」及類似者,是為了易於敘述以描述如圖式中所說明的一元件或特徵件與另外的元件或特徵件的關係。該等空間相對性術語意欲囊括除該等圖式中所描繪的方位之外,在使用或操作中的裝置的不同方位。裝置可以其他方式被定向(旋轉90度或以其他方位),且本文中所用的空間相對性術語可同樣相應地進行解讀。
圖1說明根據一些實施例的一種用於製造具有氣隙的一半導體結構的方法100。圖2至圖15說明一半導體結構200在圖1的方法100的各階段期間的示意圖。方法100與半導體結構200將在下面統一描述。然而,亦可於此方法100之前、之後或期間提供額外的步驟,且此處所述的某些步驟亦可被其他步驟所取代,或者被省略。相似地,半導體結構200中可存在進一步的另外特徵件,及/或存在的特徵件於另外實施例中可被取代或省略。
參考圖1,方法100開始於方塊102,在此製備一圖案化積層。參考圖2至圖4所說明的範例,一圖案化積層20製備在一基板10上。明確地說,參考圖2,在形成於基板10上的一互連層21上依序沉積一蝕刻停止層221、一介電層222,及一遮罩層223(例如,一硬遮罩層)。參考圖2及圖3,使遮罩層223圖案化以形成一圖案化遮罩層226。參考圖3及圖4,使介電層222及蝕刻停止層221經圖案化遮罩層226依序蝕刻,以個別形成一圖案化介電層225及一圖案化蝕刻停止層224。圖案化積層20包括設置於基板10上的互連層21以及設置於互連層21上的一介電結構22。介電結構22形成有分別由介電結構22的側表面所界定的溝槽227,並且包含設置於互連層21上的圖案化蝕刻停止層224、設置於圖案化蝕刻停止層224上的圖案化介電層225,以及設置於圖案化介電層225上的圖案化遮罩層226。
蝕刻停止層221、介電層222以及遮罩層223的沉積可藉由一適合沉積方法實施,其是獨立地選自物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、原子層沉積(atomic layer deposition,ALD)、電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)等,或其等的組合,但不限於此。
用於形成圖案化遮罩層226的圖案化可使用本發明所屬的半導體製造技術領域中具有通常知識者所熟知的微影及光阻顯影技術實施。舉例而言,圖案化遮罩層226可由遮罩層223藉由193 nm浸潤式微影或極紫外光(extreme ultraviolet,EUV)微影形成。然後,形成於圖案化遮罩層226中的圖案藉由一蝕刻處理(例如:濕蝕刻)轉移至介電層222及蝕刻停止層221,以形成介電結構22。
在一些實施例中,基板10可為一半導體基板,例如,一元素半導體或一化合物半導體。一元素半導體是由元素週期表的IV族中的諸如矽(Si)或鍺(Ge)的單種原子組成。一化合物半導體是由二或更多個元素組成,諸如,碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、磷砷化鎵銦(GaInAsP),或類似物。該化合物半導體可具有一梯度特徵,其中在該化合物半導體中其組成從一位置的一比例改變為另一位置的另一比例。該化合物半導體可形成於一矽基板上。該化合物半導體可為受應變(strained)。在一些實施例中,基板10可包含一多層的化合物半導體結構。或者,基板10可包含一非半導體材料,諸如,玻璃、熔融石英,或氟化鈣。再者,在一些實施例中,基板10可為一絕緣層上半導體(semiconductor on insulator,SOI)(例如,絕緣層上矽鍺(silicon germanium on insulator,SGOI))。一般而言,一SOI基板包含一層半導體材料,諸如,磊晶矽(Si)、鍺(Ge),矽鍺(SiGe),或其等的組合。該基板可摻雜一p型摻質,諸如,硼(B)、鋁(Al)、鎵(Ga),或類似物,或另外可摻雜如本技術領域中已知的一n型摻質。在一些實施例中,基底10可包括一經摻雜的磊晶層。淺溝槽隔離(shallow trench isolation,STI)區(未示出)可形成於基板10中,以隔離主動區(一主動區於圖2中以數字11示意地顯示),諸如,基板10中一積體電路裝置(未示出)的源極區或汲極區。在一些實施例中,基於實際應用,該積體電路裝置可包括互補金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、平面或垂直多閘極電晶體(例如,FinFET裝置)、環繞式閘極(gate-all-around,GAA)裝置、電阻器、電容器、二極體、電晶體(例如,場效電晶體(field-effect transistors,FET))、互連件等。此外,可形成延伸進入基板10的貫穿連通柱(未顯示)以電性連接位於基板10兩相對側的特徵件。
在一些實施例中,互連層21包括一互連件211(例如,一導電連通柱),其電性連接至主動區11。互連層21可由一介電材料製成,諸如,氧化矽、SiOC基材料(例如,SiOCH)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、高密度電漿(high-density plasma,HDP)氧化物、電漿增強四乙基正矽酸鹽(plasma-enhanced TEOS,PETEOS)、氟摻雜氧化矽、碳摻雜氧化矽、多孔氧化矽、多孔碳摻雜氧化矽、有機聚合物,或聚矽氧基聚合物。在一些實施例中,氧化矽可由四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)所形成。互連層21可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合沉積方法形成於基板10上,例如,ALD、CVD、PVD,或其等的組合。
適用於形成蝕刻停止層221的材料包括,例如,鋁化合物(例如,氮化鋁、氮氧化鋁、氧化鋁等)、矽化合物(例如,碳氧化矽、碳氮化矽、氮化矽、碳氮氧化矽、氧化矽、碳化矽、氮氧化矽等),或其等的組合,但不限於此。該蝕刻停止層可具有範圍從5Å至200 Å的一厚度。
適用於形成介電層222的材料包括,例如,矽基化合物,諸如,氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、其他低k介電質(例如:多孔SiOC),及其等的組合,但不限於此。
適用於形成遮罩層223的材料包含,例如,氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、鈷、釕、鎢、氮化鈦、氧化鋯、氧化鋁、氧化釔、氮氧化鋁、氧化鉿、氧化鉿鋯、氧化鉿矽、氮氧化鉿矽、氧化鋯矽、氧化鉿鋯矽、氧化鉿鋁、氮化鉿鋁、氧化鋯鋁、氧化鐿,及其等的組合,但不限於此。
方法100隨後進入至方塊104。在此形成一沉積層以覆蓋該圖案化積層。參考圖5說明的範例,使圖案化積層20於其上沉積一第一低k介電材料,以保形地形成覆蓋圖案化積層20的一沉積層30(例如,一保形沉積層)。沉積可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合沉積方法實施,例如,PVD、CVD、PECVD、ALD、PEALD等,或其等的組合,但不限於此。舉例來說,該沉積可藉由ALD在範圍從50℃至400℃的一溫度實施。適於形成沉積層30的該第一低k介電材料的範例包括,例如,鋁化合物(例如,氮化鋁、氮氧化鋁、氧化鋁等)、矽化合物(例如,碳氧化矽、氮碳化矽、氮化矽、碳氮氧化矽、氧化矽、碳化矽、氮氧化矽等),或其等的組合,但不限於此。選用於形成沉積層30的該第一低k介電材料是與選用於形成蝕刻停止層221的材料不同。例如,該鋁化合物用於形成沉積層30,而該矽化合物用於形成蝕刻停止層221。沉積層30可具有範圍從5Å至200 Å的一厚度。
方法100隨後進入至方塊106,在此形成多個間隔層。參考圖6說明的範例,使如圖5所示的形成有沉積層30的圖案化積層20接受非等向性蝕刻,以蝕刻掉沉積層30的水平部分,以便在介電結構22的側表面上形成複數個間隔層31。該非等向性蝕刻可藉由本發明所屬的半導體製造技術領域中所熟知的一適合非等向性蝕刻處理而實施,諸如,乾式垂直非等向性蝕刻,但不限於此。
方法100隨後進行至方塊108,在此將一導電材料填入該等溝槽中以形成導電特徵件。參考圖6及圖7中所說明的實例,一導電材料填入溝槽227中且填充於間隔層31上方,以形成多個導電特徵件40,諸如,導電線。
該導電材料可為,例如,銅(Cu)、鋁(Al)、金(Au)、銀(Ag)、鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鉻(Cr)、錳(Mn)、銠(Rh)、銥(Ir)、鎳(Ni)、鈀(Pd)、鉑(Pt)或其合金。該導電材料可以具有不同組成的多個層提供,且可藉由本發明所屬的半導體製造技術領域中所熟知的一適合方法填充至溝槽227中,諸如,無電電鍍、電鍍、濺鍍沉積,或CVD,但不限於此。
雖然該導電材料可為如上所述的一適合金屬或其合金,但本揭露內容的方法尤其適於使用銅(Cu)。銅的無電電鍍通常包括形成一晶種層,隨後進行一自催化銅沉積。用於該晶種層的材料的實例包含銅(Cu)、鎳(Ni)、金(Au)、銀(Ag)、鈀(Pd)、銥(Ir)、鎳鈀金(NiPdAu),及鎳金(NiAu),但不限於此。該晶種層可藉由本發明所屬的半導體製造技術領域中所熟知的一適合方法形成,諸如,無電沉積、濺鍍、或化學氣相沉積,但不限於此。在將銅或類似物填入溝槽227中之前,溝槽227可襯墊一阻障層401以避免電遷移。用於阻障層401的材質的範例包括釕(Ru)、錳(Mn)、鈷(Co)、鉻(Cr)、氮化鈦(TiN)、鎢化鈦(TiW)、鉭(Ta)、氮化鉭(TaN)、氮化鎢(WN),及其等的組合。阻障層401可藉由一適合方法沉積,諸如,CVD。
方法100隨後進行至方塊110,在此該等導電特徵件接受平坦化。參考圖7和圖8中所說明的範例,導電特徵件40接受諸如CMP的平坦化,以使介電結構22的圖案化介電層225曝露出來並且具有與導電特徵件40的頂表面實質上水平齊平的一頂表面。
方法100隨後進行至方塊112,在此一阻擋層選擇性沉積於該等間隔層及該介電結構上。參考圖9所說明的範例,一阻擋層50選擇性地沉積於間隔層31和介電結構22上,且具體地是沉積於間隔層31和介電結構22的圖案化介電層225上,以使得導電特徵件40從阻擋層50曝露出的來。
阻擋層50選擇性沉積於間隔層31以及介電結構22的圖案化介電層225上可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合沉積方法實施,例如,CVD、ALD、旋塗式沉積、浸漬沉積、自由基反應沉積等、或其等的組合,但不限於此。間隔層31和圖案化介電層225的頂表面可選擇性地接受一電漿處理,以使其上的選擇性沉積阻擋層50達最佳化。阻擋層50具有範圍從2Å至50Å的一厚度。
阻擋層50是由選自於丁基三乙氧基矽烷、環己基三甲氧基矽烷、環戊基三甲氧基矽烷、十二烷基三乙氧基矽烷、十二烷基三甲氧基矽烷、癸基三乙氧基矽烷、二甲氧基(甲基)正辛基矽烷、三乙氧基乙基矽烷、乙基三甲氧基矽烷、己基三甲氧基矽烷、己基三乙氧基矽烷、十六烷基三甲氧基矽烷、十六烷基三乙氧基矽烷、三乙氧基甲基矽烷、三甲氧基(甲基)矽烷、甲氧基(二甲基)十八烷基矽烷、甲氧基(二甲基)正辛基矽烷、十八烷基三乙氧基矽烷、三乙氧基正辛基矽烷、十八烷基三甲氧基矽烷、三甲氧基(丙基)矽烷、三甲氧基正辛基矽烷、三乙氧基(丙基)矽烷、甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷、十一烷、十二烷、十五烷、十六烷,及其等的組合的一化合物製成。此等化合物具有含有矽(Si)或碳(C)的一頭部基,且此頭部基被用為選擇地接合至間隔層31和圖案化介電層225的頂表面的一錨。
方法100隨後進行至方塊114,在此一介電材料選擇性地沉積於該等曝露出來的導電特徵件上。參考圖10中所說明的範例,一介電材料選擇性地沉積於曝露出來的導電特徵件40上以形成一覆蓋層60,以使導電特徵件40由覆蓋層60及間隔層31覆蓋。具體而言,阻擋層50選擇性地沉積在間隔層31和圖案化介電層225的頂表面上,且作為一抑制劑以降低介電材料在間隔層31和圖案化介電層225上的沉積速率,使其低於介電材料在曝露出來的導電特徵件40上的沉積速率。因此,該介電材料可選擇性地沉積於曝露出來的導電特徵件40上以形成覆蓋層60。
該介電材料可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合方法,諸如ALD、CVD等,或此等的組合,選擇性地沉積於導電特徵件40上,以形成覆蓋層60。適於形成覆蓋層60的一材料的範例包括,例如,氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氧化鉿、氧化鋯、氧化鈧、氧化錫、氧化鈦、氧化鋅、氧化釔,以及其等的組合,但不限於此。因而形成的覆蓋層60可具有範圍從5Å至50Å的一厚度。
方法100隨後進行至方塊116,在此形成多個凹槽。參考圖10及圖11中所說明的範例,移除阻擋層50和介電結構22以形成由間隔層31界定的多個凹槽70。具體而言,阻擋層50、圖案化介電層225,以及圖案化蝕刻停止層224藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合蝕刻方法蝕刻掉,例如,等向性乾式或濕式蝕刻方法,以形成藉由間隔層31界定的凹槽70。
方法100隨後進行至方塊118,在此形成多個犧牲特徵件。參考圖12及圖13中所說明的實例,多個犧牲特徵件80形成於凹槽70中,以使得每一犧牲特徵件80具有小於每一導電特徵件40的一高度的一預定高度。具體而言,可藉由將一熱可降解材料81填入凹槽70中,以及使該熱可降解材料81接受例如非等向性蝕刻直至各自具有該預定高度的每一犧牲特徵件80形成於凹槽70中,來實施犧牲特徵件80的形成。熱可降解材料81可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合方法填充於凹槽70中,例如,ALD、CVD、分子層沉積(molecular layer deposition,MLD)、PECVD、PEALD、旋塗式沉積等,或此等的組合。熱可降解材料81的沉積厚度範圍可從10 Å至3000 Å。
在一些實施例中,熱可降解材料81是由碳、氧、氮及氫組成的一聚合物材料。在一些實施例中,熱可降解材料81是可在低於400℃的一溫度熱分解的一聚合物材料。在一些實施例中,熱可降解材料81是一犧牲聚合物,其實例包括聚脲、聚乳酸、聚己內酯、聚甲基丙烯酸甲酯、聚環氧乙烷、及其等的組合,但不限於此。非等向性蝕刻可為本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合非等向性蝕刻,例如,非等向性乾式蝕刻,但不限於此。犧牲特徵件80的預定高度可藉由,例如,調整用於非等向性蝕刻的蝕刻時間來控制。在一些實施例中,每一犧牲特徵件80的預定高度與每一導電特徵件40的高度的比例控制在從50%至90%的範圍。在一些實施例中,犧牲特徵件80的預定高度範圍從10 Å至1000 Å。
方法100隨後進行至方塊120,在此形成一維持層。參考圖14所說明的實例,藉由一低溫沉積方法將一第二低k介電材料沉積於覆蓋層60、間隔層31及犧牲特徵件80上以形成一維持層90,其是多孔性且覆蓋犧牲特徵件80。用於形成維持層90的沉積可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合沉積方法來實施,例如,PVD、CVD、ALD、PECVD、PEALD等,或此等的組合,但不限於此。舉例而言,沉積可藉由ALD在範圍從50℃至400℃的一溫度實施。適於形成維持層90的該第二低k介電材料為一多孔矽基材料,其範例包括氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽,以及其等的組合,但不限於此。維持層90具有範圍從2Å至100Å的一厚度。當維持層90的厚度小於2Å時,其後形成的氣隙結構會崩陷。當維持層90的厚度大於100 Å時,犧牲特徵件80將無法有效地移除。
方法100隨後進行至方塊122,在此移除犧牲特徵件。參考圖14及圖15中所說明的實例,移除犧牲特徵件80,以便獲得具有由維持層90、間隔層31及互連層21圍束的氣隙91的半導體結構200。在根據本揭露內容的一些實施例中,犧牲特徵件80可藉由一熱處理、一紫外線處理、或此等的組合移除。在一些實施例中,犧牲特徵件80可藉由在範圍從300℃至400℃的一溫度下歷時範圍從10秒至10分鐘的一熱處理,以使犧牲特徵件80汽化並經由維持層90除氣而移除。當熱處理的溫度低於300℃時,犧牲特徵件80將無法有效地移除。當該熱處理的溫度高於400℃時,將與後段製程(back end of line,BEOL)不相容。此外,當用於該熱處理的時間小於10秒時,犧牲特徵件80將無法有效地移除。當該熱處理的時間大於10分鐘時,諸如導電特徵件40的金屬線的完整性可能受衝擊。在一些實施例中,犧牲特徵件80可藉由在範圍從10 mJ/cm 2至100 mJ/cm 2的一紫外線曝光能量密度下歷時10秒至10分鐘範圍的一紫外線處理來移除。當該紫外線曝光能量密度小於10 mJ/cm 2時,犧牲特徵件80將無法有效地移除。當該紫外線曝光能量密度大於100 mJ/cm 2時,諸如導電特徵件40金屬線的完整性可能受衝擊。因而於半導體結構200中形成的每一氣隙91具有小於每一導電特徵件40的高度的一高度。在某些實施例中,每一氣隙91的高度與每一導電特徵件40的高度的比例範圍從50%至90%,且每一氣隙91具有範圍從10 Å至1000 Å的一高度。
參考圖15中所說明的範例,在一些實施例中的半導體結構200包括放置於基板10上的互連層21、複數個導電特徵件40、複數個間隔層31,以及維持層90。互連層21包括互連件211(例如:導電連通柱),其電性連接至基板10的主動區11。導電特徵件40自互連層21向上延伸且彼此間隔開。導電特徵件40的一者電性連接至互連件211。間隔層31沿著導電特徵件40從互連層21向上延伸,以側向覆蓋導電特徵件40,藉以界定複數個氣隙91。維持層90是設置於導電特徵件40上並覆蓋間隔層31及氣隙91。半導體結構200進一步包括覆蓋層60,其設置於導電特徵件40上且被維持層90覆蓋。維持層90提供良好機械強度以防止氣隙91受損。維持層90包含複數個突部92,其等個別向下延伸以覆蓋氣隙91,如此每一氣隙91具有小於每一導電特徵件40的高度的一高度,其範圍從10 Å至1000 Å。在某些實施例中,每一氣隙91的高度與每一導電特徵件40的高度的比例範圍從50%至90%。
參考圖16及圖17中所說明的實例,一介電性間隙填充材料可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合沉積方法進一步沉積於維持層90上,諸如PVD、CVD、ALD、PECVD、PEALD等,或其等的組合,以形成具有一實質上平坦的頂表面且覆蓋維持層90的一蓋層93。蓋層93具有範圍從2Å至1000Å的一厚度。該介電性間隙填充材料的範例包括氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、氮碳氧化矽、具有範圍從約2.0至3.6的一k值的其它低k介電材料(例如,SiCHO,其是含矽(Si)、碳(C)、氧(O)以及氫(H)原子的一介電材料),以及此等的組合,但不限於此。該介電性間隙填充材料可具有範圍從約0.1%至40%的一孔隙度。其後,形成有蓋層93的半導體結構200可接受本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合平坦化方法,諸如,CMP,移除一部份蓋層93、一部份維持層90,以及覆蓋層60,使得半導體結構200形成有一大致平坦的頂表面,且不同元件大致上水平地齊平,並且使導電特徵件40曝露出來。
參考圖18,隨後可使用諸如一有機鈷化合物的一有機金屬化合物作為前驅物,藉由諸如CVD的一適合選擇性沉積方法,將諸如一鈷覆蓋層的一金屬覆蓋層94選擇性地沉積於導電特徵件40上。另一蝕刻停止層95、另一介電層96,以及另一遮罩層97接著依序沉積於半導體結構200的頂表面上。另一蝕刻停止層95、另一介電層96以及另一遮罩層97的沉積可以藉由獨立地選自PVD、CVD、PECVD、ALD、PEALD等,或其等的組合的一適合沉積方法來實施,但不限於此。
另一蝕刻停止層95用以提供與另一蝕刻停止層95的良好黏著性。適於形成另一蝕刻停止層95的材料包含,例如,鋁化合物(例如,氮化鋁、氮氧化鋁、氧化鋁等)、矽化合物(例如,碳氧化矽、氮碳化矽、氮化矽、碳氮氧化矽、氧化矽、碳化矽、氮氧化矽等)、其他低k介電質,以及此等的組合,但不限於此。另一蝕刻停止層95可具有範圍從5Å至200 Å的一厚度。
適用於形成另一介電層96的材料包括,例如,矽基化合物,諸如,氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽,及其等的組合,但不限於此。
適用於形成另一遮罩層97的材料包括,例如,氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、鈷、釕、鎢、氮化鈦、氧化鋯、氧化鋁、氧化釔、氮氧化鋁、氧化鉿、氧化鉿鋯、氧化鉿矽、氮氧化鉿矽、氧化鋯矽、氧化鉿鋯矽、氧化鉿鋁、氮化鉿鋁、氧化鋯鋁、氧化鐿,以及此等的組合,但不限於此。
參考圖19,另一遮罩層97使用本發明所屬的半導體製造技術領域中具有通常知識者所熟知的光刻法及光阻劑顯影技術圖案化。舉例來說,另一遮罩層97可由193 nm浸潤式微影或極紫外線(EUV)微影圖案化。另一介電層96以及另一蝕刻停止層95隨後藉由通過該圖案化的另一遮罩層97的圖案開口的一蝕刻處理(例如,濕蝕刻)依序蝕刻,以暴露出至少一導電特徵件40。
參考圖20,至少一另一導電特徵件98(例如,一連通柱)形成而電性連接至至少一導電特徵件40。關於形成至少一另一導電特徵件98的細節與關於上文參考圖7所述的形成導電特徵件40的細節相同或類似。此外,類似於參考圖7所描述,在將銅或類似物填入另一介電層96的凹槽之前,凹槽可襯有防止電遷移的一阻障層981。
參考圖21,使至少一另一導電特徵件98接受諸如CMP的平坦化,以使另一介電層96曝露出來。然後可藉由諸如CVD的一適合的選擇性沉積方法,使用諸如一有機鈷化合物的一有機金屬化合物作為前驅物,將諸如一鈷覆蓋層的另一金屬覆蓋層99選擇性地沉積於至少一另一導電特徵件98上。
圖22說明根據一些實施例的一種用於製造具有氣隙的一半導體結構的方法300。圖23至圖36說明一半導體結構400在圖22中所描繪的方法的各階段期間的示意圖。方法300與半導體結構400將在下面統一描述。然而,亦可於方法300之前、之後或期間提供額外的步驟,且此處所述的某些步驟亦可被其他步驟所取代,或者被省略。相似地,半導體結構400中可具有進一步的另外特徵件,及/或存在的特徵件於另外實施例中可被取代或省略。
請參考圖22,方法300由方塊302開始,在此製備一圖案化積層。參考圖23至圖25所說明的範例,在一基板10上製備一圖案化積層20。關於製備圖案化積層20的細節與以上參考圖2至圖4所述細節相同或類似。
方法300隨後進行至方塊304,在此形成一沉積層以覆蓋該圖案化積層。參考圖26所說明的範例,圖案化積層20於其上沉積一第一低k介電材料,以保形地形成覆蓋圖案化積層20的一沉積層30(例如,一保形沉積層)。關於保形形成沉積層30的細節與以上參考圖5所描述的細節相同或類似。
方法300隨後進入至方塊306,在此形成多個間隔層。參考圖27所說明的範例,如圖26所示的形成有沉積層30的圖案化積層20接受非等向性蝕刻,以蝕刻沉積層30的水平部份,以便在介電結構22的側表面上形成複數間隔層31。關於形成間隔層31的細節是與如上參考圖6描述的細節相同或相似。
方法300隨後進行至方塊308,在此將一導電材料填入溝槽中以形成導電特徵件。參閱圖27及圖28中所說明的實例,一導電材料填入溝槽227中且填充於間隔層31上,以形成多個導電特徵件40,諸如,導電線。關於形成導電特徵件40的細節與以上參閱圖6和7所描述的細節相同或類似。
方法300隨後進行至方塊310,在此該等導電特徵件接受平坦化。參考圖28和圖29中所說明的範例,導電特徵件40接受諸如CMP的平坦化,以使介電結構22的圖案化介電層225曝露出來並且具有與導電特徵件40的頂表面實質上水平齊平的一頂表面。
方法300隨後進行至方塊312,在此一阻擋層選擇性地沉積於該等間隔層及該介電結構上。參考圖30所說明的範例,一阻擋層50選擇性地沉積於間隔層31和介電結構22上,且具體地是沉積於間隔層31以及介電結構22的圖案化介電層225上,以使得電特徵件40從阻擋層50曝露出來。關於選擇性沉積阻擋層50的細節與以上參考圖9所述的細節相同或類似。
方法300隨後進行至方塊314,在此將一介電材料選擇性地沉積於該曝露出來的導電特徵件上。參考圖31中所說明的範例,一介電材料選擇性地沉積於曝露出來的導電特徵件40上以形成一覆蓋層60,以便使導電特徵40由覆蓋層60及間隔層31覆蓋。關於形成覆蓋層60的細節與以上參考圖10所述的細節相同或類似。
方法300隨後進行至方塊316,在此形成多個凹槽。參考圖31和圖32中說明的範例,移除阻擋層50以及介電結構22,以形成由間隔層31界定的複數個凹槽70。關於形成凹槽70的細節與以上參考圖10及圖11所述的細節相同或類似。
方法300隨後進行至方塊318,在此一熱可降解材料填入此等凹槽中以形成一蓋層。參考圖32及33中所說明的實例,一熱可降解材料81填入凹槽70中並且覆蓋覆蓋層60,使得由熱可降解材料81製成的一蓋層82形成於凹槽70中且於覆蓋層60及間隔層31上。關於形成蓋層82的細節與以上參考圖11及圖12所述的細節相同或類似。
方法300隨後進行至方塊320,在此形成犧牲特徵件。參考圖33及圖34中所說明的實例,覆蓋層60及蓋層82是藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的一適合平坦化方法(例如,CMP)來移除,以形成犧牲特徵件80,其是由該熱可降解材料製成且具有與導電特徵件40及間隔層31的頂表面實質上水平齊平的頂表面。
方法300隨後進行至方塊322,在此形成一平坦多孔維持層。參考圖35中所說明的實例,犧牲特徵件80、導電特徵件40,及間隔層31的頂表面接受藉由一低溫沉積方法沉積一第二低k介電材料,以形成平坦且為多孔的一維持層90。關於形成維持層90的細節是與以上參考圖14所述的細節相同或相似。
方法300隨後進行至方塊324,在此移除該等犧牲特徵件。參考圖35及圖36中所說明的實例,移除犧牲特徵件80以便獲得具有由維持層90、間隔層31及互連層21圍束的氣隙91的半導體結構400。用於移除犧牲特徵件80的方法與以上參考圖14及圖15所描述的方法相同或類似,且不再進一步詳細描述。
於半導體結構400中因而形成的氣隙91具有與導電特徵件40的高度實質上相同的高度。
參考圖36所說明的範例,在一些實施例中的半導體結構400包括放置在基板10上的互連層21、複數個導電特徵件40、複數個間隔層31,及維持層90。互連層21包括互連件211(例如:導電連通柱),其是電性連接至基板10的主動區11。導電特徵件40自互連層21向上延伸且彼此間隔開。一導電特徵件40電性連接至互連件211。間隔層31沿著導電特徵件40自互連層21向上延伸以側向覆蓋導電特徵件40,藉以界定複數個氣隙91。維持層90配置為放置於導電特徵件40及間隔層31上的一平坦層,以覆蓋氣隙91。維持層90提供良好機械強度以防止氣隙91受損。形成於半導體結構400中的氣隙91具有與導電特徵件40的高度實質上相同的高度。
參考圖37中所說明的範例,半導體結構40可藉由本發明所屬的半導體製造技術領域中具有通常知識者所熟知的光微影及光阻顯影技術使維持層90進行圖案化而進一步加工,以便使導電特徵件40曝露出來。
參考圖38所說明的實例,諸如鈷覆蓋層的一金屬覆蓋層94隨後可藉由諸如CVD的一適合沉積方法,使用諸如有機鈷化合物的有機金屬化合物作為前驅物,選擇性地沉積於導電特徵件40上。
參考圖39中所說明的實例,另一蝕刻停止層95、另一介電層96及另一遮罩層97隨後依序沉積於半導體結構400的頂表面上。關於依序沉積另一蝕刻停止層95、另一介電層96、及另一遮罩層97的細節是與如上參考圖18所描述的細節相同或相似。
參考圖40,另一遮罩層97使用本發明所屬的半導體製造技術領域中具有通常知識者所熟知的光刻法及光阻劑顯影技術進行圖案化。用於圖案化另一遮罩層97的方法與以上參考圖19所描述的方法相同或類似,且不再進步詳細描述。
參考圖41,至少一另一導電特徵98(例如,一連通柱)經形成而電性連接至至少一導電特徵件40。關於形成至少一另一導電特徵件98的細節與以上參考圖20所述的細節相同或類似。
參考圖42,至少一另一導電特徵件98接受諸如CMP的平坦化,以使另一介電層96曝露出來。諸如一鈷覆蓋層的另一金屬蓋層99隨後可藉由諸如CVD的一適合選擇性沉積方法,使用諸如一有機鈷化合物的一有機金屬化合物作為一前驅物,選擇性地沉積於至少一另一導電特徵件98上。
參考圖43至圖50,根據一些實施例的一種用於製造具有氣隙的一半導體結構的方法包括以下步驟:在一基板(未示出)上製備形成有導電特徵件2(例如,導電金屬線)的一低k介電層1;使形成有導電特徵件2的低k介電層1接受一平坦化處理(例如,化學機械平坦化(CMP));在導電特徵件2上選擇性地沉積一圖案化金屬覆蓋層3;在低k介電層1及圖案化金屬覆蓋層3上沉積一蝕刻停止層4;在蝕刻停止層4上形成一圖案化硬遮罩5;使蝕刻停止層4藉由經圖案化硬遮罩5蝕刻而圖案化,以在蝕刻停止層4中形成一圖案化開口41;移除圖案化硬遮罩5;藉由,例如,經蝕刻停止層4的圖案化開口41蝕刻而在低k介電層1中於導電特徵件2之間形成凹槽6;沉積一介電蓋層7以覆蓋低k介電層1、導電特徵件2、圖案化金屬覆蓋層3,及蝕刻停止層4;以及沉積另一低k介電層8以於導電特徵件2之間形成氣隙9。用於圖案化蝕刻停止層4的蝕刻及用於形成凹槽6的蝕刻可藉由,例如,利用諸如氧氣、氬氣、含氟氣體(例如C 4F 8、C 5F 8、C 4F 6、CHF 3)的一蝕刻氣體的乾式電漿蝕刻來實行。
藉由使用該熱可降解材料來形成犧牲特徵件80,可良好地控制半導體結構200,400中的氣隙91的形成。此外,由該第一低k介電材料形成的間隔層31側向覆蓋導電特徵件40,且藉由使導電特徵件40選擇性沉積該介電材料而使覆蓋層60形成在導電特徵件40上,使得導電特徵件40藉由覆蓋層60及間隔層31覆蓋並且保護,以防止導電特徵件30損壞,例如金屬離子殘餘,這可能導致可靠性問題,例如,時間相依介電崩潰(time dependent dielectric breakdown,TDDB)。再者,維持層90提供良好機械強度以防止氣隙91受損。因此,半導體結構200,400的電阻-電容(RC)效能可進一步提高。
根據本揭示內容的一些實施例,一種用於製造一半導體結構的方法包含製備一介電結構,其形成有個別由該介電結構的側表面界定的溝槽;在該介電結構的該等側表面上形成間隔層;將一導電材料填入該等溝槽中以形成導電特徵件;將一阻擋層選擇性地沉積於該介電結構上,而使得該等導電特徵件從該阻擋層曝露出來;將一介電材料選擇性地沉積在該等曝露出來的導電特徵件上以形成一覆蓋層,使得該等導電特徵件被該覆蓋層及該等間隔層覆蓋;移除該阻擋層及該介電結構以形成由該等間隔層所界定的複數個凹槽;將一犧牲材料填入該等凹槽中,以便形成犧牲特徵件;形成一維持層以覆蓋該等犧牲特徵件;以及移除該等犧牲特徵件以形成由該維持層與該等間隔層所圍束的氣隙。
根據本揭露內容的一些實施例,形成該等間隔層包括在該介電結構上保形地形成一介電沉積層;以及將該介電沉積層非等向性地蝕刻以形成該等間隔層。
根據本揭露內容的一些實施例,形成該等犧牲特徵件包括將該犧牲材料填入該等凹槽中;以及將該犧牲材料非等向性地蝕刻,直到在該等凹槽中形成該等犧牲特徵件且每一該等犧牲特徵件具有小於每一該等導電特徵件的高度的一預定高度。
根據本揭露內容的一些實施例,形成該等犧牲特徵件包括將該犧牲材料填入該等凹槽中,使得由該犧牲材料製成的一蓋層形成而填充該等凹槽及覆蓋該覆蓋層及該等間隔層;以及移除該覆蓋層及該蓋層,以形成具有與該等導電特徵件及該等間隔層的頂表面實質上水平齊平的頂表面的該等犧牲特徵件。
根據本揭露內容的一些實施例,該等犧牲特徵件是藉由選自一熱處理、一紫外線處理或其等的組合的一處理而移除。
根據本揭露內容的一些實施例,該等犧牲特徵件是藉由在範圍從300℃至400℃的一溫度的該熱處理而移除。
根據本揭露內容的一些實施例,該等犧牲特徵件是藉由在範圍從 10 mJ/cm 2至100 J/cm 2的一紫外線曝光能量密度的該紫外線處理而移除。
根據本揭露內容的一些實施例,該犧牲材料是選自聚脲、聚乳酸、聚己內酯、聚甲基丙烯酸甲酯、聚環氧乙烷或其組合。
根據本揭露內容的一些實施例,該方法進一步包含,在選擇性地沉積該阻擋層之前,將該等導電特徵件平坦化,以便使該介電結構的一圖案化介電層曝露出來。
根據本揭露內容的一些實施例,該阻擋層選擇性地沉積於該介電結構的該圖案化介電層上。
根據本揭露內容的一些實施例,該阻擋層是由包括含有矽或碳的一頭部基的一化合物製成,且該頭部基是作為接合至該圖案化介電層的一表面的一錨。
根據本揭露內容的一些實施例,該化合物是選自丁基三乙氧基矽烷、環己基三甲氧基矽烷、環戊基三甲氧基矽烷、十二烷基三乙氧基矽烷、十二烷基三甲氧基矽烷、癸基三乙氧基矽烷、二甲氧基(甲基)正辛基矽烷、三乙氧基乙基矽烷、乙基三甲氧基矽烷、己基三甲氧基矽烷、己基三乙氧基矽烷、十六烷基三甲氧基矽烷、十六烷基三乙氧基矽烷、三乙氧基甲基矽烷、三甲氧基(甲基)矽烷、甲氧基(二甲基)十八烷基矽烷、甲氧基(二甲基)正辛基矽烷、十八烷基三乙氧基矽烷、三乙氧基正辛基矽烷、十八烷基三甲氧基矽烷、三甲氧基(丙基)矽烷、三甲氧基正辛基矽烷、三乙氧基(丙基)矽烷、甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷、十一烷、十二烷、十五烷、十六烷,或其等的組合。
根據本揭露內容的一些實施例,該維持層是多孔性。
根據本揭露內容的一些實施例,維持層是由選自氧化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽,或此等的組合的一多孔性矽基材料製成。
根據本揭露內容的一些實施例,一種用於製造一半導體結構的方法包含在一基板上形成一互連層;在一介電結構上形成複數個溝槽,該等溝槽藉由該介電結構的側表面個別界定;在該介電結構的該等側表面上形成間隔層;將一導電材料填入該等溝槽中以形成導電特徵件;將一阻擋層選擇性地沉積於該介電結構上,而使得該等導電特徵件從該阻擋層曝露出來;將一介電材料選擇性地沉積在該等曝露出來的導電特徵件上以形成一覆蓋層,使得該等導電特徵件被該覆蓋層及該等間隔層覆蓋;移除該阻擋層及該介電結構以形成由該等間隔層所界定的複數個凹槽;將一犧牲材料填入該等凹槽中,以便形成犧牲特徵件;形成一多孔性維持層以覆蓋該等犧牲特徵件;以及移除該等犧牲特徵件以形成由該維持層與該等間隔層所圍束的氣隙。
根據本揭露內容的一些實施例,該方法進一步包括,在選擇性沉積該阻擋層之前,將該等導電特徵件平坦化,以便使該介電結構的一圖案化介電層曝露出來,使得該阻擋層選擇性地沉積於該圖案化介電層上。
根據本揭露內容的一些實施例,該阻擋層是由包括含有矽或碳的一頭部基的一化合物製成,且該頭部基是作為接合至該圖案化介電層的一表面的一錨。
根據本揭露內容的一些實施例,一種半導體結構包含一基板、一互連層、複數個導電特徵件、複數個間隔層,以及一多孔性維持層。該基板包括至少一導電元件。該互連層是放置於該基板上,且包括與該至少一導電元件接觸的至少一互連件。該等導電特徵件彼此間隔開且至少一該等導電特徵件與該至少一互連件接觸。該等間隔層側向覆蓋該等導電特徵件,以形成由該等間隔層界定的複數個氣隙。該多孔性維持層是放置於該等導電特徵件上並且覆蓋該等間隔層及該等氣隙。
根據本揭露內容的一些實施例,該維持層是配置為一平坦層,以使該等氣隙具有與導電特徵件的高度相同的高度。
根據本揭露內容的一些實施例,該維持層包括複數個突部,其等是向下延伸以分別覆蓋氣隙,使得每一該等氣隙具有小於每一該等導電特徵件的高度的一高度。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露內容之態樣。所屬領域具有通常知識者應當理解,他們可輕易地以本揭露內容為基礎來設計或修改以執行與本文介紹的實施例具有相同目的和/或實現相同優點的其它製程或結構。所屬技術領域中具有通常知識者也應理解到,此類等效結構並無悖離本揭露內容的精神與範圍,且他們能在不違背本揭露內容之精神和範圍之下,做各式各樣的改變、取代和更改。
100:方法 102:方塊 104:方塊 106:方塊 108:方塊 110:方塊 112:方塊 114:方塊 116:方塊 118:方塊 120:方塊 122:方塊 200:半導體結構 10:基板 11:主動區 20:圖案化積層 21:互連層 211:互連件 22:介電結構 221:蝕刻停止層 222:介電層 223:遮罩層 224:圖案化蝕刻停止層 225:圖案化介電層 226:圖案化遮罩層 227:溝槽 30:沉積層 31:間隔層 40:導電特徵件 401:阻障層 50:阻擋層 60:覆蓋層 70:凹槽 80:犧牲特徵件 81:熱可降解材料 90:維持層 91:氣隙 92:突部 93:蓋層 94:金屬覆蓋層 95:另一蝕刻停止層 96:另一介電層 97:另一遮罩層 98:另一導電特徵件 981:阻障層 99:另一金屬覆蓋層 300:方法 302:方塊 304:方塊 306:方塊 308:方塊 310:方塊 312:方塊 314:方塊 316:方塊 318:方塊 320:方塊 322:方塊 324:方塊 400:半導體結構 1:低k介電層 2:導電特徵件 3:圖案化金屬覆蓋層 4:蝕刻停止層 41:圖案化開口 5:圖案化硬遮罩 6:凹槽 7:介電蓋層 8:另一低k介電層 9:氣隙
從以下的詳細說明並配合附圖閱讀,本揭露內容的各方面可最佳地被理解。應注意的是,根據產業中的標準實務,各種特徵件並非按比例繪製。事實上,為使論述清楚,各種特徵件的尺寸可任意增大或減小。
圖1是一流程圖,說明根據一些實施例的一種用於製造具有氣隙的一半導體結構的方法。
圖2至圖15說明顯示如圖1中所描繪的用於製造具有氣隙的一半導體結構的方法的中間階段的示意圖。
圖16至圖21說明顯示用於進一步加工根據一些實施例製造的具有氣隙的半導體結構的額外階段的示意圖。
圖22是一流程圖,說明根據一些實施例的一種用於製造具有氣隙的一半導體結構的方法。
圖23至圖36說明顯示如圖22中所描繪的用於製造具有氣隙的一半導體結構的方法的中間階段的示意圖。
圖37至圖42說明顯示用於進一步加工根據一些實施例製造的具有氣隙的半導體結構的額外階段的示意圖。
圖43至圖50說明顯示根據一些實施例的一種用於在一半導體結構中形成氣隙的方法的中間階段的示意圖。
100:方法
102:方塊
104:方塊
106:方塊
108:方塊
110:方塊
112:方塊
114:方塊
116:方塊
118:方塊
120:方塊
122:方塊

Claims (1)

  1. 一種用於製造一半導體結構的方法,其包含: 製備一介電結構,其形成有個別由該介電結構的側表面界定的溝槽; 在該介電結構的該等側表面上形成間隔層; 將一導電材料填入該等溝槽中以形成導電特徵件; 將一阻擋層選擇性地沉積於該介電結構上,而使得該等導電特徵件從該阻擋層曝露出來; 將一介電材料選擇性地沉積在該等曝露出來的導電特徵件上以形成一覆蓋層,使得該等導電特徵件被該覆蓋層及該等間隔層覆蓋; 移除該阻擋層及該介電結構以形成由該等間隔層所界定的複數個凹槽; 將一犧牲材料填入該等凹槽中,以便形成犧牲特徵件; 形成一維持層以覆蓋該等犧牲特徵件;以及 移除該等犧牲特徵件以形成由該維持層及該等間隔層所圍束的氣隙。
TW110144871A 2021-08-30 2021-12-01 具有氣隙的半導體結構及用於製造該結構的方法 TW202310173A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/460,628 2021-08-30
US17/460,628 US11923243B2 (en) 2021-08-30 2021-08-30 Semiconductor structure having air gaps and method for manufacturing the same

Publications (1)

Publication Number Publication Date
TW202310173A true TW202310173A (zh) 2023-03-01

Family

ID=84841937

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110144871A TW202310173A (zh) 2021-08-30 2021-12-01 具有氣隙的半導體結構及用於製造該結構的方法

Country Status (3)

Country Link
US (2) US11923243B2 (zh)
CN (1) CN115602613A (zh)
TW (1) TW202310173A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996327B2 (en) * 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101382564B1 (ko) * 2008-05-28 2014-04-10 삼성전자주식회사 에어갭을 갖는 층간 절연막의 형성 방법
US7811924B2 (en) * 2008-06-16 2010-10-12 Applied Materials, Inc. Air gap formation and integration using a patterning cap
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9812353B2 (en) * 2015-12-03 2017-11-07 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN107680953B (zh) * 2017-11-09 2023-12-08 长鑫存储技术有限公司 金属内连线的互连结构及其形成方法、半导体器件
US10665546B1 (en) * 2018-12-06 2020-05-26 United Microelectronics Corp. Semiconductor device and method to fabricate the semiconductor device
US10692758B1 (en) * 2018-12-06 2020-06-23 United Microelectronics Corp. Semiconductor device and method to fabricate the semiconductor device
US11355430B2 (en) * 2019-12-18 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layer overlying dielectric structure to increase reliability

Also Published As

Publication number Publication date
US20230068760A1 (en) 2023-03-02
US20240162084A1 (en) 2024-05-16
CN115602613A (zh) 2023-01-13
US11923243B2 (en) 2024-03-05

Similar Documents

Publication Publication Date Title
JP2022140451A (ja) 半導体デバイスの空隙スペーサを形成する方法および半導体デバイス
KR101677345B1 (ko) 반도체 구조체 및 그 제조 방법
US10090167B2 (en) Semiconductor device and method of forming same
TW201724436A (zh) 互連線結構與其製造方法
US20240079270A1 (en) Bl-LAYER ALLOY LINER FOR INTERCONNECT METALLIZATION AND METHODS OF FORMING THE SAME
US20240162084A1 (en) Semiconductor structure having air gaps and method for manufacturing the same
US10535560B2 (en) Interconnection structure of semiconductor device
US20240170403A1 (en) Semiconductor structure having air gaps and method for manufacturing the same
TWI792419B (zh) 互連結構及其形成方法
TWI821732B (zh) 半導體結構及其製造方法
US20200135552A1 (en) High breakdown voltage inter-metal dielectric layer
CN220439613U (zh) 半导体装置
KR102587403B1 (ko) 금속화를 위한 이중층 라이너
US11929329B2 (en) Damascene process using cap layer
TW202249181A (zh) 半導體裝置及其製造方法
US20230065583A1 (en) Semiconductor device having thermally conductive air gap structure and method for manufacturing the same
US11901219B2 (en) Methods of forming semiconductor device structures
US20230039661A1 (en) Interconnect structure and methods of forming the same
TW202310174A (zh) 具有氣隙的半導體結構及用於製造該半導體結構的方法
CN114883260A (zh) 半导体装置的制造方法