CN114823494A - 互连结构及其形成方法 - Google Patents
互连结构及其形成方法 Download PDFInfo
- Publication number
- CN114823494A CN114823494A CN202110737821.3A CN202110737821A CN114823494A CN 114823494 A CN114823494 A CN 114823494A CN 202110737821 A CN202110737821 A CN 202110737821A CN 114823494 A CN114823494 A CN 114823494A
- Authority
- CN
- China
- Prior art keywords
- layer
- conductive
- liner
- forming
- conductive feature
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 133
- 230000004888 barrier function Effects 0.000 claims abstract description 103
- 230000008569 process Effects 0.000 claims abstract description 67
- 229910052707 ruthenium Inorganic materials 0.000 claims abstract description 44
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims abstract description 40
- 239000004020 conductor Substances 0.000 claims abstract description 23
- 238000004519 manufacturing process Methods 0.000 claims abstract description 18
- 239000004065 semiconductor Substances 0.000 claims description 38
- 238000009832 plasma treatment Methods 0.000 claims description 30
- 229910017052 cobalt Inorganic materials 0.000 claims description 27
- 239000010941 cobalt Substances 0.000 claims description 27
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 27
- 238000000151 deposition Methods 0.000 claims description 24
- 239000002131 composite material Substances 0.000 claims description 18
- 229910052739 hydrogen Inorganic materials 0.000 claims description 16
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 14
- 239000001257 hydrogen Substances 0.000 claims description 14
- 238000011049 filling Methods 0.000 abstract description 11
- 239000010410 layer Substances 0.000 description 419
- 239000000463 material Substances 0.000 description 55
- 229910052751 metal Inorganic materials 0.000 description 23
- 239000002184 metal Substances 0.000 description 23
- 239000000758 substrate Substances 0.000 description 20
- 239000010949 copper Substances 0.000 description 17
- 125000006850 spacer group Chemical group 0.000 description 17
- 239000003989 dielectric material Substances 0.000 description 16
- 229910052802 copper Inorganic materials 0.000 description 15
- 238000000231 atomic layer deposition Methods 0.000 description 13
- 238000005530 etching Methods 0.000 description 12
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 10
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000009792 diffusion process Methods 0.000 description 8
- 238000005240 physical vapour deposition Methods 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 8
- 230000008021 deposition Effects 0.000 description 7
- 239000012535 impurity Substances 0.000 description 7
- 238000000206 photolithography Methods 0.000 description 7
- 229910045601 alloy Inorganic materials 0.000 description 6
- 239000000956 alloy Substances 0.000 description 6
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 description 6
- 230000003628 erosive effect Effects 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- -1 TaAlC Inorganic materials 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 230000007797 corrosion Effects 0.000 description 4
- 238000005260 corrosion Methods 0.000 description 4
- 238000007772 electroless plating Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000002135 nanosheet Substances 0.000 description 3
- 239000002070 nanowire Substances 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 238000007747 plating Methods 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 229910017107 AlOx Inorganic materials 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910020286 SiOxNy Inorganic materials 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910004166 TaN Inorganic materials 0.000 description 2
- 229910003134 ZrOx Inorganic materials 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000002149 energy-dispersive X-ray emission spectroscopy Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000004943 liquid phase epitaxy Methods 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000006479 redox reaction Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000002791 soaking Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- GWYPDXLJACEENP-UHFFFAOYSA-N 1,3-cycloheptadiene Chemical compound C1CC=CC=CC1 GWYPDXLJACEENP-UHFFFAOYSA-N 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910017083 AlN Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910005191 Ga 2 O 3 Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- 229910006404 SnO 2 Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 125000005605 benzo group Chemical group 0.000 description 1
- 239000012964 benzotriazole Substances 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 239000013522 chelant Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000000348 solid-phase epitaxy Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76858—After-treatment introducing at least one additional element into the layer by diffusing alloying elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0676—Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76868—Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
- H01L2221/1063—Sacrificial or temporary thin dielectric films in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1068—Formation and after-treatment of conductors
- H01L2221/1073—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Thin Film Transistor (AREA)
- Bipolar Transistors (AREA)
- Manufacturing Of Electrical Connectors (AREA)
- Coupling Device And Connection With Printed Circuit (AREA)
- Wire Bonding (AREA)
Abstract
本申请涉及互连结构及其形成方法。一种制造互连结构的方法包括穿过电介质层形成开口。开口暴露第一导电特征的顶表面。该方法还包括在开口的侧壁上形成阻挡层,利用处理工艺钝化第一导电特征的暴露顶表面,在阻挡层之上形成衬里层,以及用导电材料填充开口。该衬里层可以包括钌。
Description
技术领域
本公开总体上涉及半导体制造领域,具体地涉及互连结构及其形成方法。
背景技术
半导体集成电路(IC)行业经历了指数增长。IC材料和设计的技术进步已产生了几代IC,其中每一代都比前一代具有更小且更复杂的电路。在IC发展的过程中,功能密度(例如,每芯片面积的互连器件的数量)通常增加,而几何尺寸(例如,可以使用制造工艺产生的最小部件(或线))减小。这种缩小过程通常通过提高生产效率和降低相关成本来提供益处。
随着器件的缩小,制造商已经开始使用新的和不同的材料和/或材料组合来促进器件的缩小。该缩小单独地以及与新的和不同的材料相结合地还导致了处于较大几何尺寸的前几代可能没有遇到的挑战。
发明内容
根据本公开的第一方面,提供了一种制造结构的方法,所述包括:穿过电介质层形成开口,该开口暴露第一导电特征的顶表面;在所述开口的侧壁上形成阻挡层;利用处理工艺钝化所述第一导电特征的暴露顶表面;在所述阻挡层之上形成衬里层,该衬里层包括钌;以及用导电材料填充所述开口。
根据本公开的第二方面,提供了一种制造结构的方法,所述方法包括:在互连层上形成蚀刻停止层(ESL),该互连层包括第一导电特征;在所述ESL上沉积电介质层;在所述电介质层中形成沟槽;形成孔,该孔穿过所述电介质层和所述ESL进入所述第一导电特征中,其中,所述孔的底表面暴露所述第一导电特征的导电填充层;以及形成第二导电特征,形成所述第二导电特征包括:在所述孔的侧壁上以及在所述沟槽的侧壁和底表面上沉积阻挡层;在沉积所述阻挡层之后,对所述导电填充层的暴露顶表面执行等离子体处理;在所述孔和所述沟槽中共形地形成外部衬里,该外部衬里包括钌;在所述外部衬里上形成内部衬里;以及在所述内部衬里上形成导电填充层。
根据本公开的第三方面,提供了一种半导体结构,包括:第一导电特征;电介质层,在所述第一导电特征上;以及第二导电特征,在所述电介质层中,所述第二导电特征包括:阻挡层,该阻挡层的至少一部分是所述第二导电特征的侧壁;衬里层,在所述阻挡层上,该衬里层与所述第一导电特征的至少一部分接触;以及导电填充层,在所述衬里层上。
附图说明
在结合附图阅读时,可以从下面的具体实施方式中最佳地理解本公开的各方面。应当注意,根据行业的标准做法,各种特征不是按比例绘制的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意增大或减小。
图1A示出了根据一些实施例的集成电路的半导体衬底和互连结构的截面图。
图1B示出了根据一些实施例的中间制造阶段的互连结构的透视图。
图2A至图10B示出了根据一些实施例的中间制造阶段的互连结构的截面图。
图11A、图11B和图11C示出了根据一些实施例的集成电路的半导体衬底和互连结构的截面图。
图12示出了根据一些实施例的中间制造阶段的互连结构的截面图。
具体实施方式
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这些仅是示例而不意图是限制性的。例如,在下面的说明中,在第二特征上方或之上形成第一特征可以包括以直接接触的方式形成第一特征和第二特征的实施例,并且还可包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。此外,本公开可以在各个示例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施例和/或配置之间的关系。
此外,本文中可能使用了空间相关术语(例如,“下方”、“之下”、“低于”、“以上”、“上部”等),以易于描述图中所示的一个要素或特征相对于另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语意在涵盖器件在使用或工作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转90度或处于其他朝向),并且本文中所用的空间相关描述符同样可被相应地解释。
本公开例如包括具有导电特征的互连结构的实施例,这些导电特征具有阻挡层、多个衬里层、以及导电填充材料。阻挡层被形成为未覆盖导电特征的底表面,这减小了器件电阻。处理工艺钝化了未被阻挡层覆盖的暴露底表面,这减少了可能由于随后形成的衬里层与暴露底表面之间的反应而产生的腐蚀。该多个衬里层可以在导电特征的侧壁上混合,这可以改善导电填充材料的粘附性。
图1A示出了根据一些实施例的半导体结构100的截面图,该半导体结构100包括可在其中形成各种电子器件的衬底50,以及形成在衬底50之上的多层互连系统(例如,互连层102)的一部分。图1B示出了如图1A所示的区域101的详细透视图。通常,如下面将更详细讨论的,图1A示出了形成在衬底50上的FinFET器件60,在其上形成有多个互连层。
通常,图1A所示的衬底50可包括体半导体衬底或绝缘体上硅(SOI)衬底。SOI衬底包括在薄半导体层下方的绝缘体层,该薄半导体层是SOI衬底的有效层。该有效层的半导体和体半导体通常包括晶体半导体材料硅,但可以包括一种或多种其他半导体材料,例如,锗、硅锗合金、化合物半导体(例如,GaAs、AlAs、InAs、GaN、AlN等)、或它们的合金(例如,GaxAl1-xAs、GaxAl1-xN、InxGa1-xAs等)、氧化物半导体(例如,ZnO、SnO2、TiO2、Ga2O3等)、或其组合。半导体材料可以被掺杂或不被掺杂。可以使用的其他衬底包括多层衬底、梯度衬底、或混合定向衬底。
图1A所示的FinFET器件60是在半导体突起58的鳍状条带(称为鳍)中形成的三维MOSFET结构。图1A所示的截面是在与源极和漏极区域54之间的电流流动方向平行的方向上沿着鳍的纵轴截取的。鳍58可以通过使用光刻和蚀刻技术对衬底进行图案化来形成。例如,可以使用间隔件图像转印(SIT)图案化技术。在该方法中,使用合适的光刻和蚀刻工艺在衬底之上形成牺牲层并对其进行图案化以形成芯轴。使用自对准工艺在芯轴旁边形成间隔件。然后通过适当的选择性蚀刻工艺去除牺牲层。然后,每个剩余的间隔件可被用作硬掩模,以通过使用例如反应离子蚀刻(RIE)在衬底50中蚀刻沟槽来图案化各个鳍58。图1A示出了单个鳍58,但衬底50可以包括任何数量的鳍。
图1A示出了沿着鳍58的相反侧壁形成的浅沟槽隔离(STI)区域62。STI区域62可如下形成:沉积一种或多种电介质材料(例如,氧化硅)以完全填充鳍周围的沟槽,然后使电介质材料的顶表面凹陷。STI区域62的电介质材料可以使用高密度等离子体化学气相沉积(HDP-CVD)、低压CVD(LPCVD)、低于大气压的CVD(SACVD)、可流动CVD(FCVD)、旋涂等、或其组合来沉积。在沉积之后,可以执行退火工艺或固化工艺。在一些情况下,STI区域62可以包括衬里(未示出),例如,通过氧化硅表面而生长的热氧化物衬里。凹陷工艺可以使用例如平坦化工艺(例如,化学机械抛光(CMP)),随后是选择性蚀刻工艺(例如,湿法蚀刻或干法蚀刻、或其组合),该选择性蚀刻工艺可以使STI区域62中的电介质材料的顶表面凹陷,使得鳍58的上部从周围的绝缘STI区域62突出。在一些情况下,还可以通过该平坦化工艺来去除用于形成鳍58的图案化硬掩模。
在一些实施例中,图1A所示的FinFET器件60的栅极结构68是可以使用后栅极工艺流程形成的高k金属栅极(HKMG)栅极结构。在后栅极工艺流程中,在形成STI区域62之后形成牺牲虚设栅极结构(未示出)。虚设栅极结构可以包括虚设栅极电介质、虚设栅极电极和硬掩模。首先,可以沉积虚设栅极电介质材料(例如,氧化硅、氮化硅等)。接下来,可以在虚设栅极电介质之上沉积虚设栅极材料(例如,非晶硅、多晶硅等),然后将其平坦化(例如,通过CMP)。可以在虚设栅极材料之上形成硬掩模层(例如,氮化硅、碳化硅等)。然后,如下形成虚设栅极结构:使用适当的光刻和蚀刻技术对硬掩模进行图案化,并将该图案转移到虚设栅极电介质和虚设栅极材料。虚设栅极结构可以沿着突出的鳍的多个侧延伸,并且在鳍之间在STI区域62的表面之上延伸。如下面更详细地描述的,虚设栅极结构可被高k金属栅极(HKMG)栅极结构68代替,如图1A所示。图1A的右侧所示的HKMG栅极结构68(在鳍58的顶部可见)是有效HKMG栅极结构的示例,其例如沿着鳍58的突出高于STI区域62的一部分的侧壁并在该部分之上延伸,以及图1A左侧的HKMG栅极结构68是在STI区域62之上(例如,在相邻的鳍之间)延伸的示例栅极结构。用于形成虚设栅极结构和硬掩模的材料可以使用任何合适的方法(例如,CVD、等离子体增强CVD(PECVD)、原子层沉积(ALD)、等离子体增强ALD(PEALD)等)来沉积、或通过半导体表面的热氧化来沉积、或其组合。
图1A所示的FinFET 60的源极和漏极区域54和间隔件72被形成为例如与虚设栅极结构自对准。可以通过在虚设栅极图案化完成之后执行的间隔件电介质层的沉积和各向异性蚀刻来形成间隔件72。间隔件电介质层可以包括一种或多种电介质,例如,氧化硅、氮化硅、氮氧化硅、碳化硅、碳氮化硅等、或其组合。该各向异性蚀刻工艺从虚设栅极结构的顶部之上去除电介质间隔件层,从而使沿着虚设栅极结构的侧壁的间隔件72横向延伸到鳍的表面的一部分上(如图1A右侧所示)或STI电介质的表面上(如图1A左侧所示)。
源极和漏极区域54是与半导体鳍58接触的半导体区域。在一些实施例中,源极和漏极区域54可以包括重掺杂区域和相对轻掺杂的漏极延伸部或LDD区域。通常,重掺杂区域使用间隔件72而与虚设栅极结构间隔开,而LDD区域可以在形成间隔件72之前形成,并因此在间隔件72下方延伸,并且在一些实施例中,进一步延伸至半导体在虚设栅极结构下方的一部分中。LDD区域可以例如通过使用离子注入工艺注入掺杂剂(例如,As、P、B、In等)来形成。
源极和漏极区域54可以包括外延生长区域。例如,在形成LDD区域之后,可以形成间隔件72,随后,重掺杂源极和漏极区域可如下形成为与间隔件72自对准:首先蚀刻鳍以形成凹部,然后通过选择性外延生长(SEG)工艺在凹部中沉积晶体半导体材料,其填充凹部并通常延伸到鳍的原始表面之外而形成凸起源极-漏极结构,如图1A所示。晶体半导体材料可以是元素的(例如,Si或Ge等)或合金的(例如,Si1-xCx或Si1-xGex等)。SEG工艺可以使用任何合适的外延生长方法,例如,气相/固相/液相外延(VPE、SPE、LPE)、或金属有机CVD(MOCVD)、或分子束外延(MBE)等。高剂量(例如,约1014cm-2至1016cm-2)的掺杂剂可以在SEG期间被原位引入到重掺杂源极和漏极区域54中、或通过在SEG之后执行的离子注入工艺引入、或其组合。
层间电介质(ILD)层76(在图1A中可见)被沉积在结构之上。在一些实施例中,可以在沉积ILD材料之前沉积合适的电介质(例如,氮化硅、碳化硅等、或其组合)的接触蚀刻停止层(CESL)(未示出)。可以执行平坦化工艺(例如,CMP)来从虚设栅极之上去除过量的ILD材料和任何剩余的硬掩模材料以形成顶表面,其中,虚设栅极材料的顶表面被暴露并且可以与ILD层76的顶表面基本上共面。图1A所示的HKMG栅极结构68可然后如下形成:首先使用一个或多个蚀刻技术去除虚设栅极结构,从而在相应的间隔件72之间形成凹部。
接下来,沉积包括一种或多种电介质的替换栅极电介质层66,随后沉积包括一种或多种导电材料的替换导电栅极层64,以完全填充凹部。栅极电介质层66包括例如高k电介质材料,例如,金属的氧化物和/或硅酸盐(例如,Hf、Al、Zr、La、Mg、Ba、Ti、以及其他金属的氧化物和/或硅酸盐)、氮化硅、氧化硅等、或其组合、或其多层。在一些实施例中,导电栅极层64可以是多层金属栅极堆叠,其包括相继形成在栅极电介质层66的顶部上的阻挡层、功函数层和栅极填充层。阻挡层的示例材料包括TiN、TaN,Ti、Ta等、或其多层组合。对于p型FET,功函数层可以包括TiN、TaN、Ru、Mo、Al,并且对于n型FET,功函数层可以包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr。可以使用其他合适的功函数材料、或其组合、或其多层。填充凹部的其余部分的栅极填充层可以包括诸如Cu、Al、W、Co、Ru等之类的金属、或其组合、或其多层。可以通过任何合适的方法来沉积用于形成栅极结构的材料,例如,CVD、PECVD、PVD、ALD、PEALD、电化学镀(ECP)、化学镀等。可以使用例如CMP工艺来从ILD层76的顶表面之上去除栅极结构层64和66的多余部分。如图1A所示的所得结构可以是基本上共面的表面,包括下列项的的暴露顶表面:ILD层76、间隔件72、以及嵌入在相应的间隔件72之间的HKMG栅极层66和64的其余部分。
如图1A所示,可以在ILD层76之上沉积ILD层78。在一些实施例中,用于形成ILD层76和ILD层78的绝缘材料可以包括氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺杂硼的磷硅酸盐玻璃(BPSG)、未掺杂的硅酸盐玻璃(USG)、多孔或致密的低介电常数(低k)电介质,例如,氟硅酸盐玻璃(FSG)、碳氧化硅(SiOCH)、掺杂碳的氧化物(CDO)、可流动氧化物、或多孔氧化物(例如,干凝胶/气凝胶)等、或其组合。可以使用任何合适的方法来沉积用于形成ILD层76和ILD层78的电介质材料,例如,CVD、物理气相沉积(PVD)、ALD、PEALD、PECVD、SACVD、FCVD、旋涂等、或其组合。
如图1A所示,在衬底50中形成的电子器件的电极可以使用在电介质层中形成的导电连接器(例如,接触件74)而电连接至互连层102的导电特征。在图1A所示的示例中,接触件74电连接到FinFET 60的源极和漏极区域54。对于栅极电极的接触件74通常形成在STI区域62之上。单独的栅极电极64(在图1A的左侧示出)示出了这种接触件。可以使用光刻技术来形成接触件。例如,图案化掩模可形成在ILD层78之上并用于蚀刻开口,这些开口延伸穿过ILD层78而暴露栅极电极在STI区域62之上的一部分,以及在鳍58之上蚀刻开口,这些开口进一步延伸穿过ILD层76以及ILD层76下方的CESL(未示出)衬里而暴露源极和漏极区域54的一些部分。在一些实施例中,可使用各向异性干法刻蚀工艺,其中,该刻蚀在两个连续步骤中执行。相对于在栅极电极64和CESL中使用的材料的蚀刻速率,用于该蚀刻工艺的第一步骤的蚀刻剂对ILD层76和78的材料具有更高的蚀刻速率,其可以内衬(lining)源极和漏极区域54的重掺杂区域的顶表面。一旦该蚀刻工艺的第一步骤暴露CESL,就可以执行该蚀刻工艺的第二步骤,其中,可以切换蚀刻剂以选择性地去除CESL。
在一些实施例中,可以在ILD层76和ILD层78中的开口中形成导电衬里。随后,用导电填充材料填充开口。衬里包括阻挡金属,这些阻挡金属用于减少导电材料从接触件74向外扩散到周围的电介质材料中。在一些实施例中,衬里可包括两个阻挡金属层。第一阻挡金属层与源极和漏极区域54中的半导体材料接触,并且随后可以与源极和漏极区域54中的重掺杂半导体进行化学反应而形成低电阻欧姆接触件,此后,未反应的金属可被去除。例如,如果源极和漏极区域54中的重掺杂半导体是硅或硅锗合金半导体,则第一阻挡金属层可以包括Ti、Ni、Pt、Co、其他合适的金属、或其合金。导电衬里的第二阻挡金属层可以另外包括其他金属(例如,TiN、TaN、Ta、或其他合适的金属、或其合金)。可以使用任何可接受的沉积技术(例如CVD、ALD、PEALD、PECVD、PVD、ECP、化学镀等、或其任何组合)来将导电填充材料(例如,W、Al、Cu、Ru、Ni、Co、其合金、其组合等)沉积在导电衬里之上,以填充接触开口。接下来,可以使用平坦化工艺(例如,CMP)来从ILD层78的表面之上去除导电材料的多余部分。所得的导电插塞延伸到ILD层76和78中,并且构成实体连接并电连接到电子器件(例如,图1A所示的三栅极FinFET 60)的电极的接触件74。在该示例中,使用相同的处理步骤同时形成对于STI区域62之上的电极以及对于鳍58之上的电极的接触件。然而,在其他实施例中,这两种类型的接触件可单独地形成。
在一些实施例中,穿过ILD层76和78至STI区域62或衬底50的顶表面形成导电插塞75。导电插塞75形成密封环80的底部,密封环80可以包括位于半导体结构100的每个后续形成的层上的互连金属部件。密封环80可以是在集成电路周围形成的应力保护结构,并且可以保护半导体芯片的内部电路(例如,包括FinFET 60的电路)免受湿气或免受由于诸如从晶圆分离半导体芯片之类的工艺而引起的损坏。导电插塞75可以使用与上述接触件74类似的方法和材料来形成。然而,可以使用任何合适的方法和材料来形成导电插塞75。
图1B图示了图1A的区域101的详细透视图,示出了互连层102的顶部。如图1A和图1B所示,根据一些实施例,根据集成电路设计所采用的后端线(BEOL)方案,互连层102被形成、堆叠在形成于ILD层76和78中的接触件74的垂直上方。在图1A所示的BEOL方案中,各个互连层具有类似的特征。然而,应理解,其他实施例可以采用替代的集成方案,其中各个互连层可以使用不同的特征。例如,被示为垂直连接器的接触件74可被延伸以形成横向传输电流的导电线,并且导电插塞75也可被延伸以形成作为密封环80的部分的导电线。
互连层(例如,互连层102)包括嵌入在金属间电介质(IMD)层中的导电过孔和导电线。除了在各种导电元件之间提供绝缘之外,IMD层还可包括一个或多个电介质蚀刻停止层以控制在IMD层中形成开口的蚀刻工艺。通常,过孔垂直地传导电流并用于电连接位于垂直相邻的层的两个导电特征,而线横向地传导电流并用于在一个层内分配电信号和功率。在根据图1A和图1B示出的实施例中,导电线105将接触件74连接至随后形成的导电过孔,并且在后续层处,过孔将位于过孔下方的层的线连接至过孔上方的线(例如,一对线可由过孔连接)。其他实施例可以采用不同的方案。例如,导电过孔可以在接触件74和导电线105之间的互连层102中。
仍参考图1A和图1B,互连层102可以使用例如镶嵌工艺流程来形成。首先,可以使用在ILD层76和78的描述中列出的一层或多层电介质材料来沉积用于形成IMD层110的电介质堆叠。在一些实施例中,IMD层110包括位于电介质堆叠的底部的蚀刻停止层(未示出)。蚀刻停止层包括具有与上面的材料的蚀刻速率不同的蚀刻速率的一个或多个绝缘体层(例如,SiOx、SiOC、SiCN、SiOxNy、SiN、CN、AlOx、AlN、AlYOx、ZrOx、YOx、其组合等)。用于沉积用于IMD的电介质堆叠的技术可以与用于形成ILD层76和78的技术相同。
可以使用适当的光刻和蚀刻技术(例如,采用碳氟化合物的各向异性RIE)来图案化IMD层110以形成用于线的开口。用于线的开口可以是在IMD层110中形成的纵向沟槽。蚀刻技术可以采用多个步骤。例如,第一主蚀刻步骤可以去除IMD层110的电介质材料的一部分,并且在蚀刻停止电介质层上停止。然后,可以切换蚀刻剂以去除蚀刻停止层电介质材料。可以调节各个蚀刻步骤的参数(例如,气体的化学成分、流速和压力、反应器功率等)以产生具有期望的内部锥角的渐缩侧壁轮廓。
可以沉积若干导电材料以填充沟槽,形成互连层102的导电线105。开口可被首先内衬一个或多个衬里,然后填充导电填充层104,其可以被帽盖层覆盖。
可以在沟槽的侧壁和底表面之上形成导电扩散阻挡衬里。导电扩散阻挡衬里可以包括一层或多层TaN、Ta、TiN、Ti、Co等、或其组合,例如,外部衬里108和下部内部衬里106A。导电扩散阻挡衬里可以通过任何合适的方法来沉积,例如,CVD、PECVD、PVD、ALD、PEALD、电化学镀(ECP)、化学镀等。在一些实施例中,外部衬里108包括TaN,并且下部内部衬里106A包括Co。
接下来,在导电扩散阻挡衬里之上形成导电填充层104以填充沟槽,形成导电线105。导电填充层104可以包括诸如W、Cu、Co、Ru、CuMn、Mo、Al等之类的金属、或其组合、或其多层。在一些实施例中,导电填充层104是铜。可以通过任何合适的方法来沉积用于形成导电填充层104的导电材料,例如,CVD、PECVD、PVD、ALD、PEALD、电化学镀(ECP)、化学镀等。在一些实施例中,可以在导电扩散阻挡衬里之上沉积薄的导电种子层以辅助发起ECP沉积步骤,该ECP沉积步骤用导电填充材料来完全填充开口。导电种子层可以是与导电填充层相同的导电材料,并且可以使用适当的沉积技术(例如,CVD、PECVD、ALD、PEALD或PVD等)来沉积。
在形成导电填充层104之后,可以在导电填充层104之上形成帽盖层106B。在沉积用于帽盖层106B的材料之后,可利用任何合适的方法(例如,使用光刻)来去除多余部分。这样,可以在帽盖层106B的材料之上形成光致抗蚀剂(未具体示出),并对其进行图案化以暴露帽盖层106B的材料的不在导电填充层104和下部内部衬里106A正上方的部分。这些暴露的部分然后可以通过蚀刻或任何合适的方法来去除。在一些实施例中,帽盖层106B是使用诸如ALD工艺之类的选择性沉积工艺来形成的,该选择性沉积工艺将帽盖层106B沉积在导电填充层104、外部衬里108和下部内部衬里106A的金属表面上,但未显著地沉积在IMD层110的电介质表面上。在一些实施例中,帽盖层106B是与下部内部衬里106A相同的材料,并且下部内部衬里106A和帽盖层106B一起形成同一材料(例如,Co)的内部衬里106。
可以在导电插塞75之上形成导电线107来作为密封环80的部分。导电线107可以使用与导电线105类似的材料和方法来形成。在一些实施例中,导电线107形成有比导电线105更大的宽度。
可以通过平坦化工艺(例如,CMP)来去除IMD层110之上的和/或外部衬里108的顶表面上的在开口外部的任何多余的导电材料,从而形成这样的顶表面,该顶表面包括与线105的导电区域基本上共面的IMD层110的电介质区域。该平坦化步骤完成了包括嵌入在IMD层110中的导电线105和107的互连层102的制造,如图1A和图1B所示。
图1B进一步示出了在后面的图中使用的参考截面。截面A-A’沿着导电线105的纵轴,并且截面B-B’垂直于截面A-A’。
图2A至图10B是根据一些实施例的制造互连结构的中间阶段的截面图和透视图。图2A、图3A、图4A、图4C、图5A、图6B、图7A和图8A沿图1B所示的截面A-A’示出。图2B、图3B、图4B、图4D、图5B、图6A、图6C、图6D、图7B、图7C、图8B、图9A、图9B、图10A和图10B沿图1B所示的截面B-B’示出。
图2A和图2B示出了形成包括位于互连层102之上的蚀刻停止层(ESL)116和电介质层120的电介质堆叠。该电介质堆叠可用于在形成于互连层102上的互连层140中后续形成导电通孔和导电线(参见下面的图8A和图8B)。ESL 116被形成在互连层102上。ESL 116可用于控制后续蚀刻工艺以形成用于过孔的开口(参见下面的图3A和图3B)。ESL 116包括具有与下面的IMD 110以及后续形成的上面的材料的蚀刻速率不同的蚀刻速率的一个或多个绝缘体层,例如,SiN、SiC、AlOx、AlN、AlYOx、ZrOx、YOx、其组合等。ESL 116可以使用PECVD、ALD、CVD等来形成。
接下来,在ESL 116上形成电介质层120。电介质层120包括一个或多个绝缘体层,例如,SiOx、SiOC、SiCN、SiOxNy、SiN等。根据一些实施例,电介质层120使用PECVD、FCVD、旋涂等来形成。
在图3A和图3B中,利用适当的光刻和蚀刻技术(例如,采用碳氟化合物的各向异性RIE)来在电介质层120中形成用于过孔的开口124和用于线的开口126。用于过孔的开口124可以是延伸穿过电介质层120和ESL116而暴露导电线105的顶部导电表面的垂直孔,并且用于线的开口126可以是形成在电介质层120的上部中的纵向沟槽。在一些实施例中,用于在电介质层120中图案化孔和沟槽的方法利用过孔优先(via-first)方案,其中,第一光刻和蚀刻工艺形成用于过孔的孔,并且第二光刻和蚀刻工艺形成用于线的沟槽。其他实施例可以使用不同的方法,例如,沟槽优先方案、或不完全的过孔优先方案、或掩埋蚀刻停止层方案。这些蚀刻技术可以采用多个步骤。例如,第一主蚀刻步骤可以去除电介质层120的电介质材料的一部分,并在ESL 116上停止。然后,可以切换蚀刻剂以去除ESL 116的电介质材料。可以调节各个蚀刻步骤的参数(例如,气体的化学成分、流速和压力、反应器功率等)以产生具有期望的内部锥角的渐缩侧壁轮廓。在其中导电线105的内部衬里106的顶部覆盖导电填充层104的顶表面的一些实施例中,开口124可延伸穿过内部衬里106的顶部而暴露导电填充层104的顶表面。
在图4A和图4B中,在开口124的侧壁上以及在开口126的侧壁和底表面上执行阻挡层132的选择性沉积。阻挡层132可以减少原子从随后沉积的导电材料(参见下面的图6A和图6B)到电介质层120的扩散。在一些实施例中,阻挡层132的选择性沉积减少了沉积在开口124的底表面上的阻挡材料的量,使得很少或没有阻挡层132被形成在导电填充层104上。这可以减小导电填充层104与随后沉积的外部衬里134(参见下面的图6A和图6B)之间的电阻。
作为阻挡层132的选择性沉积的示例,可以在导电填充层104的暴露部分上形成牺牲层(未示出),以阻挡、防止、或以其他方式抑制阻挡层132后续形成在导电填充层104上。牺牲层可被沉积为使得很少或没有牺牲层的材料被形成在ESL 116或电介质层120上。牺牲层包括粘附或键合至导电填充层104,并且不粘附或键合至电介质层120和/或ESL 116的材料。例如,该材料可以与导电填充层104的金属(例如,铜)形成螯合键,但不与电介质层120或ESL 116形成键。在一些实施例中,牺牲层包括有机分子,例如,苯并三唑(BTA),化学式为C6H4N3H。BTA分子具有带有三个氮原子(可键合至诸如铜之类的金属)的第一侧以及具有疏水性苯并环的第二侧,阻挡层132的一些前体不能键合至该疏水性苯并环。BTA分子的第一侧可以键合到导电填充层104,而第二侧突出并阻止前体键合到导电填充层104。以这种方式,包括BTA单层或多个BTA单层的牺牲层可以防止阻挡层132形成在导电填充层104上或牺牲层上。
在形成牺牲层之后,在开口124的侧壁上以及在开口126的侧壁和底表面上形成阻挡层132。阻挡层132可以包括阻挡材料,例如,钽、氮化钽、钛、氮化钛等、或其组合。阻挡层132可以使用诸如ALD工艺和/或CVD工艺之类的合适的工艺来形成。阻挡层132被形成在电介质层120和ESL 116的暴露表面之上,但是被牺牲层阻止形成在开口124内的导电填充层104上。此外,如前所述,阻挡层132未显著地形成在牺牲层的暴露表面上。在形成阻挡层132之后,可以通过诸如热处理或等离子体处理(例如,原位H2或Ar等离子体处理)之类的合适的工艺来去除牺牲层。电介质层120之上的阻挡层132的多余部分可以利用诸如CMP之类的平坦化来去除。
在一些实施例中,阻挡层132在开口124的侧壁上以及在开口126的侧壁和底表面上被形成为到的厚度,这可通过减少了原子从随后沉积的导电材料(参见下面的图6A和图6B)扩散到电介质层120中而是有利的。将阻挡层132形成为小于的厚度可能是不利的,因为这允许原子从随后沉积的导电材料不期望地扩散到电介质层120中。将阻挡层132形成为大于的厚度可能是不利的,因为这还将阻挡层132的材料形成在开口124的底表面上至不期望的厚度,这可能增加器件电阻。
图4C和图4D示出了其中在开口124的底表面上形成底部阻挡层132A的实施例。可以在开口124的侧壁上以及在开口126的侧壁和底表面上形成阻挡层132期间形成底部阻挡层132A。底部阻挡层132A可以是不连续的,并且可以覆盖开口124的底表面的10%至90%的范围内的百分比。底部阻挡层132A的厚度可以在至的范围内,这对于减小导电填充层104和随后沉积的导电材料之间的电阻可能是有利的(参见下面的图6A和图6B)。底部阻挡层132A被形成为大于的厚度可能是不利的,因为它可能增加导电填充层104和随后沉积的导电材料之间的电阻。
在图5A和图5B中,分别在图4A和图4B之后,对通过开口124暴露的导电填充层104的顶表面执行处理工艺150。处理工艺150可以利用随后形成的外部衬里134(参见下面的图6A和图6B)来钝化导电填充层104的暴露的下面的表面,这可以减少可由反应(例如,氧化还原反应)引起的导电填充层104的顶表面的腐蚀。在一些实施例中,随后形成的外部衬里134包括钌(Ru),其具有比Cu和Co更高的还原电势。因此,随后形成的包括Ru的外部衬里134实体接触包括例如Cu或Co的导电填充层104可能提高触发氧化还原反应的可能性,这些氧化还原反应可能腐蚀导电填充层104的顶表面并降低器件性能。处理工艺150可以通过钝化导电填充层104来降低导电填充层104的顶表面的腐蚀的可能性。
处理工艺150可以是对导电填充层104的暴露表面(可以是例如铜或钴)的清洁处理,例如,等离子体或轰击处理。处理工艺150可以降低导电填充层104的暴露表面上的杂质水平,例如,C、N、O和F杂质。在一些实施例中,该处理工艺是使用H2、Ar、N2、NH3、O2等、或其组合的等离子体处理。该等离子体处理可以以在电源处测量的100W至800W的范围内的功率执行,这可以减少杂质以及随后对导电填充层104的顶表面的腐蚀。以小于100W执行该等离子体处理可能是不利的,因为会导致杂质的去除不充分以及对导电填充层104的顶表面的腐蚀增加。以大于800W执行该等离子体处理可能是不利的,因为会导致对导电填充层104的顶表面的损坏。该等离子体处理可被执行10秒至2分钟的范围内的持续时间,这可以减少杂质以及随后对导电填充层104的顶表面的腐蚀。执行该等离子体处理少于10秒可能是不利的,因为会导致杂质的去除不充分以及对导电填充层104的顶表面的腐蚀增加。执行该等离子体处理多于2分钟可能是不利的,因为会导致对导电填充层104的顶表面的损坏。
在图6A至图6D中,穿过电介质层120形成导电特征130和170。图6A示出了包括互连层102和140的半导体结构100的截面图。图6B和图6C分别沿着截面A-A’和B-B’示出了图6A所示的区域101的截面图。图6D沿着截面B-B’示出了图6A所示的区域201的截面图。导电特征130可以包括填充开口124的过孔部分以及填充开口126的线部分,并且导电特征170可以使用与导电特征130类似的方法和材料来形成,但具有比导电特征130更宽的尺寸。在一些实施例中,导电特征130和170包括外部衬里134、内部衬里136和导电填充材料138。
外部衬里134在开口124和126中被形成在阻挡层132和导电填充层104的暴露表面之上。外部衬里134可以由钌、钼、铑等形成,并且可以通过诸如CVD、PVD、ALD等、或其组合之类的沉积工艺来形成。根据一些实施例,外部衬里134可以通过流动前体分子来利用CVD工艺形成,该前体分子包含连接到一个或多个烷基(例如,己二烯、吡啶、丁二烯和环庚二烯)、一个或多个羰基、一个或多个其他官能团、或其组合的钌(Ru)。例如,可以使用三羰基-己二烯钌作为前体分子来通过CVD形成外部衬里134。用钌形成外部衬里134的益处是铜对钌的粘附性弱于阻挡层132(例如,TaN)对铜的粘附性,这可以改善导电填充层138(例如,铜)的填充工艺,因为铜更容易在钌基表面上流动。电介质层120之上的外部衬里134的多余部分可以用诸如CMP之类的平坦化来去除。
在一些实施例中,外部衬里134在开口124的侧壁和底表面上以及在开口126的侧壁和底表面上被共形地形成为至的厚度,这可通过改善随后沉积的导电材料(例如,内部衬里136和导电填充层138)对阻挡层132的间隙填充而是有利的。将外部衬里134形成为小于的厚度可能是不利的,因为会导致随后沉积的导电材料到阻挡层132的较差流动。将外部衬里134形成为大于的厚度可能是不利的,因为会导致对下面的导电填充层104的腐蚀增加,这可能增加器件电阻。
在形成外部衬里134之后,可以形成内部衬里136和导电填充层138以填充开口124和126。内部衬里136可以改善外部衬里134和导电填充层138之间的粘附性。内部衬里136和导电填充层138可以分别使用与内部衬里106和导电填充层104类似的材料和方法来形成,如以上关于图1A和图1B所述。例如,可以首先使用与下部内部衬里106A(参见上面的图1B)类似的方法和材料来形成内部衬里136的下部内部衬里部分。可以在内部衬里136的下部内部衬里部分之上形成导电填充层138,然后使用与帽盖层106B(参见上面的图1B)类似的方法和材料在导电填充层138之上形成内部衬里136的帽盖部分,使得内部衬里136覆盖导电填充层138的底表面、侧壁和顶表面。在一些实施例中,内部衬里136包括钴,导电填充层138包括铜,并且内部衬里136的钴可以改善导电填充层138的铜对外部衬里134的钌的后续粘附性。
在一些实施例中,内部衬里136被形成为到的厚度,这可以改善外部衬里134和导电填充层138之间的粘附性。将内部衬里136形成为小于的厚度可能是不利的,因为会导致外部衬里134与导电填充层138之间的较差粘附性。将内部衬里136形成为大于的厚度可能是不利的,因为会增加外部衬里134与导电填充层138之间的电阻。
图6D示出了可以形成在导电线107之上来作为密封环80(参见上面的图1A)的部分的导电特征170。导电特征170可以使用与导电特征130类似的材料和方法来形成。在一些实施例中,导电特征170被形成为具有比导电特征130更大的宽度。例如,导电特征130可具有跨导电特征130的底表面测量的宽度W1,该宽度W1在10nm至22nm的范围内,并且导电特征170可具有跨导电特征170的底表面测量的宽度W2,该宽度W2在100nm至180nm的范围内。导电特征170的底表面可以与导电线107的顶表面的外边缘分开最大间隔长度L1和最小间隔长度L2,其中,最大间隔长度L1和最小间隔长度L2之间的差在0.5nm至5nm的范围内。
可以通过平坦化工艺(例如,CMP)来去除电介质层120之上的和/或外部衬里134的顶表面上的在开口124和126外部的任何多余的导电材料,从而形成这样的顶表面,该顶表面包括与导电特征130的导电区域基本上共面的电介质层120的电介质区域。该平坦化步骤完成了包括嵌入在电介质层120中的导电特征130和/或170的互连层140的制造。
在图7A、图7B和图7C中,在互连层140之上形成ESL 142。ESL 142可用于控制后续蚀刻工艺以在随后形成在互连层140之上的互连层(参见下面的图12)中形成用于过孔的开口。ESL 142可以使用与以上关于图2A和图2B所述的ESL 116类似的方法和材料来形成。
图8A和图8B示出了在图4C和图4D之后的实施例。导电特征130的底部阻挡层132A介于外部衬里134的底表面和导电填充层104的顶表面之间。底部阻挡层132A可以是不连续的,这可以减小外部衬里134和导电填充层104之间的电阻。
图9A和图9B示出了一个实施例,其中,通过沿阻挡层132的侧壁的外部衬里134和内部衬里136的混合而在导电特征130和170中形成组合衬里135。在其中外部衬里134包括钌并且内部衬里136包括钴的实施例中,组合衬里135包括混合的钌和钴。具有混合的钌和钴的组合衬里135可以提高用导电填充层138填充开口124和126的效率和良率。具体地,在其中导电填充层138包括Cu的一些实施例中,在结合衬里135的表面上存在钌通过具有经提高的从结合衬里135的Cu去湿性(dewettability),而提供了改善的导电填充层138的沉积和回流。通过例如在能量色散X射线光谱扫描中具有重叠的强度峰值,沿着阻挡层132的侧壁的结合衬里135可被测量为具有完全混合的钌和钴。
在一些实施例中,组合衬里135是在形成外部衬里134和内部衬里136之后并且在形成导电填充层138之前,通过对半导体结构100执行诸如氢浸泡处理和/或氢等离子体处理之类的氢处理(参见上面的图6A)来形成的。在氢浸泡处理期间,氢气扩散到组合衬里135中以修复变形并重新定向分子,这促进了外部衬里134和内部衬里136之间的混合。氢浸泡处理可以在200℃至500℃的范围内的高温,以及10Torr至50Torr的范围内的高压下执行。氢等离子体处理也可以促进外部衬里134和内部衬里136之间的混合。此外,氢离子与外部衬里134和内部衬里136反应以从这些层中去除碳和氧杂质,同时还提高了金属扩散性。根据一些实施例,氢等离子体处理包括用氢等离子体轰击半导体结构100达10秒至120秒的范围内的时间段。氢等离子体处理可以在150℃至400℃的范围内的温度,以及1Torr至10Torr的范围内压力下执行。氢等离子体的流速可以在1000sccm(标准立方厘米每分钟)至6000sccm的范围内,并且持续10秒至2分钟的范围内的持续时间。
如图9A和图9B所示,可以在导电特征130和170中在阻挡层132的侧壁上形成组合衬里135。外部衬里134和内部衬里136的沿着导电特征130和170的底表面的部分可以被部分地混合,或者可以保持未混合。通过例如在能量色散X射线光谱扫描中具有部分重叠的强度峰值,外部衬里134和内部衬里136的沿着导电特征130和170的底表面的部分可被测量为具有部分地混合的钌和钴。在一些实施例中,组合衬里135在导电特征130和170的侧壁上的厚度在到范围内,并且在导电特征130和170的底表面上的厚度在到范围内。在形成于开口124中的导电特征130的过孔部分的底部(参见上面的图5A和图5B),导电填充层138可以实体接触内部衬里136的一部分,内部衬里136的该部分可以实体接触外部衬里134的一部分,并且外部衬里134的该部分可以实体接触导电填充层104。
图10A和图10B示出了一个实施例,其中,导电填充层138直接形成在导电特征130和170中的外部衬里134上。在开口124的侧壁和底表面上以及在开口126的侧壁和底表面上,外部衬里134可被形成为至 的厚度的材料,例如,钌,这可以通过改善随后沉积的导电填充层138对阻挡层132的粘附性而是有利的。将外部衬里134形成为小于的厚度可能是不利的,因为会导致导电填充层138对阻挡层132的较差粘附性。将外部衬里134形成为大于的厚度可能是不利的,因为会导致对下面的导电填充层104的腐蚀增加,这可能增加器件电阻。
图11A、图11B和图11C示出了其中互连层102和140被形成在不同的电子器件之上的实施例的剖视图。提供图1A和图6A所示的示例电子器件(FinFET 60)仅出于说明性目的,以进一步解释对所公开的实施例的应用,并不意味着以任何方式限制所公开的实施例。图11A示出了FinFET器件60’的另一配置,其中双鳍58’被栅极结构68覆盖。所公开的FinFET实施例还可应用于纳米结构器件,例如,纳米结构(例如,纳米片、纳米线、栅极全环绕等)场效应晶体管(nano-FET)。在纳米结构FET实施例中,鳍被纳米结构代替,纳米结构是通过对沟道层和牺牲层的交替层的堆叠进行图案化而形成的。以与上述实施例类似的方式形成虚设栅极堆叠和源极/漏极区域。在去除虚设栅极堆叠之后,可以在沟道区域中部分地或完全去除牺牲层。以与上述实施例类似的方式形成替换栅极结构,替换栅极结构可以部分地或完全填充由去除牺牲层而留下的开口,并且替换栅极结构可部分地或完全围绕纳米结构FET器件的沟道区域中的沟道层。图11B示出了纳米片沟道区域158被栅极结构68覆盖的纳米片器件160,以及图11C示出了纳米线沟道区域258被栅极结构68覆盖的纳米线器件260。可以以与上述实施例类似的方式形成ILD以及对替代栅极结构和源极/漏极区域的接触件。可以如美国专利申请公开号2016/0365414中所公开的来形成纳米结构器件,该专利申请通过引用整体并入本文。
图12示出了根据一些实施例的互连层140的截面图,其中更高的互连层240和340沿着图1B的截面B-B’形成在互连层140上。在根据图12示出的实施例中,各个互连层具有类似的特征并且可以使用类似的方法和材料来形成。互连层240和340的电介质层220和230可分别使用与电介质层120类似的方法和材料来形成,并且互连层240的导电特征230和270以及互连层340的导电特征330和370可使用与导电特征130和170类似的方法和材料来形成,如以上关于图2A至图6D所述。然而,应理解,其他实施例可采用其中各个互连层可使用不同特征的替代集成方案。例如,互连层140和340的导电特征130和330被分别示出为具有垂直于截面B-B’延伸的导电线部分,而互连层240的导电特征240被示出为具有沿着截面B-B’延伸的导电线部分。在其他实施例中,互连层140和340的导电特征130和330可具有沿着截面B-B’延伸的导电线部分,并且互连层240的导电特征230可具有垂直于截面B-B’延伸的导电线部分。尽管被示出为形成在同一截面中,但应理解,导电特征130、230和330中的每一个可形成在不同的截面中,这可以避免导电特征的短路。
如图12所示的各个互连层的导电特征可具有不同的尺寸。例如,在一些实施例中,互连层140的导电特征130具有跨底表面测量的10nm至15nm范围内的宽度W3,互连层240的导电特征230具有跨底表面测量的14nm至22nm范围内的宽度W4,并且互连层340的导电特征330具有跨底表面测量的12nm至16nm范围内的宽度W5。
实施例可以提供优点。互连结构可形成有导电特征,这些导电特征具有被形成为未覆盖导电特征的底表面的阻挡层,以降低器件电阻。未被阻挡层覆盖的暴露底表面可以被钝化,这可以减少由于随后形成的衬里层与暴露底表面之间的反应而引起的腐蚀。可以在阻挡层之上形成多个衬里层。该多个衬里层可以在导电特征的侧壁上混合,以改善随后形成以填充导电特征的导电填充材料的粘附性。
根据一个实施例,一种制造结构的方法包括:穿过电介质层形成开口,该开口暴露第一导电特征的顶表面;在开口的侧壁上形成阻挡层;利用处理工艺钝化第一导电特征的暴露顶表面;在阻挡层之上形成衬里层,该衬里层包括钌;以及用导电材料填充开口。在一个实施例中,处理工艺是包括H2的等离子体处理。在一个实施例中,等离子体处理被执行10秒至2分钟范围内的时间。在一个实施例中,等离子体处理使用在100W至800W范围内的电源功率。在一个实施例中,形成衬里层包括:在阻挡层和第一导电特征的暴露顶表面之上形成外部衬里层,该外部衬里层是钌;以及在外部衬里之上形成内部衬里层,该内部衬里层是钴。在一个实施例中,该方法还包括:在导电材料之上形成帽盖层,该帽盖层是钴。在一个实施例中,形成衬里层包括:在阻挡层的侧壁上形成组合衬里层,该组合衬里层包括混合的钌和钴。在一个实施例中,形成组合衬里层包括:对钌层和钴层执行氢浸泡处理,钴层位于钌层上。
根据另一个实施例,一种制造结构的方法包括:在互连层上形成蚀刻停止层(ESL),该互连层包括第一导电特征;在ESL上沉积电介质层;在电介质层中形成沟槽;形成孔,该孔穿过电介质层和ESL进入第一导电特征中,其中,孔的底表面暴露第一导电特征的导电填充层;以及形成第二导电特征。形成第二导电特征包括:在孔的侧壁上以及在沟槽的侧壁和底表面上沉积阻挡层;在沉积阻挡层之后,对导电填充层的暴露顶表面执行等离子体处理;在孔和沟槽中共形地形成外部衬里,该外部衬里包括钌;在外部衬里上形成内部衬里;以及在内部衬里上形成导电填充层。在一个实施例中,形成内部衬里还包括:在导电填充层的顶表面上形成内部衬里的上部。在一个实施例中,等离子体处理包括H2。在一个实施例中,等离子体处理以100W至800W范围内的功率被执行。在一个实施例中,等离子体处理被执行10秒至2分钟范围内的持续时间。在一个实施例中,形成阻挡层还包括:在第二导电特征的底表面上形成阻挡层的底部,该阻挡层的底部是不连续的。在一个实施例中,阻挡层的底部的厚度在至的范围内。在一个实施例中,外部衬里的厚度在至的范围内。在一个实施例中,内部衬里的厚度在至的范围内。
根据又一个实施例,一种半导体结构包括:第一导电特征;电介质层,在第一导电特征上;以及第二导电特征,在电介质层中,第二导电特征包括:阻挡层,该阻挡层的至少一部分是第二导电特征的侧壁;衬里层,在阻挡层上,该衬里层与第一导电特征的至少一部分接触;以及导电填充层,在衬里层上。在一个实施例中,阻挡层的底部在第二导电特征的底表面上,阻挡层的底部是不连续的。在一个实施例中,衬里层包括:组合衬里层,沿着阻挡层的侧壁,该组合衬里层包括混合的钌和钴;外部衬里部分,在第二导电特征的底表面上,该外部衬里部分是钌;以及内部衬里部分,在外部衬里部分上,该内部衬里部分是钴。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。
示例
示例1.一种制造结构的方法,所述包括:穿过电介质层形成开口,该开口暴露第一导电特征的顶表面;在所述开口的侧壁上形成阻挡层;利用处理工艺钝化所述第一导电特征的暴露顶表面;在所述阻挡层之上形成衬里层,该衬里层包括钌;以及用导电材料填充所述开口。
示例2.根据示例1所述的方法,其中,所述处理工艺是包括H2的等离子体处理。
示例3.根据示例2所述的方法,其中,所述等离子体处理被执行10秒至2分钟范围内的时间。
示例4.根据示例2所述的方法,其中,所述等离子体处理使用在100W至800W范围内的电源功率。
示例5.根据示例1所述的方法,其中,形成所述衬里层包括:在所述阻挡层和所述第一导电特征的暴露顶表面之上形成外部衬里层,该外部衬里层是钌;以及在所述外部衬里之上形成内部衬里层,该内部衬里层是钴。
示例6.根据示例5所述的方法,还包括:在所述导电材料之上形成帽盖层,该帽盖层是钴。
示例7.根据示例1所述的方法,其中,形成所述衬里层包括:在所述阻挡层的侧壁上形成组合衬里层,该组合衬里层包括混合的钌和钴。
示例8.根据示例7所述的方法,其中,形成所述组合衬里层包括:对钌层和钴层执行氢浸泡处理,所述钴层位于所述钌层上。
示例9.一种制造结构的方法,所述方法包括:在互连层上形成蚀刻停止层(ESL),该互连层包括第一导电特征;在所述ESL上沉积电介质层;在所述电介质层中形成沟槽;形成孔,该孔穿过所述电介质层和所述ESL进入所述第一导电特征中,其中,所述孔的底表面暴露所述第一导电特征的导电填充层;以及形成第二导电特征,形成所述第二导电特征包括:在所述孔的侧壁上以及在所述沟槽的侧壁和底表面上沉积阻挡层;在沉积所述阻挡层之后,对所述导电填充层的暴露顶表面执行等离子体处理;在所述孔和所述沟槽中共形地形成外部衬里,该外部衬里包括钌;在所述外部衬里上形成内部衬里;以及在所述内部衬里上形成导电填充层。
示例10.根据示例9所述的方法,其中,形成所述内部衬里还包括:在所述导电填充层的顶表面上形成所述内部衬里的上部。
示例11.根据示例9所述的方法,其中,所述等离子体处理包括H2。
示例12.根据示例9所述的方法,其中,所述等离子体处理以100W至800W范围内的功率被执行。
示例13.根据示例9所述的方法,其中,所述等离子体处理被执行10秒至2分钟范围内的持续时间。
示例14.根据示例9所述的方法,其中,形成所述阻挡层还包括:在所述第二导电特征的底表面上形成所述阻挡层的底部,所述阻挡层的底部是不连续的。
示例18.一种半导体结构,包括:第一导电特征;电介质层,在所述第一导电特征上;以及第二导电特征,在所述电介质层中,所述第二导电特征包括:阻挡层,该阻挡层的至少一部分是所述第二导电特征的侧壁;衬里层,在所述阻挡层上,该衬里层与所述第一导电特征的至少一部分接触;以及导电填充层,在所述衬里层上。
示例19.根据示例18所述的半导体结构,其中,所述阻挡层的底部在所述第二导电特征的底表面上,所述阻挡层的底部是不连续的。
示例20.根据示例18所述的半导体结构,其中,所述衬里层包括:组合衬里层,沿着所述阻挡层的侧壁,该组合衬里层包括混合的钌和钴;外部衬里部分,在所述第二导电特征的底表面上,该外部衬里部分是钌;以及内部衬里部分,在所述外部衬里部分上,该内部衬里部分是钴。
Claims (10)
1.一种制造结构的方法,所述包括:
穿过电介质层形成开口,该开口暴露第一导电特征的顶表面;
在所述开口的侧壁上形成阻挡层;
利用处理工艺钝化所述第一导电特征的暴露顶表面;
在所述阻挡层之上形成衬里层,该衬里层包括钌;以及
用导电材料填充所述开口。
2.根据权利要求1所述的方法,其中,所述处理工艺是包括H2的等离子体处理。
3.根据权利要求2所述的方法,其中,所述等离子体处理被执行10秒至2分钟范围内的时间。
4.根据权利要求2所述的方法,其中,所述等离子体处理使用在100W至800W范围内的电源功率。
5.根据权利要求1所述的方法,其中,形成所述衬里层包括:
在所述阻挡层和所述第一导电特征的暴露顶表面之上形成外部衬里层,该外部衬里层是钌;以及
在所述外部衬里之上形成内部衬里层,该内部衬里层是钴。
6.根据权利要求5所述的方法,还包括:在所述导电材料之上形成帽盖层,该帽盖层是钴。
7.根据权利要求1所述的方法,其中,形成所述衬里层包括:在所述阻挡层的侧壁上形成组合衬里层,该组合衬里层包括混合的钌和钴。
8.根据权利要求7所述的方法,其中,形成所述组合衬里层包括:对钌层和钴层执行氢浸泡处理,所述钴层位于所述钌层上。
9.一种制造结构的方法,所述方法包括:
在互连层上形成蚀刻停止层(ESL),该互连层包括第一导电特征;
在所述ESL上沉积电介质层;
在所述电介质层中形成沟槽;
形成孔,该孔穿过所述电介质层和所述ESL进入所述第一导电特征中,其中,所述孔的底表面暴露所述第一导电特征的导电填充层;以及
形成第二导电特征,形成所述第二导电特征包括:
在所述孔的侧壁上以及在所述沟槽的侧壁和底表面上沉积阻挡层;
在沉积所述阻挡层之后,对所述导电填充层的暴露顶表面执行等离子体处理;
在所述孔和所述沟槽中共形地形成外部衬里,该外部衬里包括钌;
在所述外部衬里上形成内部衬里;以及
在所述内部衬里上形成导电填充层。
10.一种半导体结构,包括:
第一导电特征;
电介质层,在所述第一导电特征上;以及
第二导电特征,在所述电介质层中,所述第二导电特征包括:
阻挡层,该阻挡层的至少一部分是所述第二导电特征的侧壁;
衬里层,在所述阻挡层上,该衬里层与所述第一导电特征的至少一部分接触;以及
导电填充层,在所述衬里层上。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163158991P | 2021-03-10 | 2021-03-10 | |
US63/158,991 | 2021-03-10 | ||
US17/242,783 US11742290B2 (en) | 2021-03-10 | 2021-04-28 | Interconnect structure and method of forming thereof |
US17/242,783 | 2021-04-28 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN114823494A true CN114823494A (zh) | 2022-07-29 |
Family
ID=82526657
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202110737821.3A Pending CN114823494A (zh) | 2021-03-10 | 2021-06-28 | 互连结构及其形成方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US11742290B2 (zh) |
CN (1) | CN114823494A (zh) |
DE (1) | DE102021111910A1 (zh) |
TW (1) | TWI821732B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11742290B2 (en) * | 2021-03-10 | 2023-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure and method of forming thereof |
US20240234204A9 (en) * | 2022-10-21 | 2024-07-11 | Applied Materials, Inc. | Ru Liner above a Barrier Layer |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6144099A (en) * | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US20060113675A1 (en) | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
US7666787B2 (en) | 2006-02-21 | 2010-02-23 | International Business Machines Corporation | Grain growth promotion layer for semiconductor interconnect structures |
US7528066B2 (en) * | 2006-03-01 | 2009-05-05 | International Business Machines Corporation | Structure and method for metal integration |
US7727883B2 (en) | 2008-09-30 | 2010-06-01 | Tokyo Electron Limited | Method of forming a diffusion barrier and adhesion layer for an interconnect structure |
US7956463B2 (en) | 2009-09-16 | 2011-06-07 | International Business Machines Corporation | Large grain size conductive structure for narrow interconnect openings |
US9647071B2 (en) | 2015-06-15 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFET structures and methods of forming the same |
TWI758398B (zh) | 2017-01-24 | 2022-03-21 | 美商應用材料股份有限公司 | 用於在基板上形成鈷層的方法 |
US10438846B2 (en) | 2017-11-28 | 2019-10-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Physical vapor deposition process for semiconductor interconnection structures |
US10790142B2 (en) | 2017-11-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective capping processes and structures formed thereby |
US10867905B2 (en) | 2017-11-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures and methods of forming the same |
KR102493464B1 (ko) * | 2018-07-19 | 2023-01-30 | 삼성전자 주식회사 | 집적회로 장치 및 이의 제조 방법 |
US11749560B2 (en) | 2018-09-25 | 2023-09-05 | Intel Corporation | Cladded metal interconnects |
US11742290B2 (en) * | 2021-03-10 | 2023-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure and method of forming thereof |
-
2021
- 2021-04-28 US US17/242,783 patent/US11742290B2/en active Active
- 2021-05-07 DE DE102021111910.3A patent/DE102021111910A1/de active Pending
- 2021-06-28 CN CN202110737821.3A patent/CN114823494A/zh active Pending
- 2021-08-23 TW TW110131057A patent/TWI821732B/zh active
-
2023
- 2023-07-13 US US18/351,957 patent/US20230361039A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20220293528A1 (en) | 2022-09-15 |
DE102021111910A1 (de) | 2022-09-15 |
TWI821732B (zh) | 2023-11-11 |
US11742290B2 (en) | 2023-08-29 |
KR20220127114A (ko) | 2022-09-19 |
US20230361039A1 (en) | 2023-11-09 |
TW202236414A (zh) | 2022-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11855154B2 (en) | Vertical interconnect features and methods of forming | |
US11450563B2 (en) | Interconnect structure and method | |
US11183426B2 (en) | Method for forming a FinFET structure that prevents or reduces deformation of adjacent fins | |
TWI780550B (zh) | 半導體結構、半導體裝置及其形成方法 | |
US20230361039A1 (en) | Interconnect Structure and Method of Forming Thereof | |
TW202018832A (zh) | 半導體結構的製造方法 | |
US20240363402A1 (en) | Interconnect structure and method | |
US11996324B2 (en) | Conductive feature of a semiconductor device and method of forming same | |
KR102587403B1 (ko) | 금속화를 위한 이중층 라이너 | |
CN220439613U (zh) | 半导体装置 | |
TW201911474A (zh) | 半導體裝置及其製造方法 | |
US20230253321A1 (en) | Semiconductor device and method of forming thereof | |
TW202240669A (zh) | 半導體裝置的製造方法 | |
KR102728426B1 (ko) | 상호연결 구조 및 그 형성 방법 | |
US20230395393A1 (en) | Oxide Removal for Contact Plugs | |
US20220359376A1 (en) | Integrated circuit structure and method for forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |