TW201447964A - 電漿處理室元件之溫度控制窗 - Google Patents

電漿處理室元件之溫度控制窗 Download PDF

Info

Publication number
TW201447964A
TW201447964A TW103103603A TW103103603A TW201447964A TW 201447964 A TW201447964 A TW 201447964A TW 103103603 A TW103103603 A TW 103103603A TW 103103603 A TW103103603 A TW 103103603A TW 201447964 A TW201447964 A TW 201447964A
Authority
TW
Taiwan
Prior art keywords
temperature
heat exchanger
coolant
dielectric window
liquid
Prior art date
Application number
TW103103603A
Other languages
English (en)
Other versions
TWI621150B (zh
Inventor
Matt Busche
Adam Mace
Michael Kang
Allan Ronne
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201447964A publication Critical patent/TW201447964A/zh
Application granted granted Critical
Publication of TWI621150B publication Critical patent/TWI621150B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種感應耦合電漿處理室之溫度控制介電窗,包含:一介電窗,形成電漿處理室頂壁,至少具有第一和第二通道於其中。一液體循環系統,包含:一冷卻液源,在未與通道流體連通的第一閉合迴路中循環;一加熱液源,在與通道流體連通的第二閉合迴路中循環;及第一和一第二熱交換器。冷卻液在可控流率下通過第一熱交換器,及當加熱液通過第一熱交換器接著通過第一通道入口時,加熱液溫度係藉由與冷卻液的熱交換調整。冷卻液在可控流率下通過第二熱交換器,及當加熱液通過第二熱交換器接著通過第二通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換調整。

Description

電漿處理室元件之溫度控制窗
本發明係與電漿處理室之溫度控制窗相關。更具體而言,本揭露內容係與藉由將加熱液循環通過該窗中之通道,並個別地控制每個通道中之加熱液的溫度來控制該窗之溫度相關。
電漿處理設備係由諸多技術(其包含:蝕刻、物理汽相沉積(PVD)、化學汽相沉積(CVD)、離子注入、及光阻移除)使用於處理基板。電漿處理中所使用之電漿處理設備的其中一種類型包含感應耦合電漿(ICP)腔室,感應耦合電漿腔室具有呈一個以上RF線圈形式的RF天線,RF天線用於在腔室中將製程氣體激發成電漿狀態以處理基板。由於縮小的特徵部尺寸以及新材料的實施所致,需要改良電漿處理設備以控制電漿處理之條件。
在ICP腔室中,陶瓷窗將腔室與例如RF天線或RF線圈等之RF源分隔開。因當RF功率增加,進入陶瓷窗的熱通量隨之增加,故陶瓷窗材料的脆性會造成對使用RF功率的限制。熱通量造成溫度梯度,且因此造成陶瓷窗中之內應力。同樣地,熱通量之分佈可以具有高度非均勻的分佈,此情形加劇了熱應力的問題。
在一實施例中,使用液體循環系統控制感應耦合電漿處理室之介電窗的溫度。該窗至少包含第一和第二通道於其中,該第一和第二通道中之每一者具有一入口與一出口,以在通道中循環溫控液體。該液體循環系統具有:一冷卻液源,冷卻液係在第一閉合迴路中循環,該第一閉合迴路並未與該等通道流體連通;一加熱液源,加熱液係在第二閉合迴路中循環,該第二閉合迴路係與該等通道流體連通;及至少第一和第二熱交換器。第一熱交換器係連接至冷卻液源、加熱液源、及第一通道之入口,使得冷卻液在可控制的流率下通過第一熱交換器,以及當加熱液通過第一熱交換器而接著通過第一通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。第二熱交換器係連接至冷卻液源、加熱液源、及第二通道之入口,使得冷卻液在可控制的流率下通過第二熱交換器,以及當加熱液通過第二熱交換器而接著通過第二通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。
在一實施例中,溫控介電窗更包含一第一閉合迴路,該第一閉合迴路包含:一主要管線;一第一分支管線,其與該主要管線與第一熱交換器流體連通;一第二分支管線,其與該主要管線與第二熱交換器流體連通;複數個閥,其沿著第一和第二分支管線設置;及一返回管線,其將冷卻液從第一和第二熱交換器返回至冷卻液源。該液體循環系統包含一控制系統,該控制系統接收來自介電窗中所嵌入的熱電偶之訊號,及接收來自測量通過第一和第二熱交換器之冷卻液流率的控制閥的訊號,該控制系統操作控制閥,以控制通過第一和第二熱交換器的冷卻液流率。
在一實施例中,溫控介電窗更包含疊置在介電窗上表面之歧管,該歧管具有:水平對齊的多條流動通道,其垂直對準於介電窗的入口與出口;水平對齊的多個安裝孔,其垂直對準於介電窗之上表面中的安裝孔。
在另一實施例中,溫控介電窗更包含:第三通道、第四通道、及第五通道;及液體循環系統包含:第三熱交換器、第四熱交換器、及第五熱交換器。第三熱交換器係連接至冷卻液源、加熱液源、及第三通道之入口,使得冷卻液在可控制的流率下通過第三熱交換器,且當加熱液通過第三熱交換器而接著通過第三通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。第四熱交換器係連接至冷卻液源、加熱液源、及第四通道之入口,使得冷卻液在可控制的流率下通過第四熱交換器,且當加熱液通過第四熱交換器而接著通過第四通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。第五熱交換器係連接至冷卻液源、加熱液源、及第五通道之入口,使得冷卻液在可控制的流率下通過第五熱交換器,且當加熱液通過第五熱交換器而接著通過第五通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。第一閉合迴路更包含:一第三分支,與主要管線及第三熱交換器流體連通;一第四分支,與主要管線及第四熱交換器流體連通;一第五分支,與第五熱交換器流體連通;複數個閥,其沿著第三、第四、和第五分支管線設置;且該返回管線將冷卻液從第三、第四、和第五熱交換器返回至冷卻液源。該控制系統接受來自介電窗中所嵌入的熱電偶之訊號,及接收來自測量通過第三、第四、和第五熱交換器之冷卻液流率的控制閥的訊號,該控制系統操作控制閥,以控制通過第三、第四、和第五熱交換器的冷卻液流率。
在另一實施例中,提供一種用於控制電漿處理室中所安裝的溫控介電窗之溫度的方法。該方法包含:在腔室中電漿處理一半導體基板;將加熱液循環通過至少第一和第二通道;及調整流經至少第一和第二通道的加熱液溫度,以達成整個介電窗內表面的實質均勻溫度。
在其中該窗更包含第三、第四、及第五通道的實施例中,該方法包含:在腔室中電漿處理一半導體基板;將加熱液循環通過至少第一、第二、第三、第四、和第五通道;及調整流經第一、第二、第三、第四、和第五通道中之每一者的加熱液溫度,以達成整個介電窗內面的實質均勻溫度。
對半導體晶圓處理而言,隨時間變化的熱負載係重要,其中熱生成必以不連續的基礎發生(個別的晶圓到個別的晶圓)。在蝕刻製程模組中,也常見到隨空間改變的熱負載,其中電漿的密度和對於元件的鄰近度係非均勻的。又,對電漿處理室之元件而言,在電漿處理期間,需處在某些溫度下,且一直到晶圓處理開始,該等元件才被電漿加熱。循環加熱液允許第一晶圓被處理卻不會有第一晶圓效應,但隨著電漿處理持續進行時,元件被電漿加熱,使得須將元件冷卻到一目標高溫,就如同共同轉讓之美國公開專利申請案第2008/0308228號所述,其內容於此藉由參照整體納入本案揭示內容。
如圖1說明的實施例中,藉由供應製程氣體到低壓下(即:低於100  mTorr)的真空腔室中,以及對該氣體施加射頻(RF)能量,感應耦合電漿(ICP)處理設備200可用於基板上材料的沉積(如:電漿增強化學汽相沉積或PECVD)及電漿蝕刻。圖2係ICP電漿處理設備200實施例的橫剖面圖。ICP電漿處理室之其中一例係由Lam Research Corporation, Fremont,Calif 所製造的TCP蝕刻或沉積系統。舉例來說,在共同轉讓的美國公開專利申請案第2010/0116788號中也描述了例如ICP電漿處理設備,其內容於此藉由參照其整體納入本案揭示內容。反應腔室202包含:一基板支持部204,其用於在反應腔室202內部中支撐基板206。介電窗208形成反應腔室202的頂壁。製程氣體經由氣體分配構件210導向反應腔室202的內部。氣體分配構件210之範例包含:噴淋頭、氣體注入器、或其他合適的裝置。氣體供應器212透過氣體分配構件210將製程氣體供應到反應腔室202的內部。
一旦製程氣體導入反應腔室202的內部中,藉由能量源216供給能量至反應腔室202內部將這些氣體激成電漿狀態。較佳地,能量源216係一外部平面天線,其以RF源218A及RF阻抗匹配電路218B加以供電,以感應耦合RF能量至反應腔室202。藉由對平面天線施加RF功率所產生的電磁場會激發製程氣體,而形成了在基板206上方的高密度電漿P(如:1010-1012離子/cm3)。
介電窗208係位在平面天線之下,且氣體分配構件210係置於介電窗208下方。在氣體分配構件210與基板206間的區域中產生電漿P,而電漿P係用於沉積或蝕刻基板206。
圖2中顯示溫控單元300之實施例,其中介電窗208包含三個液體循環通道220。三個液體循環通道220中之每一者係與獨立的加熱液流動途徑流體連通,每個加熱液流動途徑係與通過三個熱交換器250之單一的冷卻迴路270和加熱迴路290流體連通。可將流量控制閥280設置在冷卻迴路270中,以調控通過每個熱交換器的冷卻液流率。冷卻液會通過熱交換器,卻不會與加熱液混合。加熱迴路290中的再循環器(圖未顯示)將加熱液加熱到期望的定溫,使得當加熱液進入每個熱交換器時,加熱液會在相同的溫度下。來自加熱迴路290中的加熱液為循環通過介電窗的流體。加熱迴路290係帶有恆定速率流動之加熱液的閉合迴路。冷卻迴路270中之再循環器(圖未顯示)在低於加熱迴路290溫度之溫度下循環冷卻液。冷卻迴路270係用於調整加熱迴路290的溫度。熱交換器250將加熱迴路290與冷卻迴路270連接起來,並使熱從每個加熱迴路290中移除,而轉移到冷卻迴路270。控制閥280調整通過熱交換器250之冷卻迴路270的流率。溫控單元300可使用循環通過內部通道之如介電液(如:FLUORINERT)或水的冷卻劑,將熱由陶瓷窗208中移除。
一旦晶圓處理開始,用於維持流體溫度之熱可僅由電漿熱負載獲得。控制閥280係用於調整冷卻迴路270之流率,而因此可改變從各個加熱迴路290中所移除之熱的量。溫控單元300也可包含:控制系統、測量流體溫度的熱偶元件、啟動流量控制閥的裝置(較佳為使用電流壓力轉換器(current-to-pressure transducer)調控的氣動供應器)、及測量流量的裝置。
進入每個獨立通道220之加熱液的獨立溫度控制允許平衡整個窗的不均勻熱通量。在熱通量較高的位置處,加熱液之溫度將藉由個別的熱交換器降低而抵銷。根據來自陶瓷窗208中所嵌入的熱偶元件(圖未顯示)之溫度讀數控制每個通道中的加熱液溫度。或者,替代將熱偶元件嵌入在陶瓷窗208中,也可使用適當的接著方式將熱偶元件表面安裝在陶瓷窗208上。可使用紅外線感測器、非接觸式的感測器、磷光體溫度探針(phosphor temperature probes)、及光學溫度探針(optical temperature probes)取代熱偶元件,且這些感測器與探針係嵌入在陶瓷窗208中,或表面安裝於陶瓷窗208。
圖3顯示溫控單元300之另一實施例。圖3實施例相似於圖2之實施例,惟在圖3的實施例中,陶瓷窗208係包含五個通道220。該五個通道220中之每一者係獨立且分開的流動途徑,其中每個流動途徑係與冷卻迴路270及加熱迴路290流體連通,冷卻迴路270及加熱迴路290係經由熱交換器250連接。流量控制閥280可設置在冷卻迴路270中,以調整通過每個熱交換器之冷卻液的流率。在加熱迴路290中存在一加熱器(圖未顯示),用於將加熱液加熱到所期望的起始溫度。
加熱迴路和冷卻迴路可循環廣泛溫度範圍的流體,且不特別地限制該溫度。例如,加熱迴路可循環約20°C 到約120°C間的流體、或約30°C 到約 100°C間的流體、或約 35°C 到約80°C間的流體、 或約40°C 到約60°C間的流體、 或約45°C到約55°C間的流體。 例如,冷卻迴路可循環約 -20°C 到約80°C間的流體、或約-10°C到約70°C間的流體、 或約 0°C 到約60°C間的流體、 或約 10°C 到約 50°C間的流體、 或約20°C 到約40°C間的流體。
圖2-3的溫控單元提供諸多優點。例如,圖2-3的溫控單元係流量控制閥之簡潔與低成本的組合,且熱交換器可以製造出快速與精確的溫度暫態。如此的溫控單元實質上降低了成本的需求,且同時也減弱陶瓷窗208中的熱應力以及調整陶瓷窗208的溫度。圖2-3的溫控單元能夠動態控制於多個、同時循環流體的通道中之溫度,並可在不使用與該窗接觸的局部加熱器或冷卻器的情況下控制窗的溫度,如此在加熱器干擾RF功率供給之情形的應用中,或是在由於空間限制造成加熱器放置困難之情形的應用中係有利的。
圖2-3的溫控單元提供提高的散熱能力。提高的熱容量係藉由以下促成:(1)使用液體作為冷卻劑而非空氣,這是因為液體具有由較大熱容量及較高熱轉移係數二者所造成的優越熱轉移能力;(2)使用多個、獨立的流體通道,藉此,可針對進入該窗熱通量的不均勻性質而調整冷卻劑的溫度,如此最小化徑向熱梯度;及(3)將流體循環通道220設置在接近陶瓷窗208之底面,如此降低了通過陶瓷窗208厚度的溫度梯度。
圖2-3的溫控單元也可調整陶瓷窗208的表面溫度。這可藉由將流體循環通道220設置成與窗208的最高表面相比較靠近窗208的最低表面而完成。較佳地,將流體循環通道220設置成盡可能地接近陶瓷窗208的最低表面,如:最接近處理中之晶圓的表面。將流體和陶瓷窗208最低表面之間的熱擴散量最小化,而因此不同流體溫度的測量結果將接近地代表經調整的表面溫度。使用較多數量的獨立流體循環通道,可以達成徑向溫度分佈的較佳控制。
圖2-3的溫控單元為小尺寸,而因此佔據相當少的製造空間,並允許將這些單元設置成接近製程模組,如此允許相當快速的控制回應。因每個流體通道僅存有一個溫控元件(控制閥280),故該等單元係簡單的。如此使得控制方式易於實施及操作。通過該窗之流率較佳為可簡化該窗溫度控制的恆定(或實質恆定)流率。圖2-3的溫控單元具有一相當快的「時間常數」(如:流體溫度回應設定點改變耗用的時間量係通過熱交換器薄壁的傳導函數),以允許該等單元使用在即時性的控制中。
圖2-3的溫控單元能夠快速地回應控制輸入,使得可以快速地達到循環流體所期望的溫度。例如:流體循環溫度中每5°C的改變之反應時間係少於1分鐘,較佳係少於45秒,或少於30秒,或少於20秒。更佳地,流體循環溫度中每5°C的改變之反應時間係約3到15秒。因此,圖2-3的溫控單元可以對於流體循環溫度中之10°C改變達到約6到30秒的反應時間,並可以對於流體循環溫度中之15°C改變達到約9到45秒的反應時間。
如圖4所示,熱交換器250可以垂直陣列方式設置,以最小化溫控單元所佔據的空間。不特別地限制熱交換器的種類,且熱交換器種類可為銅焊板(brazed plate)熱交換器,例如:可由SWEP International AB所提供者。 加熱迴路290之加熱液係由陣列上端處之共同管線296供應到加熱液入口291,冷卻迴路270之冷卻液係由陣列下端處之共同管線297供應到冷卻液入口271,返回管線298接收來自於陣列上端處的冷卻液出口272之冷卻迴路270的冷卻液,且個別的管線(圖未顯示)接收來自於陣列下端處的加熱液出口292之加熱迴路290的加熱液。控制閥280可設置在冷卻液入口271和熱交換器250之間。不特別地限制控制閥的種類,只要控制閥具有足夠的操作精確度及速度即可。較佳地,控制閥係具有位置控制的Burkert類型2301球形控制閥(globe control valve)。
陶瓷窗208理想係較佳圓盤狀,其較佳具有約20英吋直徑,但可根據電漿腔室之尺寸而為任何合適直徑。陶瓷窗208較佳包含:一陶瓷頂板、及一陶瓷底板,該陶瓷頂板與該陶瓷底板可藉由共燒或膠合(bonding)而接合起來。在共燒方式中,可將玻璃填充物放置在該頂板及該底板之間,而接著燒製該組件。在膠合方式中,環氧樹脂或其他合適的接著劑可用於將該頂板和該底板黏接起來。陶瓷窗208具有約0.5到約1.0英吋的厚度,及較佳為約0.8英吋的厚度。通道220可藉由加工陶瓷窗208之兩個配合的半體(halves)而製造出。較佳地,將通道220加工進頂板的下表面,但通道220也可形成在底板中。陶瓷窗208可設置在電漿處理室中,使得最低表面最接近處理中的基板,而最高表面最遠離處理中之基板。
圖5A-B顯示陶瓷窗208之頂板208a的實施例,其中圖5A顯示頂板208a之頂面,及圖5B顯示頂板208a之底面。頂板208a包含:一中央孔215;複數個螺紋孔217,用於附接歧管(圖未顯示);複數個垂直上升管(riser)218,其來自流體循環通道220;以及複數個孔219,用於嵌入溫度感測器,如:熱偶元件。較佳地,頂板208a具有一平面最高表面222。中央孔215較佳具有約1到2英吋之直徑,且可適合於承接用於對電漿處理室供應製程氣體的氣體注入器,如:陶瓷氣體注入器。共同轉讓的美國公開專利申請案第2012/0309204號及第2011/0056626號,均於此藉由參照整體納入本案揭示內容,其揭露可與陶瓷窗208一同使用的氣體注入器。每個螺紋孔217可具有約0.08到約0.75英吋間的直徑,且較佳為約0.125到約0.5英吋的直徑。每個垂直上升管218可具有約0.125到約1.5英吋間的直徑,且較佳為約0.25到約1.0英吋間的直徑。每個孔219可具有約0.005到約0.1英吋之直徑,且較佳為約0.015到約0.05英吋的直徑。較佳將通道220加工進頂板208a之底面,且以多個徑向壁345加以分割開來,使得流體入口及流體出口係位在各徑向壁345的相反側上。
通道220較佳地具有約0.005-約0.1英吋之高度及約0.5-5英吋之寬度,且更佳具有約0.010-約0.030英吋之高度及約1-約2英吋之寬度。每個通道220可具有相同的高度或寬度,或不同的高度及寬度。例如,最內部的通道可具有約0.03英吋之高度及約1.3英吋之寬度,而最外部的通道可具有約0.03英吋之高度及約1.6英吋之寬度。通道220較佳實質上為環形,且可相對於彼此同心地或非同心地加以設置。通道可被徑向壁345分割開來,使得入口和出口係位在徑向壁345的相反側上。也可使用除了環形以外的其他通道形狀,如:矩形。
流體循環通道220具有根據預期的局部熱通量所選出的寬度及高度。較佳將通道220的尺寸制定成確保高速度及紊流,如此可幫助確保足夠高的熱轉移係數(HTC)。然而,倘若通道220並未合適地制定尺寸,於是需用於維持流量之壓力將會過高。HTC和壓力降之間的合適取捨係以約0.005-0.05英吋範圍中的通道高度(且更佳為約0.010-0.030英吋之通道高度)加以建立。流率較佳選擇成用以平衡熱轉移及壓力降。通過每個個別通道220之流率在操作期間係恆定的,且流率係由二個因素決定。第一因素為操作壓力。通道220係以平行方式連接,故對每個通道而言,操作壓力係相等的。操作壓力之範圍可從20 psi到200psi,且期望的操作壓力係依據進入陶瓷窗208中的預期熱負載於操作前加以決定。第二因素係個別通道220的流量,流量係由通道尺寸決定,如:通道寬度及通道長度。通過每個通道的流率較佳為每分鐘約1-約10升(lpm),及更佳為每分鐘約2-約5升,且每個通道220可具有相同或不同的流率。
圖6A-B顯示陶瓷窗208之底板208b的實施例,其中圖6A顯示底板208b之頂面,及圖6B顯示底板208b之底面。較佳地,底板208b具有平面的下表面301及平面的上表面302,而中央圓柱形轂316從平面的上表面302向上延伸的。轂316具有一垂直穿過其中的階狀孔317,且該階狀孔之尺寸可承接對電漿腔室輸送製程氣體的氣體注入器。較佳地,具有階狀孔317的中央圓柱形轂316係垂直地對準於頂板208a的中央孔215。
如圖7所示,為了供應流體到陶瓷窗208,可將歧管320設置於陶瓷窗208上。較佳地,歧管320係疊置在介電窗208之最高表面222上,並具有對準介電窗之最高表面222之中的入口及出口的內部流動通道340及346以將流體傳送至流體循環通道220,以及也允許RF線圈325設置於其中。在某些電漿處理室中,內部和外部的RF線圈325可能會防礙管路直接到達流體循環通道220,故歧管320係用於將流體由陶瓷窗208的外徑在RF線圈325下方傳送,流體連接在該處係較易取得。歧管320包含:安裝孔350,其對準於介電窗之最高表面222中之安裝孔。
流動通道340係垂直加工成形(如:鑽孔)在歧管320之上表面中,並連接於水平加工成形(如:鑽孔)的流動通道346。流動通道340及346對準於介電窗之最高表面222中的入口及出口。在外緣處以一個栓塞密封住流動通道346,以防止流體滲漏。流動通道340可為錐形或非錐形,或螺紋型或非螺紋型。流動通道340係用於承接接頭,其允許連接至用於流體供應與返回之管。或者,接頭可使用面密封而直接的旋進陶瓷窗208中。延伸進入陶瓷窗208之螺紋孔217(圖5A中所示)的螺栓會將歧管320固定至陶瓷窗208之最高表面222。或者,歧管320可使用合適的接合劑而連接至陶瓷窗208。歧管320也會隔離陶瓷窗208的頂部。可將覆蓋件330設置在歧管320之上,以將RF線圈325固持在適當位置。歧管320可由任一合適的材料製成,例如:塑料、陶瓷、或聚合物材料。較佳地,歧管320係由填充玻璃的鐵氟龍(glass-filled Teflon)所製成。可將一O形環(圖未顯示)設置在歧管320及陶瓷窗208之間,以提供流體密封。圖8顯示歧管320,藉此更清楚地顯示流動通道340及346。
圖9顯示與圖2溫控單元相關的流體溫度之暫態控制。關於圖9,加熱液係在約90°C溫度下循環,且冷卻液係在約60°C溫度下循環。從最裡面到最外面的三個流體通道中,加熱液分別以每分鐘2.5升、每分鐘3.3升、每分鐘4.4升之速率循環。可以看出,對於95°C 到約80°C間的起始溫降而言,圖2的單元具有每個流體循環通道之約10秒的反應時間。對於80°C 到約95°C間的起始溫升而言,圖2的單元具有每個流體循環通道之約15秒的反應時間。對於95°C 到約80°C間的第二溫降而言,圖2的單元具有每個流體循環通道之約10秒的反應時間。
圖10顯示與圖3溫控單元相關的流體溫度之暫態控制。關於圖10,加熱液係在約35°C溫度下循環,及冷液體係在約19°C溫度下循環。從最裡面到最外面的五個流體循環通道中,流體分別以每分鐘2.4升、每分鐘4.2升、每分鐘3.6升的速率、每分鐘4.6升的速率、每分鐘4.4升之速率循環。可以看出,對於最外層通道(最下層的描線)之30°C 到約25°C間的溫降而言,圖3的單元具有約5秒的回應時間。對於第二最外層通道(第二最下層的描線)之30°C 到約26°C間的溫降而言,圖3的單元具有小於約5秒的回應時間。對於中間通道(中層描線)之30°C 到約27°C間的溫降而言,圖3的單元具有小於約5秒的回應時間。對於第二最內層通道(第二最上層描線)之30°C 到約28°C間的溫降而言,圖3的單元具有小於約5秒的回應時間。對於最內層通道(最上層描線)之由30°C 到約29°C間的溫降而言,圖3的單元具有小於約5秒的回應時間。較佳地,熱交換器250係以每秒至少約0.1°C、或每秒至少約0.25°C、或每秒至少約0.5°C、或每秒至少約0.75°C、或每秒至少約1°C反應每個通道下方的環形區域溫度之改變。
一種控制介電窗208之溫度的方法可包含:在腔室中以電漿處理一半導體基板;將加熱液循環通過至少第一和第二通道;及調整流過至少該第一和該第二通道之加熱液溫度,以在整個介電窗內表面達成實質上均勻的溫度。調整加熱液溫度之步驟可更包含:測量在整個介電窗不同位置處的溫度;及傳送代表所測量之溫度的訊號至一控制單位,該控制單位操作多個閥控制通過至少該第一和該第二熱交換器之冷卻液的流率,以調整通過該熱交換器之加熱液的溫度,並藉此控制相鄰於該第一和該第二通道之介電窗區域中的局部溫度。因此,調整每個獨立流體通道中之加熱液溫度的步驟可以實現整個介電窗之內表面的實質均勻溫度。
吾人發現溫控單元300之流體循環通道220中的循環流體溫度之改變速率係約每秒約0.25°C 至約2°C,或每秒約0.5°C 至約 1.5°C,或每秒約0.75°C 至約1°C。根據流體之流率以及加熱與冷卻迴路之溫度,其他的溫度改變速率係可能的。吾人也發現通過陶瓷窗208之約50 kW/m2的熱通量(對應於由RF線圈所施加之總功率約5kW的上限)係對應於約5°C-30°C的循環流體溫降,以維持陶瓷窗208之恆定的表面溫度。較佳地,通過陶瓷窗208之約50 kW/m2的熱通量係對應約10°C-20°C的循環流體溫降(或約14°C-16°C的溫降),以維持陶瓷窗208之恆定的表面溫度。
雖然本發明就其中較佳的實施例加以描述,但熟習此技藝者將能理解,本文無特定描述的增加、刪除、調整、及替換可在不背離於所附的申請專利範圍中所述之發明的精神與範疇的情況下實行。
200...處理設備
202...反應腔室
204...支持部
206...基板
208...窗
208a...頂板
208b...底板
210...氣體分配構件
212...氣體供應器
215...中央孔
216...能量源
217...螺紋孔
218...上升管
218A...RF源
218B...匹配電路
219...孔
220...通道
222...最高表面
250...熱交換器
270...冷卻迴路
271...冷卻液入口
272...冷卻液出口
280...控制閥
290...加熱迴路
291...加熱液入口
292...加熱液出口
296...共同管線
297...共同管線
298...返回管線
300...溫控單元
301...下表面
302...上表面
316...轂
317...階狀孔
320...歧管
325...RF線圈
330...覆蓋件
340...通道
345...徑向壁
346...通道
350...安裝孔
P...電漿
圖1係感應耦合電漿(ICP)處理設備之橫剖面圖。
圖2係用於帶有三個通道之腔室窗的溫控單元之示意圖。
圖3係用於帶有五個通道之腔室窗的溫控單元之示意圖。
圖4係流體控制系統之立體圖。
圖5A、5B分別地顯示陶瓷窗頂板的頂面和底面之立體圖。
圖6A、6B分別地顯示陶瓷窗底板的頂面和底面之立體圖。
圖7係具有歧管之窗的立體圖。
圖8係歧管的立體圖。
圖9顯示在包含三個通道之窗中的加熱液暫態控制。
圖10顯示在包含五個通道之窗中的加熱液暫態控制。
200...處理設備
202...反應腔室
204...支持部
206...基板
208...窗/介電窗/陶瓷窗
210...氣體分配構件
212...氣體供應器
216...能量源
218A...RF源
218B...匹配電路

Claims (20)

  1. 一種感應耦合電漿處理室之溫度控制介電窗,包含: 一介電窗,形成該電漿處理室之一頂壁,至少具有一第一和一第二通道於其中,該第一和該第二通道每一者具有一入口和一出口,以在該等通道中循環溫控液體;及 一液體循環系統,其具有一冷卻液源、一加熱液源、及至少一第一和一第二熱交換器,冷卻液係在一第一閉合迴路中循環,該第一閉合迴路並未與該等通道流體連通,加熱液係在一第二閉合迴路中循環,該第二閉合迴路與該等通道流體連通; 該第一熱交換器連接至該冷卻液源、該加熱液源、及該第一通道之入口,使得冷卻液在可控制的流率下通過該第一熱交換器,以及當加熱液通過該第一熱交換器而接著通過該第一通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整; 該第二熱交換器連接至該冷卻液源、該加熱液源、及該第二通道之入口,使得冷卻液在可控制的流率下通過該第二熱交換器,以及當加熱液通過該第二熱交換器而接著通過該第二通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整。
  2. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中該第一閉合迴路包含:一主要管線、一第一分支管線、一第二分支管線、複數個閥、及一返回管線,該第一分支管線與該主要管線與該第一熱交換器流體連通,該第二分支管線與該主要管線與該第二熱交換器流體連通,該等閥沿著該第一和該第二分支管線設置,該返回管線將冷卻液從該第一和該第二熱交換器返回至冷卻液源;該液體循環系統包含:一控制系統,該控制系統接受來自在該介電窗中所嵌入的熱電偶之訊號,及接收來自測量通過該第一和該第二熱交換器之冷卻液流率的複數個控制閥的訊號,該控制系統操作該等控制閥,以控制通過該第一和該第二熱交換器的冷卻液流率。
  3. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中該介電窗包含:陶瓷材料的一頂板、及陶瓷材料的一底板,該頂板在其下表面中具有該第一和該第二通道,且該頂板與該底板係藉由共燒或膠合加以接合。
  4. 如申請專利範圍第3項的感應耦合電漿處理室之溫度控制介電窗,其中該第一和該第二通道具有約0.01到約0.03英吋之高度,及約1到2英吋之寬度。
  5. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中加熱液係介電液,且冷卻液係水。
  6. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,更包含一歧管,疊置於該介電窗的上表面,該歧管具有:水平對齊的多條流動通道,其垂直對準於該等入口與出口;及水平對齊的多個安裝孔,其垂直對準於該介電窗之上表面中的安裝孔。
  7. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中該介電窗包含在該介電窗之中心的一開口,該開口係用於承接輸送製程氣體到處理室的一氣體注入器。
  8. 如申請專利範圍之第2項的感應耦合電漿處理室之溫度控制介電窗,其中該介電窗更包含:一第三、一第四、及一第五通道;以及該液體循環系統更包含:一第三、一第四、及一第五熱交換器; 該第三熱交換器係連接至該冷卻液源、該加熱液源、及該第三通道之入口,使得冷卻液在可控制的流率下通過該第三熱交換器,且當加熱液通過該第三熱交換器而接著通過該第三通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整; 該第四熱交換器係連接至該冷卻液源、該加熱液源、及該第四通道之入口,使得冷卻液在可控制的流率下通過該第四熱交換器,且當加熱液通過該第四熱交換器而接著通過該第四通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整; 該第五熱交換器係連接至該冷卻液源、該加熱液源、及該第五通道之入口,使得冷卻液在可控制的流率下通過該第五熱交換器,且當加熱液通過該第五熱交換器而接著通過該第五通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整; 該第一閉合迴路更包含:一第三分支管線,與該主要管線及該第三熱交換器流體連通;一第四分支管線,與該主要管線及該第四熱交換器流體連通;一第五分支管線,與該主要管線及該第五熱交換器流體連通;及沿著該第三、該第四、和該第五分支管線設置的複數個閥;並且,該返回管線將冷卻液從該第三、該第四、和該第五熱交換器返回至該冷卻液源;該控制系統接受來自嵌入於該介電窗中之熱電偶的訊號,及接收來自測量通過該第三、該第四、和該第五熱交換器之冷卻液流率的複數個控制閥之訊號,該控制系統操作該等控制閥,以控制通過該第三、該第四、和該第五熱交換器的冷卻液流率。
  9. 如申請專利範圍第8項的感應耦合電漿處理室之溫度控制介電窗,其中該等熱交換器係排列於一垂直陣列,且加熱液係由一共同管線供應到該陣列上端處之入口,冷卻液係供應到該陣列下端處之入口,該返回管線接收來自於該陣列上端處的出口之冷卻液,且個別的管線接收來自於該陣列下端處的出口之加熱液。
  10. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中加熱液以每分鐘1到10升之恆定的流率流過該等通道。
  11. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中該介電窗包含:一頂板、及一底板;該底板具有一平面下表面、及一平面上表面,一中央圓柱形轂從該上表面向上延伸,該轂具有垂直穿過其中的一階狀孔,該階狀孔之尺寸建構成承接對電漿處理室輸送製程氣體的一氣體注入器;該頂板具有一平面上表面、一平面下表面、及一中央孔,該中央孔垂直對準於該階狀孔,該頂板的下表面具有複數個同心的通道,其每一者係由一單一徑向壁所分隔,而入口及出口係在該徑向壁的相反側。
  12. 如申請專利範圍之第1項的感應耦合電漿處理室之溫度控制介電窗,其中該等熱交換器係以每秒至少1°C反應各通道下方的環形區域溫度之改變。
  13. 如申請專利範圍第1項的感應耦合電漿處理室之溫度控制介電窗,其中加熱液係在實質上恆定的流率下流過該等通道中之每一者。
  14. 一種電漿處理室,其包含申請專利範圍第1項的溫度控制介電窗。
  15. 一種方法,用以控制安裝在電漿處理室中的申請專利範圍第1項的溫度控制介電窗的溫度,該方法包含: 在電漿處理室中電漿處理一半導體基板; 將加熱液循環通過至少該第一和該第二通道;及 調整流經至少該第一和該第二通道的加熱液溫度,以達成整個該介電窗內表面的實質均勻溫度。
  16. 如申請專利範圍第15項之方法,其中藉由在該介電窗中央所安裝的一氣體注入器,供給製程氣體至電漿處理室中,且在該介電窗上方所安裝的至少一個RF線圈在該電漿處理室中將製程氣體激發成電漿狀態。
  17. 如申請專利範圍第15項之方法,其中該調整加熱液溫度之步驟更包含:測量整個該介電窗不同位置處的溫度;及傳送代表所測量溫度的訊號至一控制單位,該控制單位操作控制通過至少該第一和該第二熱交換器之冷卻液流率的閥,以調整通過該等熱交換器之加熱液的溫度,並藉此控制相鄰於該第一和該第二通道之該介電窗的區域中的局部溫度。
  18. 如申請專利範圍第17項之方法,其中該調整加熱液溫度之步驟更包含: 對每個獨立的流體通道供給不同溫度的加熱流體,以達成整個該介電窗內表面的實質均勻溫度。
  19. 如申請專利範圍第17項之方法,其中冷卻液在第一閉合迴路中循環,第一閉合迴路並未與該等通道流體連通;及加熱液在第二閉合迴路中循環,第二閉合迴路係與該等通道流體連通;將冷卻液於該第一閉合迴路中維持在一實質恆定的溫度下,及將欲供給至該第一和該第二熱交換器之加熱液維持在實質恆定的溫度下。
  20. 如申請專利範圍第19項之方法,其中該介電窗更包含:第三通道、第四通道、及第五通道;及該液體循環系統更包含:第三熱交換器、第四熱交換器、及第五熱交換器;該第三熱交換器係連接至該冷卻液源、該加熱液源、及該第三通道之入口,使得冷卻液在可控制的流率下通過該第三熱交換器,且當加熱液通過該第三熱交換器而接著通過該第三通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整;該第四熱交換器係連接至該冷卻液源、該加熱液源、及該第四通道之入口,使得冷卻液在可控制的流率下通過該第四熱交換器,且當加熱液通過該第四熱交換器而接著通過該第四通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整;及該第五熱交換器係連接至該冷卻液源、該加熱液源、及該第五通道之入口,使得冷卻液在可控制的流率下通過該第五熱交換器,且當加熱液通過該第五熱交換器而接著通過該第五通道之入口時,加熱液之溫度係藉由與冷卻液的熱交換而調整;該第一閉合迴路更包含:第三分支管線、第四分支管線、第五分支管線、沿著該第三、該第四、和該第五分支管線設置的複數個閥、一返回管線,該第三分支管線與主要管線及該第三熱交換器流體連通,該第四分支管線與主要管線及該第四熱交換器流體連通,該第五分支管線與主要管線及該第五熱交換器流體連通,該返回管線將冷卻液從該第三、該第四、和該第五熱交換器返回至該冷卻液源;一控制系統接受來自在該介電窗中所嵌入的熱電偶之訊號,及接收來自測量通過該第三、該第四、和該第五熱交換器之冷卻液流率的控制閥訊號;該控制系統操作該等控制閥,以控制通過該第三、該第四、和該第五熱交換器的冷卻液流率,使得整個該介電窗之下表面的溫度在該半導體基板處理期間係實質均勻的。
TW103103603A 2013-02-01 2014-01-29 電漿處理室、溫度控制介電窗、及其溫度控制方法 TWI621150B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/756,986 2013-02-01
US13/756,986 US8970114B2 (en) 2013-02-01 2013-02-01 Temperature controlled window of a plasma processing chamber component

Publications (2)

Publication Number Publication Date
TW201447964A true TW201447964A (zh) 2014-12-16
TWI621150B TWI621150B (zh) 2018-04-11

Family

ID=51243404

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103103603A TWI621150B (zh) 2013-02-01 2014-01-29 電漿處理室、溫度控制介電窗、及其溫度控制方法

Country Status (4)

Country Link
US (1) US8970114B2 (zh)
KR (1) KR102116584B1 (zh)
CN (1) CN103974518B (zh)
TW (1) TWI621150B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI602214B (zh) * 2015-03-20 2017-10-11 Hitachi Int Electric Inc Substrate processing apparatus, method of manufacturing semiconductor device, program, and recording medium

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10269541B2 (en) * 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US10039157B2 (en) 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
KR102218381B1 (ko) * 2014-09-30 2021-02-23 세메스 주식회사 윈도우 유닛 및 그를 포함하는 기판 처리 장치, 그리고 윈도우 유닛 제조 방법
KR102262657B1 (ko) * 2014-10-13 2021-06-08 삼성전자주식회사 플라즈마 처리 장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
DE102014117388A1 (de) * 2014-11-27 2016-06-02 Aixtron Se Verfahren zum Kalibrieren einer Pyrometeranordnung eines CVD- oder PVD-Reaktors
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102452722B1 (ko) 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102334378B1 (ko) * 2015-09-23 2021-12-02 삼성전자 주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11244808B2 (en) 2017-05-26 2022-02-08 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR20190005029A (ko) * 2017-07-05 2019-01-15 삼성전자주식회사 플라즈마 처리 장치
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11538666B2 (en) * 2017-11-15 2022-12-27 Lam Research Corporation Multi-zone cooling of plasma heated window
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110519905B (zh) * 2018-05-21 2022-07-22 北京北方华创微电子装备有限公司 温控装置和等离子设备
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102217452B1 (ko) 2019-07-05 2021-02-22 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
CN111063603B (zh) * 2019-12-30 2023-01-17 北京北方华创微电子装备有限公司 半导体工艺设备
US11943038B2 (en) 2020-06-19 2024-03-26 Rutgers, The State University Of New Jersey Relay beamforming with predictive relay selection for millimeter wave communications

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
FR2580060B1 (zh) 1985-04-05 1989-06-09 Nec Corp
US4802338A (en) 1986-04-25 1989-02-07 Advantage Engineering Incorporated Precision-controlled water chiller
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5776553A (en) * 1996-02-23 1998-07-07 Saint Gobain/Norton Industrial Ceramics Corp. Method for depositing diamond films by dielectric barrier discharge
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3095377B2 (ja) 1997-12-24 2000-10-03 イノテック株式会社 チラー装置
US6866094B2 (en) 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6180926B1 (en) 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002168551A (ja) 2000-11-30 2002-06-14 Tokyo Electron Ltd 処理装置の電極用冷却装置
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US20030024900A1 (en) 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6942018B2 (en) 2001-09-28 2005-09-13 The Board Of Trustees Of The Leland Stanford Junior University Electroosmotic microchannel cooling system
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US6822202B2 (en) 2002-03-15 2004-11-23 Oriol, Inc. Semiconductor processing temperature control
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP4214114B2 (ja) 2002-09-10 2009-01-28 東京エレクトロン株式会社 処理装置,および,処理装置のメンテナンス方法
KR100822076B1 (ko) 2003-03-07 2008-04-14 동경 엘렉트론 주식회사 기판 처리 장치 및 온도 조절 장치
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP2005253203A (ja) * 2004-03-04 2005-09-15 Sumitomo Electric Ind Ltd 超電導ケーブルの接続構造
JP4657620B2 (ja) 2004-04-13 2011-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20070079936A1 (en) 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP5417338B2 (ja) 2007-10-31 2014-02-12 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
WO2010001938A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法および誘電体窓の温度調節機構
JP2010073655A (ja) * 2008-09-22 2010-04-02 Tokyo Electron Ltd 温度調節機構およびプラズマ処理装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8525419B2 (en) * 2008-11-25 2013-09-03 Oregon Physics, Llc High voltage isolation and cooling for an inductively coupled plasma ion source
US20110284167A1 (en) 2009-01-15 2011-11-24 Ryoji Nishio Plasma processing equipment and plasma generation equipment
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
GB201107692D0 (en) * 2011-05-09 2011-06-22 Snowball Malcolm R Sterilisation of packed articles
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI602214B (zh) * 2015-03-20 2017-10-11 Hitachi Int Electric Inc Substrate processing apparatus, method of manufacturing semiconductor device, program, and recording medium

Also Published As

Publication number Publication date
US8970114B2 (en) 2015-03-03
TWI621150B (zh) 2018-04-11
US20140217895A1 (en) 2014-08-07
CN103974518B (zh) 2018-10-02
KR102116584B1 (ko) 2020-05-29
KR20140099219A (ko) 2014-08-11
CN103974518A (zh) 2014-08-06

Similar Documents

Publication Publication Date Title
TWI621150B (zh) 電漿處理室、溫度控制介電窗、及其溫度控制方法
TWI674638B (zh) 工件處理系統及其設備
TWI796292B (zh) 用於電漿處理腔室中晶圓載體的先進溫度控制
CN102102194B (zh) 用于高温操作的温度受控莲蓬头
TWI720110B (zh) 用於高功率電漿蝕刻處理的氣體分配板組件
US10332772B2 (en) Multi-zone heated ESC with independent edge zones
JP4772779B2 (ja) 温度制御方法及び温度制御装置
US9520315B2 (en) Electrostatic chuck with internal flow adjustments for improved temperature distribution
TWI495752B (zh) 具有可作為溫度控制用之流體區的工作支承
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
TW200926334A (en) Temperature control device for target substrate, temperature control method and plasma processing apparatus including same
TWI841636B (zh) 用於基板處理系統之包含蒸氣腔室的台座
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
TW202331826A (zh) 具快速排熱能力之高溫基座
TW202209396A (zh) 用於處理基板的方法及設備
TW202310123A (zh) 具有金屬基複合材料的高溫基座