KR20140099219A - 플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우 - Google Patents

플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우 Download PDF

Info

Publication number
KR20140099219A
KR20140099219A KR1020140012326A KR20140012326A KR20140099219A KR 20140099219 A KR20140099219 A KR 20140099219A KR 1020140012326 A KR1020140012326 A KR 1020140012326A KR 20140012326 A KR20140012326 A KR 20140012326A KR 20140099219 A KR20140099219 A KR 20140099219A
Authority
KR
South Korea
Prior art keywords
heat exchanger
temperature
channel
liquid
dielectric window
Prior art date
Application number
KR1020140012326A
Other languages
English (en)
Other versions
KR102116584B1 (ko
Inventor
매트 부스케
아담 마세
마이클 강
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140099219A publication Critical patent/KR20140099219A/ko
Application granted granted Critical
Publication of KR102116584B1 publication Critical patent/KR102116584B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우는 플라즈마 처리 챔버 내에 적어도 제 1 채널과 제 2 채널을 갖는 플라즈마 처리 챔버의 상단 벽을 형성하는 유전체 윈도우를 포함한다. 액체 순환 시스템은 채널들과 유체 연통하지 않는 제 1 폐 루프에서 순환하는 저온 (cold) 액체의 소스, 채널들과 유체 연통하는 제 2 폐 루프에서 순환하는 고온 (hot) 액체의 소스, 및 적어도 제 1 열 교환기와 제 2 열 교환기를 포함한다. 저온 액체가 제어 가능한 유속으로 제 1 열 교환기를 통과하고, 고온 액체가 제 1 열 교환기를 통과하고 다음으로 제 1 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 고온 액체의 온도가 조정된다. 액체가 제어가능한 유속으로 제 2 열 교환기를 통과하고, 고온 액체가 제 2 열 교환기를 통과하고 다음으로 제 2 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 고온 액체의 온도가 조정된다.

Description

플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우{TEMPERATURE CONTROLLED WINDOW OF A PLASMA PROCESSING CHAMBER COMPONENT}
본 개시는 플라즈마 처리 챔버의 윈도우의 온도 제어와 관련한다. 보다 상세하게는, 본 개시는 윈도우에서 고온 (hot) 유체를 채널을 통해 순환과 채널 각각에서 고온 액체의 온도를 독립적으로 제어를 통한 윈도우의 온도 제어에 관한 것이다.
플라즈마 처리 장치는 에칭, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 주입, 및 저항 제거를 포함하는 기술들에 의해 기판을 처리하는데 사용된다. 플라즈마 처리에 사용되는 일 종류의 플라즈마 처리 장치는 챔버에서 기판을 처리하기 위해 처리 가스를 플라즈마 상태로 여기시키도록 하나 이상의 RF 코일의 형태인 RF 안테나를 갖는 유도 커플링된 플라즈마 (ICP; inductively coupled plasma) 챔버를 포함한다. 줄어드는 피쳐 크기와 새로운 재료의 구현에 의해, 플라즈마 처리의 조건을 제어하는 것에 대한 플라즈마 처리 장치에서의 향상이 요구된다.
ICP 챔버에서, 세라믹 윈도우는 챔버를 RF 안테나나 RF 코일과 같은 RF 소스로부터 분리한다. RF 전력이 증가할수록 세라믹 윈도우로의 열 유속 (heat flux) 이 증가하므로, 세라믹 윈도우 재료의 취성은 사용된 RF 전력에 대해 한계를 제기한다. 열 유속은 온도 변화 (gradient) 를 유발하므로, 따라서 세라믹 윈도우에서의 내부 응력을 야기한다. 또한, 열 유속의 분포는 높은 비-균일 분포를 가질 수 있으며, 이는 열적 응력 문제를 악화시킨다.
일 실시형태에서, 유도 커플링된 플라즈마 처리 챔버의 유전체 윈도우의 온도는 액체 순환 시스템을 사용하여 제어된다. 윈도우는 적어도 윈도우 내에 제 1 및 제 2 채널을 포함하고, 제 1 및 제 2 채널 각각은 채널에서 온도 제어된 액체를 순환시키기 위한 유입구와 유출구를 갖는다. 액체 순환 시스템은 채널과 유체 연통하지 않는 제 1 폐 루프에서 순환하는 저온 액체의 소스, 채널과 유체 연통하는 제 2 폐 루프에서 순환하는 고온 액체의 소스, 및 적어도 제 1 및 제 2 열 교환기를 갖는다. 저온 액체가 제어가능한 유속으로 제 1 열 교환기를 통해 통과하고, 고온 액체의 온도는 고온 액체가 제 1 열 교환기를 통과하고 다음으로 제 1 채널의 유입구를 통과하는 동안 저온 액체와의 열 교환에 의해 조정되도록, 제 1 열 교환기는 저온 액체의 소스, 고온 액체의 소스 및 제 1 채널의 유입구와 연결된다. 저온 액체가 제어가능한 유속으로 열 교환기를 통과하고, 고온 액체가 제2 열 교환기를 통과하고 다음으로 제 2 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 조정되도록, 제 2 열 교환기는 저온 액체의 소스, 고온 액체의 소스 및 제 2 채널의 유입구와 연결된다.
일 실시형태에서, 온도 제어된 유전체 윈도우는 메인선, 메인선과 제 1 열 교환기와 유체 연통하는 제 1 브랜치선, 메인선과 제 2 열 교환기와 유체 연동하는 제 2 브랜치선, 제 1 및 제 2 브랜치선을 따르는 밸브 및 제 1 및 제 2 열 교환기로부터 저온 액체의 소스로 저온 액체를 리턴시키는 리턴선을 포함하고, 액체 순환 시스템은 유전체 윈도우에 실장된 열전대로부터의 신호 및 제 1 및 제 2 열 교환기를 통한 저온 액체의 유속을 측정하는 제어 밸브로부터의 신호를 수신하는 제어 시스템을 포함하고, 제어 시스템은 제 1 및 제 2 열 교환기를 통해 저온 액체의 유속을 제어하기 위한 제어 밸브를 동작시킨다.
일 실시형태에서, 온도 제어된 유전체 윈도우는 유전체 윈도우의 상면을 오버라잉 (overlie) 하는 매니폴드를 더 포함하고, 유전체 윈도우의 유입구와 유출구에 수직 정렬된 수평 정렬된 흐름 통로 및 유전체 윈도우의 상면에서의 마운팅 홀들과 수직 정렬된 수평 정렬된 마운팅 홀을 갖는다.
다른 실시형태에서, 온도 제어된 유전체 윈도우는 제 3, 제 4, 및 제 5 채널을 포함하고, 액체 순환 시스템은 제 3, 제 4, 및 제 5 열 교환기를 포함한다. 저온 액체가 제어 가능한 유속으로 제 3 열 교환기를 통과하고, 고온 액체의 온도는, 고온 액체가 제 3 열 교환기를 통과하고 다음으로 제 3 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 조정되도록, 제 3 열 교환기는 저온 액체의 소스, 고온 액체의 소스 및 제 3 채널의 유입구와 연결된다. 저온 액체가 제어 가능한 유속으로 제 4 열 교환기를 통과하고, 고온 액체의 온도는, 고온 액체가 제 4 열 교환기를 통과하고 다음으로 제 4 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 조정되도록, 제 4 열 교환기는 저온 액체의 소스, 고온 액체의 소스 및 제 4 채널의 유입구와 연결된다. 저온 액체가 제어 가능한 유속으로 제 5 열 교환기를 통과하고, 고온 액체의 온도는, 고온 액체가 제 5 열 교환기를 통과하고 다음으로 제 5 채널의 유입구를 통과하는 동안, 저온 액체와의 열 교환에 의해 조정되도록, 제 5 열 교환기는 저온 액체의 소스, 고온 액체의 소스 및 제 5 채널의 유입구와 연결된다. 제 1 폐 루프는 메인선 및 제 3 열 교환기와 유체 연통하는 제 3 브랜치선, 메인선과 제 4 열 교환기와 유체 연통하는 제 4 브랜치선, 제 5 연 교환기와 유체 연통하는 제 5 브랜치선, 제 3, 제 4, 제 5 브랜치 선에 따르는 밸브, 및 제 3, 제 4, 제 5 열 교환기로부터 저온 액체의 소스로 저온 액체를 리턴시키는 리턴선을 더 포함한다. 제어 시스템은 유전체 윈도우에 실장된 열전대로부터의 신호 및 제 3, 제 4 및 제 5 열 교환기를 통한 저온 액체의 유속을 측정하는 제어 밸브로부터의 신호를 수신하며, 제어 시스템은 제 3, 제 4, 제 5 열 교환기를 통해 저온 액체의 유속을 제어하도록 제어 밸브를 동작시킨다.
다른 실시형태에서, 플라즈마 처리 챔버에 설치된 온도 제어된 유전체 윈도우의 온도를 조정하는 방법이 제공되며, 방법은 챔버에서 반도체 기판을 플라즈마 처리하는 단계를 포함하고, 그 단계는 적어도 제 1 및 제2 채널을 통해 고온 액체를 순환시키는 단계; 및 유전체 윈도우의 내부면에 걸쳐 실질적으로 균일한 온도를 달성하기 위해, 적어도 제1 및 제 2 채널을 통해 흐르는 고온의 액체의 온도를 조정하는 단계를 포함한다.
일 실시예에서, 윈도우는 제 3, 제 4, 및 제 5 채널을 더 포함하고, 방법은 챔버에서 반도체 기판을 플라즈마 처리하는 단계를 포함하고, 그 단계는 제 1, 제 2, 제 3, 제 4, 및 제 5 채널 각각을 통해 고온 액체를 순환시키는 단계; 및 유전체 윈도우의 내부면에 걸쳐 실질적으로 균일한 온도를 달성하기 위해, 제 1, 제 2, 제 3, 제 4, 제 5 채널 각각을 통해 흐르는 고온 액체의 온도를 조정하는 단계를 포함한다.
도 1은 유도 커플링된 플라즈마 (ICP) 처리 장치의 단면도이다.
도 2는 3개의 채널을 갖는 챔버 윈도우에 대한 온도 제어 유닛의 개략도이다.
도 3은 5개의 채널을 갖는 챔버 윈도우에 대한 온도 제어 유닛의 개략도이다.
도 4는 유체 제어 시스템의 투시도이다.
도 5a, b는 세라믹 윈도우의 상단 플레이트의 상단면 및 하단면 각각의 투시도를 나타낸다.
도 6a, b는 세라믹 윈도우의 하단 플레이트의 상단면 및 하단면 각각의 투시도를 나타낸다.
도 7은 매니폴드를 갖는 윈도우의 투시도이다.
도 8은 매니폴드의 투시도이다.
도 9는 3개의 채널을 포함하는 윈도우에서 고온 액체의 일시적 제어를 나타낸 것이다.
도 10은 5개의 채널을 포함하는 윈도우에서 고온 액체의 일시적 제어를 나타낸 것이다.
시간-가변 열적 부하는 반도체 웨이퍼 처리에 있어 중요하며, 열 생성은 불연속적 (discrete) 기반으로 (웨이퍼 대 웨이퍼로) 발생할 수밖에 없다. 공간적으로 가변적인 열적 부하는 또한 에칭 처리 모듈에서 통상적이며, 플라즈마의 밀도와 컴포넌트에 대한 근접도는 비-균일하다. 또한, 플라즈마 처리 챔버의 컴포넌트는 플라즈마 처리 동안 특정 온도에 있는 것이 바람직하며, 이러한 컴포넌트는 웨이퍼 처리가 시작도리 때까지 플라즈마에 의해 가열되지 않는다. 고온 액체를 순환시키는 것은 제 1 웨이퍼가 제 1 웨이퍼 효과 없이 처리되도록 하나, 플라즈마 처리가 계속되면서, 컴포넌트는, 타깃 상승된 온도로 냉각될 필요가 있을 정도로 플라즈마에 의해 가열되며, 이는 본 명세서에 전체로 참조로서 통합된 공동-양도된 미국 공개 특허 번호 제 2008/0308228 호에서 설명된다.
일 실시형태에서, 도 1에 도시된 바와 같이 유도 커플링된 플라즈마 (ICP) 처리 장치 (200) 는 낮은 압력 (즉, 100 mTorr 아래) 에서 진공 챔버로 처리 가스를 공급하고 무선-주파수 (RF) 에너지를 가스에 인가함으로써 기판 상의 물질에 대한 플라즈마 에칭 및 증착 (예컨대, 플라즈마 향상된 화학 기상 증착 또는 PECVD) 에 사용될 수 있다. 도 2는 ICP 플라즈마 처리 장치 (200) 의 실시형태의 단면도이다. ICP 플라즈마 처리 챔버의 예시는 Lam Research Corporation, 프레몬트, 캘리포니아에 의해 생산된 TCP® 에칭 또는 증착 시스템이다. ICP 플라즈마 처리 장치는 또한 예를 들어 본 명세서에 전체로 참조로서 통합된 공동-양도된 미국 공개 특허 출원 번호 제 2010/0116788 호에서 설명된다. 반응 챔버 (202) 는 반응 챔버 (202) 의 내부에서 기판 (206) 을 지지하기 위한 기판 지지부 (204) 를 포함한다. 유전체 윈도우 (208) 는 반응 챔버 (202) 의 상단 벽을 형성한다. 처리 가스는 가스 분배 부재 (210) 를 통해 반응 챔버 (202) 의 내부로 향한다. 가스 분배 부재 (210) 는 샤워헤드, 가스 주입기 또는 다른 적합한 배열을 포함한다. 가스 공급기 (212) 는 처리 가스를 가스 분배 부재 (210) 를 통해 반응 챔버 (202) 의 내부로 공급한다.
처리 가스가 처리 챔버 (202) 의 내부로 도입되면, 처리 가스는 반응 챔버 (202) 의 내부로 에너지를 공급하는 에너지 소스 (216) 에 의해 플라즈마 상태로 에너자이징 된다. 바람직하게, 에너지 소스 (216) 는 반응 챔버 (202) 로 RF 에너지를 유도 커플링하기 위한 RF 임피던스 매칭 회로망 (218B) 과 RF 소스 (218A) 에 의해 전력 공급된 (powered) 외부 평면 안테나이다. 평면 안테나에 대한 RF 전력의 인가에 의해 생성된 전자기장은 기판 (206) 위에 고-밀도 플라즈마 P (예컨대, 1010-1012 ions/cm3) 를 형성하도록 처리 가스를 에너자이징한다.
유전체 윈도우 (208) 는 평면 안테나 아래에 있으며, 가스 분배 부재 (210) 는 유전체 윈도우 (208) 아래에 위치된다. 플라즈마 P는 증착 또는 기판의 (206) 의 에칭 중 하나를 위해 가스 분배 부재 (210) 와 기판 (206) 사이의 구역에서 생성된다.
도 2는 온도 제어 유닛 (300) 의 실시형태를 도시하며, 여기서 윈도우 (208) 는 3개의 유체 순환 채널 (220) 을 포함한다. 3개의 채널 (220) 각각은 3개의 열 교환기 (250) 를 통과하는 고온 루프 (290) 및 단일의 저온 루프 (270) 와 각각 유체 연통하는 개별적인 고온 유체 흐름 경로로 유체 연통한다. 흐름 제어 밸브 (280) 는 열 교환기 각각을 통한 저온 액체의 유속을 조정하도록 저온 루프 (270) 에 배치될 수도 있다. 저온 액체는 고온 액체와 혼합되지 않고 열 교환기를 통과한다. 고온 루프 (290) 에서의 재순환기 (미도시) 는 고온 액체가 열 교환기 각각에 들어가는 동안 동일한 온도에 있도록 원하는 지속적인 온도로 고온 액체를 가열한다. 고온 루프 (290) 로부터의 고온 액체는 윈도우를 통해 순환된 유체이다. 고온 루프 (290) 는 지속적인 속도로 흐르는 고온 액체를 갖는 폐 루프이다. 저온 루프 (270) 에서의 재순환기 (미도시) 는 고온 루프 (290) 의 온도 보다 낮은 온도로 저온 액체를 순환시킨다. 저온 루프 (270) 는 고온 루프 (290) 의 온도를 조절하는데 사용된다. 열 교환기 (250) 는 고온 루프 (290) 와 저온 루프 (270) 를 연결시키며, 열이 고온 루프 (290) 각각으로부터 제거되고 저온 루프 (270) 로 전달되도록 한다. 제어 밸브 (280) 는 열 교환기 (250) 를 통해 저온 루프 (270) 의 유속을 조절한다. 온도 제어 유닛 (300) 은 내부의 채널을 통한 유전체 액체 (예컨대 FLUORINERT®) 또는 물과 같은 냉각수 순환을 사용하여 세라믹 윈도우 (208) 로부터 열을 제거할 수 있다.
웨이퍼 처리가 시작되면, 유체 온도를 유지하기 위한 열은 플라즈마 열 부하로부터 단독으로 도출될 수 있다. 제어 밸브 (280) 는 저온 루프 (270) 의 유속을 조정하도록 사용되며, 따라서 고온 루프 (290) 각각으로부터 제거된 열의 양은 다양할 수 있다. 온도 제어 유닛 (300) 은 또한 제어 시스템, 유체 온도를 측정하기 위한 열전대, 액체 제어 밸브를 작동시키기 위한 수단 (바람직하게는 전류-대-전압 트랜스듀서를 사용하여 조절되는 압축 공기 공급기), 및 흐름을 측정하기 위한 디바이스를 포함할 수도 있다.
독립된 채널 (220) 각각에 들어가는 고온 액체의 독립된 온도 제어는 윈도우에 걸쳐 비-균일한 열 유속의 균형 잡게 한다. 열 유속이 더 높은 위치에서, 고온 액체의 온도는 보상하기 위한 열 교환기 각각에 의해 낮춰진다. 각 채널에서의 고온 액체 온도는 세라믹 윈도우 (208) 에 실장된 열전대 (미도시) 로부터 온도 판독에 기초하여 제어된다. 또는, 세라믹 윈도우 (208) 에 열전대를 실장하는 대신, 열전도는 적합한 접착제를 사용하여 세라믹 윈도우 (208) 에 표면 마운트될 수도 있다. 적외선 센서, 비-접촉 센서, 인광 온도 프로브 및 관학 온도 프로브는 열전대 대신에 사용될 수도 있으며, 이러한 센서와 프로브는 세라믹 윈도우 (208) 에 실장되거나 세라믹 윈도우 (208) 에 표면 마운트된다.
도 3은 온도 제어 유닛 (300) 의 다른 실시형태를 도시한다. 도 3의 실시형태는 도 3의 실시형태에서 세라믹 윈도우 (208) 가 5개의 채널 (220) 을 포함한다는 점만을 제외하고 도 2의 실시형태와 유사하다. 5개의 채널 (220) 각각은 열 교환기 (250) 에 의해 연결된 저온 루프 (270) 와 고온 루프 (290) 와 유체 연통하는 독립적이고, 분리된 흐름 경로이다. 흐름 제어 밸브 (280) 는 열 교환기 각각을 통해 저온 액체의 유속을 조절하도록 저온 루프 (270) 에 배치될 수도 있다. 고온 루프 (290) 에서의 가열기 (미도시) 는 원하는 초기 온도로 고온 액체를 가열시키기 위해 존재한다.
고온 루프와 저온 루프는 온도의 넓은 범위에 걸쳐 유체를 순환시킬 수도 있으며, 이러한 온도는 특정하게 제한되지 않는다. 예를 들어, 고온 루프는 약 20℃ 내지 약 120℃, 또는 약 30℃ 내지 약 100℃, 또는 약 35℃ 내지 약 80℃, 또는 약 40℃ 내지 약 60℃, 또는 약 45℃ 내지 약 55℃로 유체를 순환시킬 수도 있다. 예를 들어, 저온 루프는 약 -20℃ 내지 약 80℃, 또는 약 -10℃ 내지 약 70, 또는 약 0℃ 내지 약 60℃, 또는 약 10℃ 내지 약 50℃, 또는 약 20℃ 내지 약 40℃로 유체를 순환시킬 수도 있다.
도 2-3 의 온도 제어 유닛은 다수의 이점을 제공한다. 예를 들어, 도 2-3의 온도 제어 유닛은 콤팩트하며 흐름 제어 밸브의 저렴한 조합이고, 열 교환기는 신속하고 정확한 온도 천이 (transient) 를 생성할 수 있다. 이러한 온도 제어 유닛은, 세라믹 윈도우 (208) 에서의 열 응력을 감소시키고, 세라믹 윈도우 (208) 의 온도를 튜닝하는 동시에 비용 요구사항을 실질적으로 감소시킨다. 도 2-3의 온도 제어 유닛은 다중으로 온도의 동적으로 제어하며 동시에 유체 채널을 순환시킬 수 있고, 윈도우와 접촉하는 국부적인 가열기나 냉각기를 사용하지 않고 윈도우 온도를 제어할 수 있으며, 이는 가열기가 RF 전력 공급기와 간섭하거나, 가열기의 배치가 공간 제약 때문에 어려운 경우의 구성에서 유리하다.
도 2-3의 온도 제어 유닛은 열 소실에 대한 증가된 용량을 제공한다. 증가된 열 용량은 1) 액체는 더 큰 열 용량과 더 큰 열 전달 계수 모두에 의한 우수한 열 전달 능력을 가지므로, 공기보다는 냉각수를 액체로 사용하는 것; 2) 냉각수의 온도가 윈도우로의 열 유속의 비균질적 특성에 맞춰지므로, 방사상의 열 변화율을 최소화하는, 복수의 독립된 유체 채널을 사용하는 것; 및 3) 세라믹 윈도우 (208) 의 두께를 통해 온도 변화율을 감소시키는, 유체 순환 채널 (220) 을 세라믹 윈도우 (208) 의 하단면에 가깝게 위치시키는 것에 의해 가능해진다.
도 2-3의 온도 제어 유닛은 또한 세라믹 윈도우 (208) 의 표면 온도를 튜닝할 수 있다. 이는 윈도우 (208) 의 최상부면 보다는 윈도우 (208) 의 최하부면에 근접하게 유체 순환 채널 (220) 을 배치함으로써 달성될 수 있다. 바람직하게, 유체 순환 채널 (220) 은 세라믹 윈도우 (208) 의 최하부면, 예컨대 처리되는 웨이퍼에 가장 근접한 표면에 가능한 근접하게 위치된다. 유체와 세라믹 윈도우 (208) 의 최하부면 사이의 열 분산 양은 최소화되고, 따라서 가변하는 유체 온도의 측정은 튜닝된 표면 온도를 근접하게 나타낼 것이다. 더 많은 수의 독립적 유체 순환 채널로, 방사상의 온도 분배의 더 큰 제어가 달성 가능하다.
도 2-3의 온도 제어 유닛은 크기가 작으며 따라서 매우 작은 제조 공간을 차지하고, 처리 모듈에 매우 근접하게 유닛을 위치시키는 것을 허용하여, 매우 빠른 제어 반응을 허용한다. 유체 채널 당 하나의 온도 제어 엘리먼트 (제어 밸브 (280) 만 있으므로, 유닛이 또한 단순하다. 이것은 구현하고 동작하는데 단순한 제어 설계를 만든다. 윈도우를 통한 유속은 바람직하게 윈도우의 온도 제어를 단순하게 하는 지속적이고, 또는 실질적으로 지속적인 속도이다. 도 2-3의 온도 제어 유닛은 매우 빠른 "시간 상수" (예컨대, 유체 온도가 세트포인트 변화에 대응하는데 걸리는 시간의 양은 열 교환기의 얇은 벽을 통한 전도의 함수이다) 를 가지며, 유닛들이 실-시간 제어에 사용되게 한다.
도 2-3의 온도 제어 유닛은 순환 유체의 온도가 빠르게 달성될 수 있도록 제어 입력에 대해 빠르게 대응할 수 있다. 예를 들어, 유체 순환 온도에서의 매 5℃ 변화에 대하여, 대응 시간은 1 분 미만이고, 바람직하게는 45 초 미만이고, 또는 30 초 미만이고, 또는 20초 미만이다. 보다 바람직하게, 유체 순환 온도에서의 매 5℃ 변화에 대한 대응 시간은 약 3 내지 15 초이다. 따라서, 도 2-3의 온도 제어 유닛은 유체 순환 온도에서의 10℃ 변화에 대한 대응 시간은 약 6 내지 30 초 및 유체 순환 온도에서의 15℃ 변화에 대한 대응 시간은 약 9 내지 45 초로 달성할 수 있다.
열 교환기 (250) 는 도 4에 도시된 바와 같이 온도 제어 유닛에 의해 차지한 공간을 최소화하도록 수직 어레이에서 정렬될 수도 있다. 열 교환기의 종류는 특정하게 제한되지 않으나, SWEP International AB로부터 이용가능한 것과 같은 브레이즈형 (blazed) 플레이트 열 교환기일 수도 있다. 고온 루프 (290) 의 고온 액체는 어레이의 상부단에서의 고온 액체 유입부로 공통선(296) 에 의해 공급되고, 저온 루프 (270) 의 저온 액체는 어레이의 하부단에서의 저온 액체 유입부 (271) 로 공통선 (297) 에 의해 공급되며, 리턴선 (298) 은 어레이의 상부단에서의 저온 액체 유출부 (272) 로부터 저온 루프 (270) 의 저온 액체를 수용하고, 독립선들 (미도시) 은 어레이의 하부단에서 고온 액체 유출부 (292) 로부터 고온 루프 (290) 의 고온 액체를 수용한다. 제어 밸브 (280) 는 저온 액체 유입부 (271) 와 열 교환기 (250) 사이에 위치될 수도 있다. 제어 밸브의 종류는 제어 밸브가 충분한 정밀도를 갖는 범위 내라면 특정하게 제한되지 않는다. 바람직하게는, 제어 밸브는 위치 제어를 갖는 Burket type 2301 globe 제어 밸브이다.
세라믹 윈도우 (208) 는 바람직하게 약 20 인치의 직경을 갖는 바람직하게 디스크 형상이며, 플라즈마 챔버의 크기에 의존하는 임의의 적합한 직경일 수도 있다. 세라믹 윈도우 (208) 는 바람직하게 공통-가열 또는 결합 중 하나에 의해 접합될 수도 있는 세라믹 상단 플레이트 및 세라믹 하단 플레이트를 포함한다. 공통-가열에서, 유리와 같은 필터는 상단 플레이트와 하단 플레이트 사이에 위치될 수도 있으며, 어셈들리는 다음으로 가열된다. 접착에서, 에폭시 또는 다른 적합한 접착물이 상단 플레이트와 하단 플레이트를 접합시키는데 사용될 수도 있다.
세라믹 윈도우 (208) 는 약 0.5 내지 약 1.0 인치, 바람직하게는 약 0.8 인치의 두께를 가진다. 채널 (220) 은 세라믹 윈도우 (208) 의 2개의 매칭되는 반쪽들을 기계 가공함으로써 생성될 수도 있다. 채널 (220) 은 상단 플레이트의 하면으로 기계 가공되나, 또한 하부 플레이트에서 형성될 수도 있다. 세라믹 윈도우 (208) 는 최하부면이 처리되는 기판에 가장 가깝도록 플라즈마 처리 챔버에 배치될 수도 있으며, 최상단면은 처리되는 기판으로부터 가장 멀리 떨어져 있도록 플라즈마 처리 챔버에서 배치될 수도 있다.
도 5a-b는 세라믹 윈도우 (208) 의 상단 플레이트 (208a) 의 실시형태를 나타내며, 도 5a는 상단 플레이트 (208a) 의 상단면을 나타내고, 도 5b는 상단 플레이트 (208a) 의 하면을 나타낸다. 상단 플레이트 (208a) 는 중앙 보어 (bore) (216), 매니폴드 (미도시) 를 부착하기 위한 복수의 나사형 홀 (217), 유체 순환 채널 (220) 로부터의 복수의 수직 라이저 (riser) 및 열전대와 같은 온도 센서를 실장하기 위한 복수의 홀 (219) 을 포함한다. 바람직하게, 상단 플레이트 (208a) 는 평면의 최상부면 (222) 을 갖는다. 중앙 보어 (216) 는 바람직하게 약 1 내지 2 인치의 직경을 가지며, 플라즈마 처리 챔버로 처리 가스를 공급하는데 사용되는 가스 주입기, 예컨대 세라믹 가스 주입기를 수용하도록 구성될 수 있다. 본 명세서에 전체로 참조로서 통합된 공동-양도된 미국 공개 특허 출원 번호 제 2012/0309204 및 2011/0056626 호는 세라믹 윈도우 (208) 로 사용될 수도 있는 가스 인젝터를 개시한다. 나사형 홀 (217) 각각은 약 0.08 내지 약 0.75 인치, 바람직하게는 약 0.125 내지 약 0.5 인치의 직경을 가질 수도 있다. 수직 라이저 (218) 각각은 약 0.125 내지 1.5 인치 및 바람직하게는 약 0.005 내지 약 0.1 인치의 직경을 가질 수도 있다. 홀 (219) 각각은 약 0.005 내지 약 0.1 인치 및 바람직하게는 약 0.015 내지 약 0.05 인치의 직경을 가질 수도 있다. 채널 (220) 은 상단 플레이트 (208a) 의 하부면으로 바람직하게 기계 가공되며, 방사상의 벽 (345) 각각의 반대측 상의 유체 유입구 및 유체 유출구를 갖는 방사상 벽 (345) 으로 분리된다.
채널 (220) 은 약 0.005 내지 약 0.1 인치의 높이와 약 0.5 내지 5 인치의 폭을 가지며, 바람직하게 약 0.010 내지 약 0.030 인치의 높이와 약 1 내지 약 2 인치의 폭을 가진다. 채널 (220) 각각은 동일한 높이 또는 폭 또는 상이한 높이 및 폭을 가질 수도 있다. 예를 들어, 최내측 채널은 약 0.030 인치의 높이와 약 1.3 인치의 폭을 가질 수도 있으며, 최외측 채널은 약 0.030 인치의 높이와 약 1.6 인치의 폭을 가질 수도 있다. 채널 (220) 은 바람직하게 실질적으로 원형의 형상이며, 동심으로 배치되거나 서로에 대하여 비-동심으로 배치될 수도 있다. 채널은 방사상의 벽 (345) 의 반대측 상의 유입구와 유출구를 갖는 방사상의 벽 (345) 에 의해 분리될 수도 있다. 직사각형과 같은 원형을 제외한 다른 채널 형상이 또한 사용가능하다.
유체 순환 채널 (220) 은 예측되는 국부적 열 유속에 기초하여 선택되는 폭과 높이를 갖는다. 채널 (220) 은 높은 속도와 난류를 보장하도록 치수가 결정되며 (dimensioned), 이는 충분히 높은 열 전도 계수 (HTC; heat transfer coefficient) 를 보장하는데 도움을 준다. 그러나, 채널 (220) 의 치수가 적합하게 결정되지 않으면, 흐름을 유지하는데 요구되는 압력이 너무 높게 될 것이다. HTC와 압력 드롭 사이의 적합한 트레이드오프가 약 0.005 내지 0.05 인치, 바람직하게는 0.010 내지 0.030 인치 사이의 범위인 채널 높이로 찾아졌다. 유속은 바람직하게는 열 전달과 압력 드롭을 균형 잡게 하도록 선택된다. 2 개의 요소에 의해 결정된 유속으로, 독립된 채널 (220) 각각을 통한 유속은 동작 동안 지속적이다. 첫번째 요소는 동작 압력이다. 채널 (220) 은 병렬적으로 설비 (plumbed) 되며, 따라서 동작 압력은 채널 각각에 대해 동일하다. 동작 압력은 세라믹 윈도우 (208) 로의 예측된 열 부하에 기초하여 동작 전에 결정된 원하는 동작 압력인 20 psi내지 200 psi 범위 내 일 수 있다. 두번째 요소는 채널 (220) 각각의 흐름 용량이며, 이는 채널 치수, 예컨대 채널 폭과 채널 길이에 의해 결정된다. 채널 각각을 통한 유속은 바람직하게 약 1 내지 약 10 분당 리터 (lpm; liters per minute) 이며, 보다 바람직하게, 약 2 내지 약 5 lpm 이며, 채널 (220) 각각은 동일하거나 상이한 유속을 가질 수도 있다.
도 6a-b는 세라믹 윈도우의 하단 플레이트 (208b) 의 실시형태를 나타내며, 도 6a는 하단 플레이트 (208b) 의 상단면을 나타내며, 도 6b는 하부 플레이트 (208b) 의 하단면을 나타낸다. 바람직하게, 하단 플레이트 (208b) 는 평면의 상부면 (302) 으로부터 위로 확장하는 중앙의 실린더형 허브 (316) 를 갖는 평면의 상부면 (302) 및 평면의 하부면 (301) 을 갖는다. 허브 (316) 는 처리 가스를 플라즈마 챔버로 전달하는 가스 주입기를 수용하도록하는 크기를 가지며, 수직으로 보어를 통하여 연장되는 단차 보어 (stepped bore) (317) 을 가질 수도 있다. 바람직하게, 중앙 실린더형 허브 (316) 는 상단 플레이트 (208a) 의 중앙 보어 (216) 과 수직정렬된 단차 보어 (317) 를 갖는다.
세라믹 윈도우 (208) 로 유체를 공급하기 위해, 매니폴드 (320) 는 도 7에 도시된 바와 같이 세라믹 윈도우 (208) 위에 배치도리 수도 있다. 바람직하게, 매니폴드 (320) 는 유전체 윈도우 (208) 의 최상부면 (222) 을 오버라잉하고, 유체 순환 채널 (220) 로 유체를 안내하고, 또한 RF 코일 (325) 이 그 내부에 배치되게 하도록 유전체 윈도우의 최상부면 (222) 에서 유입구와 유출구에 정렬된 내부 흐름 통로 (340, 345) 를 갖는다. 특정 플라즈마 처리 챔버에서, 내부 및 외부 RF 코일 (325) 은 유체 순환 채널 (220) 로 직접 도달하는 것으로부터 튜빙을 방지할 수도 있으므로, 매니폴드 (320) 는 유체 연결이 보다 액세스 가능한 세라믹 윈도우 (208) 의 외부 직경으로부터 RF 코일 (325) 아래로 유체를 안내하도록 구성된다. 매니폴드 (320) 는 유전체 윈도우 의 최상부면 (222) 에서의 마운팅 홀에 따라 정렬된 마운팅 홀 (350) 을 포함한다.
흐름 통로 (340) 는 매니폴드 (320) 의 상부면에서 수직적 기계 가공되며 (예컨대, 드릴되며), 수평적으로 기계 가공된 (예컨대 드릴된) 흐름 통로 (345) 와 연결된다. 흐름 통로 (340, 345) 는 유전체 윈도우의 최상부면 (2220) 에서 유입구와 유출구에 따라 정렬한다. 흐름 통로 (345) 는 유체 유출을 방지하기 위해 외부 주변부에서 플러그로 봉해진다. 흐름 통로 (340) 는 테이퍼되거나 (tapered) 비-테이퍼되거나 나사형이거나 (threaded) 비나사형 (un-threaded) 일 수도 있다. 흐름 통로 (340) 는 유체 공급과 리턴을 위한 튜브에 연결을 허용하는 피팅 (fitting) 을 수용하는데 사용된다. 또는, 피팅은 페이스 시일을 사용하여 세라믹 윈도우 (208) 로 직접 나사형일 수 있다. 세라믹 윈도우 (208) 의 나사형 홀 (217) 로 연장되는 볼트는 세라믹 윈도우 (208) 의 최상부면 (222) 에 매니폴드 (320) 를 고정시킨다. 또한, 매니폴드 (320) 는 적합한 접착제를 사용하여 세라믹 윈도우 (208) 에 접착될 수도 있다. 또한 매니폴드 (320) 는 세라믹 윈도우 (208) 의 상단을 절연한다. 커버 피스 (330) 는 RF 코일 (325) 을 자리에 고정하도록 매니폴드 (320) 위에 배치될 수도 있다. 매니폴드 (320) 는 임의의 적합한 재료, 예컨대 플라스틱, 세라믹 또는 중합체로 만들어질 수도 있다. 바람직하게, 매니폴드 (320) 는 글라스-충진된 Teflon으로 만들어진다. O-링 (미도시) 는 유체 시일을 제공하도록 매니폴드 (320) 와 세라믹 윈도우 (208) 사이에 배치될 수도 있다. 도 8은 흐름 통로 (340, 345) 가 보다 명확하게 도시된 매니폴드 (320) 를 나타낸다.
도 9는 도 2의 온도 제어 유닛과 관련한 유체 온도의 일시적 제어를 나타낸다. 도 9에서, 고온 액체는 약 90℃의 온도로 순환되며, 저온 액체는 약 60℃의 온도로 순환된다. 고온 액체는 3개의 유체 순환 채널에서, 최내측에서 최외측 채널로, 각각 2.5 lpm, 3.3 lpm, 및 4.4 lpm 의 속도로 순환된다. 95℃에서 약 80℃로의 초기 온도 드롭 동안, 도 2의 유닛은 유체 순환 채널 각각에 대해 약 10 초의 대응 시간을 갖는다는 것을 알 수 있다. 80℃로부터 약 95℃로의 초기 온도 상승 동안, 도 2 의 유닛은 유체 순환 채널 각각에 대해 약 15 초의 대응 시간을 갖는 다. 95℃로부터 약 80℃로의 두번째 온도 드롭에 대해, 도 2의 유닛은 유체 순환 채널 각각에 대해 약 10초의 대응 시간을 갖는다.
도 10은 도 3의 온도 제어 유닛과 연관된 유체 온도의 일시적 제어를 나타낸다. 도 10에서, 고온 액체는 약 35℃의 온도로 순환되며, 저온 액체는 약 19℃의 온도로 순환된다. 유체는 5개의 유체 순환 채널에서, 최내측으로부터 최외측 채널로, 각각 2.4 lpm, 4.2 lpm, 3.6 lpm, 4.6 lpm, 및 4.4 lpm으로 순환된다. 최외측 채널 (최하부의 트레이스) 에 대한 35℃로부터 약 25℃로의 온도 드롭에 대하여, 도 3의 유닛은 약 5 초 미만의 대응 시간을 갖는다. 두번째 최외측 채널 (최하부에서 두번째 트레이스) 에 대한 30℃로부터 약 26℃의 온도 드롭에 대하여, 도 3의 유닛은 약 5 초 미만의 대응 시간을 갖는다. 중간 채널 (중간의 트레이스) 에 대한 30℃로부터 약 27℃의 온도 드롭에 대하여, 도 3의 유닛은 약 5 초 미만의 대응 시간을 갖는다. 두번째 최내측 채널 (최상부에서 두번째 트레이스) 에 대한 30℃로부터 약 29℃로의 온도 드롭에 대해서, 도 3의 유닛은 약 5 초 미만의 대응 시간을 갖는다. 최내측 채널 (최상부 트레이스) 에 대한 30℃ 로부터 약 29℃로의 온도 드롭에 대하여, 도 3의 유닛은 약 5초 미만의 대응 시간을 갖는다. 바람직하게, 열 교환기 (250) 는 채널 각각 아래의 원형 구역의 온도 변화에 적어도 초당 약 0.1 초, 또는 적어도 초당 약 0.25℃, 또는 적어도 초당 약 0.5℃, 또는 적어도 초당 약 0.75℃, 또는 적어도 초당 약 1℃의 영향을 미친다.
유전체 윈도우 (208) 의 온도를 제어하는 방법은, 챔버에서 반도체 기판을 플라즈마 처리하는 단계; 적어도 제 1 및 제2 채널을 통해 고온 액체를 순환시키는 단계; 및 유전체 윈도우의 내부면에 걸쳐 실질적으로 균일한 온도를 달성하기 위해, 적어도 제1 및 제 2 채널을 통해 흐르는 고온의 액체의 온도를 조정하는 단계를 포함한다. 고온 액체의 온도를 조정하는 단계는 유전체 윈도우에 걸쳐 상이한 위치에서 온도를 측정하는 단계 및 열 교환기를 통과하는 고온 액체의 온도를 조절하도록 적어도 제 1 및 제 2 열 교환기를 통해 저온 액체의 유속을 제어하는 밸브를 동작시키는 제어 유닛으로 측정된 온도를 나타내는 신호를 보내는 단계를 포함하며, 이를 통해 제 1 및 제 2 채널에 인접한 유전체 윈도우의 구역에서 국부적인 온도를 제어한다. 따라서, 격리된 유체 채널 각각에서 고온 액체의 온도를 조정하는 것은 유전체 윈도우의 내부면에 걸쳐 실질적으로 균일한 온도를 달성할 수 있다.
온도 제어 유닛 (300) 의 유체 순환 채널 (220) 에서 순환하는 유체의 온도가 변화하는 속도는 초당 약 0.25℃ 내지 약 2℃, 또는 초당 약 0.5℃ 내지 약 1.5℃, 또는 초당 약 0.75℃ 내지 약 2℃ 사이라는 것이 발견되었다. 온도 변화의 속도는 유체의 유속과 고온 및 저온 루프의 온도에 따라 상이하다. 또한, 세라믹 윈도우 (208) 을 통한 (RF 코일에 의해 인가되는 약 5 kW 의 총 전력의 상한선에 대응하는) 약 50 kW/m2 의 열 유속은 세라믹 윈도우 (208) 의 지속적인 표면 온도를 유지하기 위해 약 5℃ 내지 30℃ 의 순환 유체 온도 감소에 대응하는 것으로 발견되었다. 바람직하게, 세라믹 윈도우 (208) 를 통한 약 50 kW/m2 의 열 유속은 세라믹 윈도우 (208) 의 지속적인 표면 온도를 유지하기 위한 약 10℃ 내지 20℃ 의 순환 유체 온도 감소 또는 약 14℃ 내지 16℃ 온도 감소에 대응한다.
비록 본 발명이 본 발명의 바람직한 실시형태들과 관련하여 설명되었으나, 당업자에게 추가, 제외, 변형, 및 대체가 특정하게 설명되지 않아도 첨부된 청구항에서 정의된 본 발명의 사상과 범위로부터 멀어지지 않고 수행될 수 있다는 것이 인식될 것이다.

Claims (20)

  1. 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우로서,
    플라즈마 처리 챔버 내에 적어도 제 1 채널과 제 2 채널을 갖는 플라즈마 처리 챔버의 상단 벽을 형성하는 유전체 윈도우로서, 상기 제 1채널과 상기 제 2 채널 각각은 상기 채널들에서 온도 제어된 액체를 순환시키기 위한 유입구와 유출구를 갖는, 상기 유전체 윈도우; 및
    상기 채널들과 유체 연통하지 않는 제 1 폐 루프에서 순환하는 저온 (cold) 액체의 소스, 상기 채널들과 유체 연통하는 제 2 폐 루프에서 순환하는 고온 (hot) 액체의 소스, 및 적어도 제 1 열 교환기와 제 2 열 교환기를 갖는 액체 순환 시스템을 포함하고,
    상기 저온 액체가 제어 가능한 유속으로 상기 제 1 열 교환기를 통과하고, 상기 고온 액체가 상기 제 1 열 교환기를 통과하고 다음으로 상기 제 1 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 1 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 1 채널의 상기 유입구와 연결되고,
    상기 저온 액체가 제어가능한 유속으로 상기 제 2 열 교환기를 통과하고, 상기 고온 액체가 상기 제2 열 교환기를 통과하고 다음으로 상기 제 2 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 2 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 2 채널의 유입구와 연결되는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  2. 제 1 항에 있어서,
    상기 제 1 폐 루프는 메인선, 상기 메인선과 상기 제 1 열 교환기와 유체 연통하는 제 1 브랜치선, 상기 메인선과 상기 제 2 열 교환기와 유체 연통하는 제 2 브랜치선, 상기 제 1 브랜치선 및 상기 제 2 브랜치선을 따르는 밸브 및 상기 제 1 열 교환기와 상기 제 2 열 교환기로부터 상기 저온 액체의 소스로 저온 액체를 리턴시키는 리턴선을 포함하고, 상기 액체 순환 시스템은 상기 유전체 윈도우에 실장된 열전대로부터의 신호 및 상기 제 1 열 교환기와 상기 제 2 열 교환기를 통한 상기 저온 액체의 유속을 측정하는 제어 밸브로부터의 신호를 수신하는 제어 시스템을 포함하고, 상기 제어 시스템은 상기 제 1 열 교환기와 상기 제 2 열 교환기를 통해 상기 저온 액체의 유속을 제어하도록 상기 제어 밸브를 동작시키는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  3. 제 1 항에 있어서,
    상기 유전체 윈도우는 세라믹 재료의 상단 플레이트 및 세라믹 재료의 하단 플레이트를 포함하고, 상기 상단 플레이트는 그 하부면에 상기 제 1 채널과 상기 제 2 채널을 가지며, 상기 상단 플레이트와 상기 하단 플레이트는 공통-가열 (co-firing) 또는 결합 (bonding) 에 의해 접합되는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  4. 제 3 항에 있어서,
    상기 제 1 채널과 상기 제2 채널은 약 0.01 내지 약 0.03 인치의 높이 및 약 1 내지 2 인치의 폭을 갖는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  5. 제 1 항에 있어서,
    상기 고온 액체는 유전체 액체이고, 상기 저온 액체는 물인, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  6. 제 1 항에 있어서,
    상기 유전체 윈도우의 상부면을 오버라잉 (overlie) 하는 매니폴드를 더 포함하고, 상기 매니폴드는 상기 유입부와 상기 유출부와 수직 정렬된 서로 수평 정렬된 흐름 통로들, 및 상기 유전체 윈도우의 상기 상부면에서의 마운팅 홀과 수직 정렬된 서로 수평 정렬된 마운팅 홀들을 갖는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  7. 제 1 항에 있어서,
    상기 유전체 윈도우는 상기 유전체 윈도우의 중앙에 보어 (bore) 를 포함하고, 상기 보어는 상기 챔버 내로 처리 가스를 전달하는 가스 주입기를 수용하도록 구성된, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  8. 제 2 항에 있어서,
    상기 유전체 윈도우는 제 3 채널, 제 4 채널 및 제 5 채널을 포함하고, 상기 액체 순환 시스템은 제 3 열 교환기, 제 4 열 교환기 및 제 5 열교환기를 포함하고,
    상기 저온 액체가 제어 가능한 유속으로 상기 제 3 열 교환기를 통과하고, 상기 고온 액체가 상기 제 3 열 교환기를 통과하고 다음으로 상기 제 3 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 3 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 3 채널의 상기 유입구와 연결되고,
    상기 저온 액체가 제어 가능한 유속으로 상기 제 4 열 교환기를 통과하고, 상기 고온 액체가 상기 제 4 열 교환기를 통과하고 다음으로 상기 제 4 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 4 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 4 채널의 상기 유입구와 연결되고,
    상기 저온 액체가 제어 가능한 유속으로 상기 제 5 열 교환기를 통과하고, 상기 고온 액체가 상기 제 5 열 교환기를 통과하고 다음으로 상기 제 5 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 5 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 5 채널의 상기 유입구와 연결되고,
    상기 제 1 폐 루프는 상기 메인선 및 상기 제 3 열 교환기와 유체 연통하는 제 3 브랜치선, 상기 메인선과 상기 제 4 열 교환기와 유체 연통하는 제 4 브랜치선, 상기 제 5 열 교환기와 유체 연통하는 제 5 브랜치선, 상기 제 3 브랜치선, 제 4 브랜치선, 제 5 브랜치선에 따르는 밸브, 및 상기 제 3 열 교환기, 상기 제 4 열 교환기 및 상기 제 5 열 교환기로부터 상기 저온 액체의 소스로 저온 액체를 리턴시키는 리턴선을 더 포함하고, 상기 제어 시스템은 상기 유전체 윈도우에 실장된 열전대로부터의 신호 및 상기 제 3 열 교환기, 상기 제 4 열 교환기 및 제 5 열 교환기를 통한 상기 저온 액체의 유속을 측정하는 제어 밸브로부터의 신호를 수신하며, 상기 제어 시스템은 상기 제 3 열 교환기, 상기 제 4 열 교환기, 상기 제 5 열 교환기를 통해 상기 저온 액체의 유속을 제어하도록 제어 밸브를 동작시키는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  9. 제 8 항에 있어서,
    상기 열 교환기들은, 수직 어레이의 상단에서 유입구로 공통선에 의해 공급되는 상기 고온 액체, 상기 수직 어레이의 하단에서 유입구로 공통선에 의해 공급되는 상기 저온 액체, 상기 수직 어레이의 상기 상단에서 유출구로부터의 저온 액체를 수용하는 리턴선, 및 상기 수직 어레이의 상기 하단에서 유출구로부터 상기 고온 액체를 수용하는 독립선과 함께 상기 수직 어레이 내에 배열되는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  10. 제 1 항에 있어서,
    상기 고온 액체는 1 내지 10 lps (liter per minute) 의 지속적인 유속으로 상기 채널들을 통해 흐르는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  11. 제 1 항에 있어서,
    상기 유전체 윈도우는 상단 플레이트와 하단 플레이트를 포함하고, 상기 상단 플레이트는 상부면으로부터 위로 연장하는 중앙 실린더 허브를 갖는 평면의 상부면과 평면의 하부면을 가지고, 상기 허브는 상기 허브를 수직으로 연장하는 단차 보어 (stepped bore) 를 가지고, 상기 보어는 상기 플라즈마 챔버로 처리 가스를 전달하는 가스 주입기를 수용하도록 사이징되고, 상기 상단 플레이트는 평면의 상부, 평면의 하부면 및 상기 단차 보어에 수직 정렬된 중앙 보어를 갖고,
    상기 상단 플레이트의 상기 하부면은 동심의 채널을 갖고, 상기 채널들의 각각은 방사상 벽의 반대측 상의 유입구와 유출구을 갖는 단일 방사상 벽에 의해 분리되는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  12. 제 1 항에 있어서,
    상기 열 교환기는 채널 각각 아래의 원형 구역의 온도에 변화에 적어도 초당 1℃의 영향을 미치는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  13. 제 1 항에 있어서,
    상기 고온 액체는 실질적으로 지속적인 유속으로 채널 각각을 통해 흐르는, 유도 커플링된 플라즈마 처리 챔버의 온도 제어된 유전체 윈도우.
  14. 제 1 항에 기재된 온도 제어된 유전체 윈도우를 포함하는 플라즈마 처리 챔버.
  15. 플라즈마 처리 챔버에 설치된 제 1 항의 온도 제어된 유전체 윈도우의 온도를 제어하는 방법으로서,
    상기 챔버에서 반도체 기판을 플라즈마 처리하는 단계;
    적어도 상기 제 1 채널과 상기 제 2 채널을 통해 상기 고온 액체를 순환시키는 단계; 및
    상기 유전체 윈도우의 내면에 걸쳐 실질적으로 균일한 온도를 달성하기 위해 상기 제 1 채널과 상기 제 2 채널을 통해 흐르는 상기 고온 액체의 온도를 조정하는 단계를 포함하는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
  16. 제 15 항에 있어서,
    처리 가스는 상기 유전체 윈도우의 중앙에서 마운팅된 가스 주입기에 의해 상기 챔버로 공급되고, 상기 유전체 윈도우 위에 마운트된 적어도 하나의 RF 코일은 상기 챔버에서 상기 처리가스를 플라즈마 상태로 에너자이징 (energizing) 하는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
  17. 제 15 항에 있어서,
    상기 고온 액체의 상기 온도를 조정하는 단계는,
    상기 유전체 윈도우에 걸쳐 상이한 위치에서 온도를 측정하는 단계, 및 상기 제 1 채널 및 상기 제 2 채널에 근접한 상기 유전체 윈도우의 구역에서 국부화된 온도를 제어하기 위해, 상기 열 교환기들을 통과하는 상기 고온 액체의 상기 온도를 조정하도록 적어도 제 1 열 교환기와 제 2 열교환기를 통해 상기 저온 액체의 유속을 제어하는 밸브를 동작시키는 제어 유닛으로 상기 측정된 온도를 나타내는 신호를 보내는 단계를 포함하는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
  18. 제 17 항에 있어서,
    상기 고온 액체의 상기 온도를 조정하는 단계는 상기 유전체 윈도우의 상기 내면에 걸쳐 실질적으로 균일한 온도를 달성하기 위해 고립된 유체 채널 각각에 상이한 온도로 상기 가열된 유체를 공급하는 단계를 포함하는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
  19. 제 17 항에 있어서,
    상기 저온 액체는 상기 채널들과 유체 연통하지 않는 제 1 폐 루프에서 순환하고, 상기 고온 액체는 상기 채널들과 유체 연통하는 제 2 폐 루프에서 순환하고, 상기 저온 액체는 상기 제 1 폐 루프에서 실질적으로 지속적인 온도로 유지되고, 상기 고온 액체는 실질적으로 지속적인 온도로 상기 제 1 열 교환기와 상기 제2 열교환기로 공급되는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
  20. 제 19 항에 있어서,
    상기 유전체 윈도우는 제 3 채널, 제 4 채널 및 제 5 채널을 더 포함하고, 상기 액체 순환 시스템은 제 3 열 교환기, 제 4 열 교환기 및 제 5 열교환기를 더 포함하고, 상기 저온 액체가 제어 가능한 유속으로 상기 제 3 열 교환기를 통과하고, 상기 고온 액체가 상기 제 3 열 교환기를 통과하고 다음으로 상기 제 3 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 3 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 3 채널의 상기 유입구와 연결되고, 상기 저온 액체가 제어 가능한 유속으로 상기 제 4 열 교환기를 통과하고, 상기 고온 액체가 상기 제 4 열 교환기를 통과하고 다음으로 상기 제 4 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 4 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 4 채널의 상기 유입구와 연결되고, 상기 저온 액체가 제어 가능한 유속으로 상기 제 5 열 교환기를 통과하고, 상기 고온 액체가 상기 제 5 열 교환기를 통과하고 다음으로 상기 제 5 채널의 상기 유입구를 통과하는 동안, 상기 고온 액체의 온도가 상기 저온 액체와의 열 교환에 의해 조정되도록, 상기 제 5 열 교환기는 상기 저온 액체의 소스, 상기 고온 액체의 소스 및 상기 제 5 채널의 상기 유입구와 연결되고, 상기 제 1 폐 루프는 상기 메인선 및 상기 제 3 열 교환기와 유체 연통하는 제 3 브랜치선, 상기 메인선과 상기 제 4 열 교환기와 유체 연통하는 제 4 브랜치선, 상기 제 5 열 교환기와 유체 연통하는 제 5 브랜치선, 상기 제 3 브랜치선, 제 4 브랜치선, 제 5 브랜치선에 따르는 밸브, 및 상기 제 3 열 교환기, 상기 제 4 열 교환기 및 상기 제 5 열 교환기로부터 상기 저온 액체의 소스로 저온 액체를 리턴시키는 리턴선을 더 포함하고, 상기 제어 시스템은 상기 유전체 윈도우에 실장된 열전대로부터의 신호 및 상기 제 3 열 교환기, 상기 제 4 열 교환기 및 제 5 열 교환기를 통한 상기 저온 액체의 유속을 측정하는 제어 밸브로부터의 신호를 수신하며, 상기 제어 시스템은, 상기 유전체 윈도우의 하부면에 걸친 온도가 상기 반도체 기판의 처리 동안 실질적으로 균일하도록, 상기 제 3 열 교환기, 상기 제 4 열 교환기, 상기 제 5 열 교환기를 통해 상기 저온 액체의 유속을 제어하도록 제어 밸브를 동작시키는, 온도 제어된 유전체 윈도우의 온도를 제어하는 방법.
KR1020140012326A 2013-02-01 2014-02-03 플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우 KR102116584B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/756,986 2013-02-01
US13/756,986 US8970114B2 (en) 2013-02-01 2013-02-01 Temperature controlled window of a plasma processing chamber component

Publications (2)

Publication Number Publication Date
KR20140099219A true KR20140099219A (ko) 2014-08-11
KR102116584B1 KR102116584B1 (ko) 2020-05-29

Family

ID=51243404

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140012326A KR102116584B1 (ko) 2013-02-01 2014-02-03 플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우

Country Status (4)

Country Link
US (1) US8970114B2 (ko)
KR (1) KR102116584B1 (ko)
CN (1) CN103974518B (ko)
TW (1) TWI621150B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160039041A (ko) * 2014-09-30 2016-04-08 세메스 주식회사 윈도우 유닛 및 그를 포함하는 기판 처리 장치, 그리고 윈도우 유닛 제조 방법
KR20170035650A (ko) * 2015-09-23 2017-03-31 삼성전자주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
KR20210004746A (ko) 2019-07-05 2021-01-13 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
KR20240077235A (ko) 2022-11-24 2024-05-31 세메스 주식회사 냉각 플레이트 및 이를 포함하는 플라즈마 처리 챔버

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10039157B2 (en) 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10269541B2 (en) * 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
KR102262657B1 (ko) * 2014-10-13 2021-06-08 삼성전자주식회사 플라즈마 처리 장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
DE102014117388A1 (de) * 2014-11-27 2016-06-02 Aixtron Se Verfahren zum Kalibrieren einer Pyrometeranordnung eines CVD- oder PVD-Reaktors
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP5977853B1 (ja) * 2015-03-20 2016-08-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102452722B1 (ko) 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180230624A1 (en) * 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10431427B2 (en) * 2017-05-26 2019-10-01 Applied Materials, Inc. Monopole antenna array source with phase shifted zones for semiconductor process equipment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR20190005029A (ko) * 2017-07-05 2019-01-15 삼성전자주식회사 플라즈마 처리 장치
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11538666B2 (en) * 2017-11-15 2022-12-27 Lam Research Corporation Multi-zone cooling of plasma heated window
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110519905B (zh) * 2018-05-21 2022-07-22 北京北方华创微电子装备有限公司 温控装置和等离子设备
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113013008B (zh) * 2019-12-19 2024-06-07 中微半导体设备(上海)股份有限公司 电感耦合型等离子处理设备及其盖体、介电窗温控方法
CN111063603B (zh) * 2019-12-30 2023-01-17 北京北方华创微电子装备有限公司 半导体工艺设备
US11943038B2 (en) 2020-06-19 2024-03-26 Rutgers, The State University Of New Jersey Relay beamforming with predictive relay selection for millimeter wave communications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5776553A (en) * 1996-02-23 1998-07-07 Saint Gobain/Norton Industrial Ceramics Corp. Method for depositing diamond films by dielectric barrier discharge
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
FR2580060B1 (ko) 1985-04-05 1989-06-09 Nec Corp
US4802338A (en) 1986-04-25 1989-02-07 Advantage Engineering Incorporated Precision-controlled water chiller
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3095377B2 (ja) 1997-12-24 2000-10-03 イノテック株式会社 チラー装置
US6866094B2 (en) 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6180926B1 (en) 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
AU2002211730A1 (en) 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002168551A (ja) 2000-11-30 2002-06-14 Tokyo Electron Ltd 処理装置の電極用冷却装置
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US20030024900A1 (en) 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6942018B2 (en) 2001-09-28 2005-09-13 The Board Of Trustees Of The Leland Stanford Junior University Electroosmotic microchannel cooling system
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US6822202B2 (en) 2002-03-15 2004-11-23 Oriol, Inc. Semiconductor processing temperature control
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP4214114B2 (ja) 2002-09-10 2009-01-28 東京エレクトロン株式会社 処理装置,および,処理装置のメンテナンス方法
KR100822076B1 (ko) 2003-03-07 2008-04-14 동경 엘렉트론 주식회사 기판 처리 장치 및 온도 조절 장치
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP2005253203A (ja) * 2004-03-04 2005-09-15 Sumitomo Electric Ind Ltd 超電導ケーブルの接続構造
JP4657620B2 (ja) 2004-04-13 2011-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20070079936A1 (en) 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
WO2010001938A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法および誘電体窓の温度調節機構
JP2010073655A (ja) * 2008-09-22 2010-04-02 Tokyo Electron Ltd 温度調節機構およびプラズマ処理装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8525419B2 (en) * 2008-11-25 2013-09-03 Oregon Physics, Llc High voltage isolation and cooling for an inductively coupled plasma ion source
JP5391209B2 (ja) 2009-01-15 2014-01-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
GB201107692D0 (en) * 2011-05-09 2011-06-22 Snowball Malcolm R Sterilisation of packed articles
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5776553A (en) * 1996-02-23 1998-07-07 Saint Gobain/Norton Industrial Ceramics Corp. Method for depositing diamond films by dielectric barrier discharge
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160039041A (ko) * 2014-09-30 2016-04-08 세메스 주식회사 윈도우 유닛 및 그를 포함하는 기판 처리 장치, 그리고 윈도우 유닛 제조 방법
KR20170035650A (ko) * 2015-09-23 2017-03-31 삼성전자주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
KR20210004746A (ko) 2019-07-05 2021-01-13 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
KR20240077235A (ko) 2022-11-24 2024-05-31 세메스 주식회사 냉각 플레이트 및 이를 포함하는 플라즈마 처리 챔버

Also Published As

Publication number Publication date
US8970114B2 (en) 2015-03-03
TW201447964A (zh) 2014-12-16
KR102116584B1 (ko) 2020-05-29
TWI621150B (zh) 2018-04-11
CN103974518A (zh) 2014-08-06
CN103974518B (zh) 2018-10-02
US20140217895A1 (en) 2014-08-07

Similar Documents

Publication Publication Date Title
KR102116584B1 (ko) 플라즈마 처리 챔버 컴포넌트의 온도 제어된 윈도우
CN201343570Y (zh) 温控喷淋头
US10121688B2 (en) Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) Electrostatic chuck with internal flow adjustments for improved temperature distribution
KR102259258B1 (ko) 플라즈마 프로세싱 챔버 내의 웨이퍼 캐리어에 대한 진보된 온도 제어
CN103907395B (zh) 具有流体介质的高清晰度加热器系统
JP7301903B2 (ja) 比例式熱流体送達システムを使用した基板キャリア
CN102102194B (zh) 用于高温操作的温度受控莲蓬头
US9659803B2 (en) Electrostatic chuck with concentric cooling base
KR101135746B1 (ko) 온도 제어 방법 및 장치
TWI770048B (zh) 用於電漿處理腔室的設備及電漿處理腔室
US10332772B2 (en) Multi-zone heated ESC with independent edge zones
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
SG185670A1 (en) Apparatus and method for temperature control of a semiconductor substrate support
JP6967068B2 (ja) ヒータ素子アレイを使用する、基板キャリアの温度測定
WO2013162937A1 (en) Esc with cooling base
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
CN110226222A (zh) 具有射频隔离式加热器的静电吸盘

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right