TW201351559A - 具有即時熱區調節能力的高溫靜電夾具 - Google Patents

具有即時熱區調節能力的高溫靜電夾具 Download PDF

Info

Publication number
TW201351559A
TW201351559A TW102114621A TW102114621A TW201351559A TW 201351559 A TW201351559 A TW 201351559A TW 102114621 A TW102114621 A TW 102114621A TW 102114621 A TW102114621 A TW 102114621A TW 201351559 A TW201351559 A TW 201351559A
Authority
TW
Taiwan
Prior art keywords
support plate
substrate support
shaft
substrate
dielectric
Prior art date
Application number
TW102114621A
Other languages
English (en)
Inventor
Dmitry Lubomirsky
Jennifer Y Sun
Senh Thach
Xing Lin
Michael D Willwerth
Konstantin Makhratchev
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201351559A publication Critical patent/TW201351559A/zh

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B31/00Chucks; Expansion mandrels; Adaptations thereof for remote control
    • B23B31/02Chucks
    • B23B31/24Chucks characterised by features relating primarily to remote control of the gripping means
    • B23B31/28Chucks characterised by features relating primarily to remote control of the gripping means using electric or magnetic means in the chuck

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明的實施例提供用於在高溫下操作之靜電夾具。本發明的一個實施例提供用於靜電夾具之介電夾具體。介電夾具體包括基板支撐板、電極及軸,該基板支撐板具有用於接收基板之頂表面及與頂表面相對的背表面,該電極嵌入基板支撐板中,該軸具有第一端及與該第一端相對的第二端,該第一端附接於基板支撐板的背表面。第二端經設置以接觸冷卻底座及提供溫度控制至基板支撐板。軸為中空的,具有包圍中心開口的側壁及兩個或兩個以上通路,該兩個或兩個以上通路穿過側壁形成且自第一端延伸至第二端。

Description

具有即時熱區調節能力的高溫靜電夾具
本發明的實施例大體而言係關於用於在高溫下處理基板的設備及方法。特定言之,本發明的實施例係關於用於在高溫下操作之處理腔室中支撐基板的設備及方法。
靜電夾具通常被用於在處理腔室中支撐及固定基板。靜電夾具大體上具有非導電體(諸如陶瓷體),該非導電體具有用於產生夾緊力的嵌入式DC電極。一或更多個加熱元件可包括在基板支撐中以在處理期間提供加熱。RF電極可嵌入非導電體內部。RF電極傳遞偏壓功率以產生電漿,同時DC電極產生夾緊力用於將基板固定於該DC電極上。加熱器可嵌入非導電體中以提供加熱。冷卻底座可附接於非導電體以冷卻非導電體。加熱器及冷卻底座共同工作以控制靜電夾具及支撐於該靜電夾具上的基板的溫度。
現有靜電夾具大體上具有金屬夾緊裝置以固定非導電體及冷卻底座,並使用有機O形環以提供密封。然而,金屬夾緊裝置及有機O形環在高溫下無法正常工作,諸如在 高於350℃的溫度下。在350℃下,操作溫度超過用於高溫有機O形環的效能限制。在高溫下,金屬夾緊裝置限制非導電體及冷卻底座的熱膨脹。此外,大體上由耐火金屬(諸如鈦)製造的金屬夾緊裝置亦將在處理化學下引起金屬污染。
因此,存在對於在高溫下操作的靜電夾具的需要。
本發明的實施例係關於用於在高溫下操作之處理腔室中支撐基板的設備及方法。特定言之,本發明的實施例提供用於在高溫下操作的靜電夾具。
本發明的一個實施例提供用於靜電夾具之介電夾具體。介電夾具體包括基板支撐板、電極及軸,該基板支撐板具有用於接收基板之頂表面及與頂表面相對的背表面,該電極嵌入基板支撐板內且經設置以產生用於固定頂表面上的基板之夾緊力及/或以產生用於處理之電漿,該軸具有第一端及與第一端相對的第二端,該第一端附接於基板支撐板的背表面。第二端經設置以接觸冷卻底座及提供溫度控制至基板支撐板。軸為中空的,具有包圍中心開口的側壁及兩個或兩個以上通路,該兩個或兩個以上通路穿過側壁形成且自第一端延伸至第二端。
本發明的另一實施例提供靜電夾具組件。靜電夾具組件包括根據本發明的一個實施例的介電夾具體及冷卻底座,該冷卻底座經設置以提供溫度控制至介電夾具體。冷卻底座及介電夾具體在介電夾具體的軸的第二端處耦接在一 起。在基板支撐板的背表面與冷卻底座的頂表面之間形成縫隙。
本發明的另一實施例提供用於處理基板之設備。設備包括腔室外殼組件及根據本發明之一個實施例的靜電夾具組件,該腔室外殼組件界定內部容積,該靜電夾具組件安置於內部容積中且經設置以於處理期間在內部容積中固定及支撐基板。設備進一步包括氣體注入組件,該氣體注入組件經設置以在安置於靜電夾具組件上的基板的上方傳遞一或更多種處理氣體。
100‧‧‧電漿處理腔室
101‧‧‧腔室外殼組件
102‧‧‧腔室蓋
104‧‧‧腔室壁
104a‧‧‧流量閥開口
105‧‧‧腔室底部
106‧‧‧底部開口
108‧‧‧介面板
110‧‧‧內部容積
112‧‧‧基板
114‧‧‧升舉銷驅動
116‧‧‧升舉銷
120‧‧‧靜電夾具組件
121‧‧‧介電夾具體
122‧‧‧基板支撐板
123‧‧‧頂表面
124‧‧‧軸
124a‧‧‧第一端
124b‧‧‧第二端
125‧‧‧背表面
126‧‧‧冷卻底座
127‧‧‧縫隙
128‧‧‧接觸元件
129‧‧‧彈簧元件
130‧‧‧襯墊
130a‧‧‧開口
131‧‧‧墊圈
132‧‧‧處理容積
133‧‧‧螺釘
134‧‧‧氣體注入組件
135‧‧‧凹槽
136‧‧‧氣體源
138‧‧‧氣室
140‧‧‧真空泵
142‧‧‧天線組件
144‧‧‧匹配網路
146‧‧‧RF電漿電源
150‧‧‧邊緣環組件
150a‧‧‧縫隙
151‧‧‧下環
151a‧‧‧上表面
152‧‧‧上環
152a‧‧‧下表面
154‧‧‧遮蔽環
156‧‧‧電極
157‧‧‧連接器
158‧‧‧電源
159‧‧‧連接器
160‧‧‧加熱元件
161‧‧‧連接器
162‧‧‧加熱電源
170‧‧‧側壁
172‧‧‧中心開口
174‧‧‧通路
176‧‧‧通路
178‧‧‧通路
180‧‧‧通路
182‧‧‧冷卻流體源
184‧‧‧控制器
185‧‧‧導線
186‧‧‧感測器
187‧‧‧頂表面
188‧‧‧主體
189‧‧‧凹部
190‧‧‧延伸部
191‧‧‧側壁
192‧‧‧底表面
194‧‧‧冷卻通路
196‧‧‧冷卻流體源
202‧‧‧凸緣
因此,以可詳細理解本發明的上述特徵結構的方式,上文簡要概述的本發明的更特定描述可參照實施例獲得,該等實施例中之一些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示本發明的典型實施例,且因此不欲將隨附圖式視為本發明範疇的限制,因為本發明可允許其他同等有效的實施例。
第1圖為根據本發明之一個實施例的具有靜電夾具組件的電漿處理腔室的截面側視圖。
第2圖為根據本發明之一個實施例的夾具體的分解透視截面圖。
第3圖為根據本發明之一個實施例的靜電夾具組件的分解透視截面圖。
為了促進理解,已在可能的情況下使用相同元件符號指代諸圖中共用的相同元件。預期在一個實施例中揭示 的元件可有利地用於其他的實施例,而無需特定詳述。
本發明之實施例係關於用於在高溫下操作之處理腔室中支撐基板的設備及方法。更特定言之,本發明的實施例提供用於在高溫下操作之靜電夾具組件。一個實施例提供介電夾具體,該介電夾具體具有介電盤及自該介電盤延伸的軸。軸為中空的且軸具有中心開口,該中心開口提供用於至嵌入介電盤中的RF、DC或RF/DC組合電極及/或加熱元件的連接器之通道。軸亦具有一或更多個通路,該一或更多個通路穿過側壁沿著軸向形成。一或更多個通路可用於提供用於冷卻流體及/或基板感測器通道或連接之整合通道。藉由使用在軸的側壁中形成的通路,本發明的實施例避免了使用可能無法承受高溫之O形環來密封冷卻流體通道。
本發明的實施例進一步提供冷卻底座,該冷卻底座在軸的遠端處附接於介電夾具體以提供溫度控制至介電夾具體。在冷卻底座與介電夾具體的支撐盤的邊緣之間不施加夾緊。藉由將冷卻底座及介電夾具體附接在軸的遠端處及避免夾緊至支撐盤的邊緣,本發明的實施例消除了對結構上之熱膨脹的限制,且亦減少由習知夾緊結構引起的粒子產生。
本發明的實施例包括以下步驟:使得靜電夾具能夠在高溫下執行。舉例而言,根據本發明的實施例的靜電夾具可在維持於高達約400攝氏度之溫度下的蝕刻環境中操作。本發明的某些實施例亦提供雙區域溫度控制以達成目標基板溫度輪廓。本發明的實施例亦消除與來自用於傳統靜電 夾具中之金屬夾緊結構有關的金屬污染,該金屬夾緊結構將介電夾具體固定至冷卻底座。本發明的實施例亦提供用於冷卻流體及/或至感測器的導線之整合通道及消除使用可能無法承受高溫的密封O形環。本發明的某些實施例亦提供晶圓溫度監視(wafer temperature monitoring;WTM)系統,該WTM系統改良製程品質。
第1圖為根據本發明之一個實施例的具有靜電夾具組件120的電漿處理腔室100的截面側視圖。電漿處理腔室100包括腔室外殼組件101,該腔室外殼組件101界定內部容積110。腔室外殼組件101包括腔室壁104、安置於腔室壁104上方的腔室蓋102及腔室底部105。
靜電夾具組件120安置於外殼組件101的內部容積110中以支撐在該靜電夾具組件120上之基板112。靜電夾具組件120可經由介面板108附接於外殼組件101,且靜電夾具組件120可經由穿過腔室底部105形成之底部開口106延伸於電漿處理腔室100外部。
襯墊130可安置於腔室側壁104內圍繞靜電夾具組件120上方的一部分內部容積110,以在基板112的上方建立處理容積132。流量閥開口104a係穿過腔室壁104形成,且穿過襯墊130形成對應的開口130a以允許基板及基板移送機構的通過,該基板移送機構用於自靜電夾具組件120置放及取回基板。
氣體注入組件134安置於靜電夾具組件120上方,以提供來自氣體源136的一或更多種處理氣體至處理容 積132。真空泵140可耦接至內部容積110以經由氣室138來將處理氣體泵抽出電漿處理腔室100,該氣室138安置於處理容積132的邊緣區域中。
在一個實施例中,天線組件142可安置於腔室蓋102外部以促進電漿處理。天線組件142可經由匹配網路144耦接至射頻(radio-frequency;RF)電漿電源146。在處理期間,使用由電源146提供的RF功率激勵天線組件142以點燃處理容積132內部的處理氣體之電漿並維持該電漿。
靜電夾具組件120包括介電夾具體121及冷卻底座126,該介電夾具體121經設置以固定及支撐基板112,且該冷卻底座126經設置以提供對介電夾具體121的溫度控制。介電夾具體121包括基板支撐板122,該基板支撐板122具有用於支撐基板112之頂表面123,及與頂表面123相對的背表面125。介電夾具體121亦包括自基板支撐板122之背表面125延伸的軸124。軸124在第一端124a處固定地附接於基板支撐板122,且在第二端124b處附接於冷卻底座126。根據本發明的一個實施例,除了在軸124的第二端124b處的連接以外,介電夾具體121及冷卻底座126不具有額外的固定連接,諸如圍繞基板支撐板122的夾緊元件。此設置允許介電夾具體121及冷卻底座126在熱膨脹下相對於彼此移動,從而避免了介電夾具體121與冷卻底座126之間的熱應力。由於介電夾具體121及冷卻底座126係在介電夾具體121之中心處連接,故介電夾具體121自由地相對於冷卻底座126在所有方向徑向膨脹。第二端124b與冷卻底座126之間的接 觸提供冷卻底座126與介電夾具體121之間的主要熱交換。
基板支撐板122大體上實質上為平面的且經成形以支撐整個基板112。基板支撐板122可為用於支撐圓形基板、矩形基板或其他形狀基板之圓形、矩形或其他適當形狀。基板支撐板122可由陶瓷(諸如氮化鋁、氧化鋁)或摻雜陶瓷(諸如摻雜有氮化鈦或氮化鉻的氧化鋁、摻雜氧化鋁、摻雜氮化硼等等)製造。在一個實施例中,基板支撐板122可由純度為約95%的氮化鋁形成以提高基板支撐板122的導熱性。
介電夾具體121進一步包括嵌入基板支撐板122中的電極156。電極156可為薄金屬板或金屬網格。電極156可係足夠大的以實質上包圍基板112的整個區域。電極156可耦接至電源(諸如DC電壓源)以產生用於吸引及固定頂表面123上的基板112之靜電夾緊力。視情況地,電極156亦可耦接至RF電源,用於在處理腔室100中產生電容性耦接的電漿。
在一個實施例中,介電夾具體121進一步包括嵌入基板支撐板122中的一或更多個加熱元件160。一或更多個加熱元件160可為電阻式加熱器。一或更多個加熱元件160使得基板支撐板122能夠加熱基板112至所要溫度,例如,約200攝氏度至約400攝氏度之間的溫度。在一個實施例中,一或更多個加熱元件160可形成二個獨立控制的溫度區域。
根據本發明的一個實施例,三個或三個以上升舉銷116可藉由升舉銷驅動114移動穿過基板支撐板122、冷卻 底座126及介面板108。三個或三個以上升舉銷116經設置以自基板支撐板122拾取基板112。
軸124可由陶瓷(諸如氮化鋁、氧化鋁)或摻雜陶瓷(諸如摻雜有氮化鈦或氮化鉻的氧化鋁、摻雜氧化鋁、摻雜氮化硼等等)製造。在一個實施例中,軸124可由純度為約95%的氮化鋁形成以提高導熱性。在一個實施例中,軸124及基板支撐板122可由相同材料製造。軸124可藉由接合連接至基板支撐板122以形成整合的介電夾具體。在一個實施例中,軸124可藉由爆炸接合連接至基板支撐板122。在一個實施例中,軸124可藉由擴散接合連接至基板支撐板124。或者,軸124可藉由銅焊或可形成整合介電夾具體的其他適當接合方法連接至基板支撐板124。
軸124為中空的,具有界定中心開口172的側壁170,該中心開口172自第一端124a延伸至第二端124b。在一個實施例中,中心開口172經設置以提供用於連接器157、159、161之通道,該等連接器連接電極156至電源158並連接一或更多個加熱元件160至加熱電源162。
根據本發明的一個實施例,一或更多個通路174、176可穿過軸124的側壁170形成。一或更多個通路174、176可自第一端124a延伸至第二端124b。當軸124連接至基板支撐板122時,一或更多個通路174、176連接基板支撐板122中的通路178、180且一或更多個通路174、176與通路178、180變得整合,形成用於冷卻流體及/或感測器導線之一或更多個通道。軸124與基板支撐板122之間的接合性質提 供通路174、176與通路178、180之間的無洩漏介面。在一個實施例中,通路176可為用於接收感測器(諸如熱電偶)之盲孔,無需暴露感測器至處理容積132中的處理環境。
如第1圖所圖示,通路174連接基板支撐板122中的通路178至冷卻流體源182。通路178在基板支撐板122的頂表面123處打開。通路174及通路178形成用於支援冷卻流體(諸如氦)自冷卻流體源182至基板112的背側以控制基板的溫度之通道。軸124中的通路176連接至基板支撐板122中的通路180以形成用於感測器186之通道。感測器186可在基板支撐板122中接近頂表面123定位。感測器186可經由安置於通路176及通路180中的感測器導線185連接至控制器184。視情況地,感測器可定位於軸124的端部124b處。在一個實施例中,感測器186為溫度感測器,該溫度感測器經設置以量測安置於基板支撐板122上方的基板112的溫度。
軸124及基板支撐板122可接合在一起以形成防漏密封。在一個實施例中,軸124及基板支撐板122可經爆炸接合。通路174、176係在不使用任何無法承受高溫的O形環之情況下藉由整合連接至通路178、180。因此,經整合的通路174、176、178、180使得靜電夾具體120能夠在高於O形環的最大使用溫度限制的溫度下操作。
冷卻底座126在內部容積110中安置於介電夾具體120下方。在一個實施例中,冷卻底座126安裝在安置於腔室底部105上的介面板108上。冷卻底座126可具有主體 188,該主體188具有實質上平面的頂表面187及與頂表面187相對的圓柱形延伸部190。凹部189係自頂表面187形成,用於接收介電夾具體120的軸124且延伸進入圓柱形延伸部190中。圓柱形延伸部190可延伸穿過腔室底部105的底部開口106。
複數個冷卻通路194形成在冷卻底座126中,用於冷卻流體之循環。冷卻通路194可與冷卻流體源196流體連通。且在一個實施例中,冷卻底座126可由導熱材料製造,且在一個實施例中,冷卻底座126由金屬(諸如鋁或不銹鋼)製造。
冷卻底座126及軸124的接觸表面例如使用複數個螺釘133夾緊在一起。在一個實施例中,可在軸124與冷卻底座126之間安置墊圈131,以確保冷卻底座126與軸124之間的實體接觸及良好的熱交換。
當裝配時,軸124的第二端124b位於冷卻底座126之凹部189的底表面192上,同時冷卻底座126的頂表面187及基板支撐板122的背表面125不進行直接接觸。類似地,凹部189的側壁191亦不直接接觸軸124。在冷卻底座126與介電夾具體120之間形成縫隙127以允許相對熱膨脹。
視情況地,冷卻底座126與介電夾具體120之間的次要熱接觸可藉由安置於冷卻底座126與介電夾具體120之間的接觸元件128及彈簧元件129來建立。在一個實施例中,凹槽135可形成於冷卻底座126的頂表面187中。可將凹槽135對應於基板支撐板122的邊緣區域置放及成形以提 供基板支撐板122的邊緣區域之溫度控制。彈簧元件129安置於凹槽135中。接觸元件128安置於彈簧元件129上方。彈簧元件129推動接觸元件128抵靠基板支撐板122的背表面125。彈簧元件129及接觸元件128在不於基板支撐板122與冷卻底座126之間施加任何橫向及垂直運動限制之情況下,在冷卻底座126與基板支撐板122之間提供導電路徑。接觸元件128及彈簧元件129可由導熱材料形成。在一個實施例中,接觸元件128由諸如氧化鋁或氮化鋁之陶瓷材料形成。彈簧元件129可由諸如鋁或不銹鋼之金屬形成。
根據本發明的一個實施例,邊緣環組件150可安置於縫隙127的周圍以將縫隙127及189與處理容積132隔離。邊緣環組件150可包括下環151及上環152。下環151可圍繞縫隙127安置於冷卻底座126上方。上環152可由基板支撐板122之邊緣支撐且上環152可懸置在下環151上方。可在上環152的下表面152a與下環151的上表面151a之間形成縫隙150a以允許冷卻底座126及介電夾具體120的熱膨脹。上環152的下表面152a及下環151的上表面151a可具有交錯特徵結構,諸如肋部及凹槽,該肋部及該凹槽在縫隙150a中形成曲徑式密封。縫隙150a中的曲徑式密封在縫隙127與處理容積132之間提供分離。曲徑式密封實質上防止處理容積132中的處理氣體進入縫隙127、189,從而減少不良的污染。下環151及上環152可由適合於處理化學的材料形成。在一個實施例中,下環151及上環152可由陶瓷或石英製造。遮蔽環154可在邊緣區域周圍安置於基板支撐板122 上方以覆蓋基板112的外部區域及防止經覆蓋之區域暴露於處理化學。
第2圖為介電夾具體120的分解透視截面圖。側壁170中的通路174、176形成用於冷卻流體及/或感測器導線之整合通道。即使在第2圖中圖示兩個通路174、176,可形成更多通路以適應額外的需要。軸124具有凸緣202,該凸緣202提供用於增強與冷卻底座126之熱交換之增加的表面面積。
第3圖為包括冷卻底座126及介電夾具體120之靜電夾具組件的分解透視截面圖,該圖圖示上述部件。
雖然前述內容係針對本發明的實施例,但是可在不脫離本發明之基本範疇的情況下設計本發明之其他及進一步實施例,且本發明之範疇係藉由隨附申請專利範圍界定。
100‧‧‧電漿處理腔室
101‧‧‧腔室外殼組件
102‧‧‧腔室蓋
104‧‧‧腔室壁
104a‧‧‧流量閥開口
105‧‧‧腔室底部
106‧‧‧底部開口
108‧‧‧介面板
110‧‧‧內部容積
112‧‧‧基板
114‧‧‧升舉銷驅動
116‧‧‧升舉銷
120‧‧‧靜電夾具組件
121‧‧‧介電夾具體
122‧‧‧基板支撐板
123‧‧‧頂表面
124‧‧‧軸
124a‧‧‧第一端
124b‧‧‧第二端
125‧‧‧背表面
126‧‧‧冷卻底座
127‧‧‧縫隙
128‧‧‧接觸元件
129‧‧‧彈簧元件
130‧‧‧襯墊
130a‧‧‧開口
131‧‧‧墊圈
132‧‧‧處理容積
133‧‧‧螺釘
134‧‧‧氣體注入組件
135‧‧‧凹槽
136‧‧‧氣體源
138‧‧‧氣室
140‧‧‧真空泵
142‧‧‧天線組件
144‧‧‧匹配網路
146‧‧‧RF電漿電源
150‧‧‧邊緣環組件
150a‧‧‧縫隙
151‧‧‧下環
152‧‧‧上環
154‧‧‧遮蔽環
156‧‧‧電極
157‧‧‧連接器
158‧‧‧電源
159‧‧‧連接器
160‧‧‧加熱元件
161‧‧‧連接器
162‧‧‧加熱電源
170‧‧‧側壁
172‧‧‧中心開口
174‧‧‧通路
176‧‧‧通路
178‧‧‧通路
180‧‧‧通路
182‧‧‧冷卻流體源
184‧‧‧控制器
185‧‧‧導線
186‧‧‧感測器
187‧‧‧頂表面
188‧‧‧主體
189‧‧‧凹部
190‧‧‧延伸部
191‧‧‧側壁
192‧‧‧底表面
194‧‧‧冷卻通路
196‧‧‧冷卻流體源

Claims (20)

  1. 一種用於一靜電夾具的介電夾具體,包含:一基板支撐板,該基板支撐板具有用於接收一基板之一頂表面及與該頂表面相對的一背表面;一電極,該電極嵌入該基板支撐板中;以及一軸,該軸具有一第一端及與該第一端相對的一第二端,該第一端附接於該基板支撐板的該背表面,該第二端經設置以接觸一冷卻底座及提供溫度控制至該基板支撐板,該軸為中空的及該軸具有包圍一中心開口的一側壁及兩個或兩個以上通路,該兩個或兩個以上通路穿過該側壁形成及自該第一端延伸至該第二端。
  2. 如請求項1所述之介電夾具體,進一步包含一或更多個加熱元件,該一或更多個加熱元件嵌入該基板支撐板中且經設置以加熱安置於該頂表面上的該基板。
  3. 如請求項2所述之介電夾具體,其中該一或更多個加熱元件形成兩個或兩個以上獨立的加熱區域。
  4. 如請求項2所述之介電夾具體,進一步包含安置於該軸的該中心開口中的連接器,其中該等連接器耦接至該一或更多個加熱元件及該電極且該等連接器經調適以連接該一或更多個加熱元件及該電極至外部電源。
  5. 如請求項1所述之介電夾具體,其中該基板支撐板及該軸接合在一起以在該基板支撐板與該軸之間形成防漏通路。
  6. 如請求項1所述之介電夾具體,其中該基板支撐板具有一冷卻通路,該冷卻通路經設置以提供一冷卻流體至該基板。
  7. 如請求項6所述之介電夾具體,進一步包含安置於該基板支撐板中的一溫度感測器,其中該溫度感測器經設置以量測安置於該基板支撐板上的該基板的溫度,且一感測器導線通過穿過該軸的該側壁形成的該兩個或兩個以上通路中的一者。
  8. 如請求項5所述之介電夾具體,其中該基板支撐板及該軸由陶瓷製造。
  9. 如請求項1所述之介電夾具體,其中該軸具有一凸緣,該凸緣形成在該第二端上用於與該冷卻底座有效熱交換。
  10. 一種靜電夾具組件,包含:一介電夾具體,該介電夾具體包含: 一基板支撐板,該基板支撐板具有用於接收一基板之一頂表面及與該頂表面相對的一背表面;一電極,該電極嵌入該基板支撐板中;以及一軸,該軸具有一第一端及與該第一端相對的一第二端,該第一端附接於該基板支撐板的該背表面,該軸為中空的且該軸具有包圍一中心開口的一側壁及兩個或兩個以上通路,該兩個或兩個以上通路穿過該側壁形成及自該第一端延伸至該第二端;以及一冷卻底座,該冷卻底座經設置以提供溫度控制至該介電夾具體,其中該冷卻底座及該介電夾具體在該介電夾具體的該軸的該第二端處耦接在一起,且在該基板支撐板的該背表面與該冷卻底座的一頂表面之間形成一縫隙。
  11. 如請求項10所述之靜電夾具組件,其中該冷卻底座包含一主體,該主體具有形成於該主體中的一凹部,該凹部的一底表面接觸該介電夾具體的該軸。
  12. 如請求項11所述之靜電夾具組件,進一步包含:一接觸元件;以及一彈簧元件,其中該接觸元件及該彈簧元件跨越該冷卻底座與該基板支撐板之間的該縫隙,並在該冷卻底座與該基板支撐板的該背表面之間提供一導電路徑。
  13. 如請求項12所述之靜電夾具組件,該基板支撐板及該軸接合在一起以在該基板支撐板與該軸之間形成防漏通路。
  14. 如請求項12所述之靜電夾具組件,其中該基板支撐板具有一冷卻通路,該冷卻通路經設置以提供一冷卻流體至該基板。
  15. 如請求項10所述之靜電夾具組件,其中該基板支撐板及該軸由陶瓷製造。
  16. 如請求項15所述之靜電夾具組件,進一步包含一邊緣環組件,其中該邊緣環組件包含:一下環,該下環安置於該冷卻底座的上方;以及一上環,該上環藉由該基板支撐板支撐,其中該上環懸置在該下環上方,該上環的一下表面面對該下環的一上表面,在該上環的該下表面及該下環的該上表面中形成交錯特徵結構,且該等交錯特徵結構在該下環及該上環之間形成一曲徑式密封。
  17. 如請求項10所述之靜電夾具組件,進一步包含一導電結構,該導電結構安置於該冷卻底座與該介電夾具體的該軸之間。
  18. 一種用於處理一基板的設備,包含:一腔室外殼組件,該腔室外殼組件界定一內部容積;一靜電夾具組件,該靜電夾具組件安置於該內部容積中並經設置以於處理期間在該內部容積中固定及支撐一基板,其中該靜電夾具組件包含:一介電夾具體,該介電夾具體包含:一基板支撐板,該基板支撐板具有用於接收一基板之一頂表面及與該頂表面相對的一背表面一電極,該電極嵌入該基板支撐板中;以及一軸,該軸具有一第一端及與該第一端相對的一第二端,該第一端附接於該基板支撐板的該背表面,該軸為中空的且該軸具有包圍一中心開口的一側壁及兩個或兩個以上通路,該兩個或兩個以上通路穿過該側壁形成及自該第一端延伸至該第二端;以及一冷卻底座,該冷卻底座經設置以提供溫度控制至該介電夾具體,其中該冷卻底座及該介電夾具體在該介電夾具體的該軸的該第二端處耦接在一起,且在該基板支撐板的該背表面與該冷卻底座的一頂表面之間形成一縫隙;以及一氣體注入組件,該氣體注入組件經設置以在安置於該靜電夾具組件上的該基板上方傳遞一或更多種處理氣體。
  19. 如請求項18所述之設備,進一步包含一襯墊,該襯墊安置於該腔室外殼組件內圍繞該靜電夾具組件上方的該內部容積的一部分,以在該基板上方建立一處理容積。
  20. 如請求項18所述之設備,進一步包含一遮蔽環,該遮蔽環安置於該基板支撐板的一邊緣區域上方以保護該基板支撐板的該邊緣區域。
TW102114621A 2012-04-26 2013-04-24 具有即時熱區調節能力的高溫靜電夾具 TW201351559A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261638857P 2012-04-26 2012-04-26
US201261639228P 2012-04-27 2012-04-27
US201261639719P 2012-04-27 2012-04-27
US13/793,512 US9948214B2 (en) 2012-04-26 2013-03-11 High temperature electrostatic chuck with real-time heat zone regulating capability

Publications (1)

Publication Number Publication Date
TW201351559A true TW201351559A (zh) 2013-12-16

Family

ID=49476309

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114621A TW201351559A (zh) 2012-04-26 2013-04-24 具有即時熱區調節能力的高溫靜電夾具

Country Status (6)

Country Link
US (1) US9948214B2 (zh)
JP (1) JP2015517224A (zh)
KR (1) KR102151001B1 (zh)
CN (1) CN104205321B (zh)
TW (1) TW201351559A (zh)
WO (1) WO2013162820A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819089B (zh) * 2018-09-07 2023-10-21 日商東京威力科創股份有限公司 溫度調節系統

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014158370A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Temperature measurement in multi-zone heater
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN105552014B (zh) * 2014-10-28 2018-09-18 北京北方华创微电子装备有限公司 一种支撑装置以及等离子刻蚀设备
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN107851592A (zh) * 2015-06-05 2018-03-27 沃特洛电气制造公司 高热导率晶片支撑基座装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10256131B2 (en) 2015-08-27 2019-04-09 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10184183B2 (en) * 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN106229284A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种点阵式控温静电吸附盘
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6704821B2 (ja) * 2016-09-12 2020-06-03 日本特殊陶業株式会社 保持装置
US10840117B2 (en) 2016-09-12 2020-11-17 Ngk Spark Plug Co., Ltd. Holding apparatus
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11232966B2 (en) * 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
JP6522180B1 (ja) * 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
JP7239560B2 (ja) * 2018-03-26 2023-03-14 日本碍子株式会社 静電チャックヒータ
US10851458B2 (en) 2018-03-27 2020-12-01 Lam Research Corporation Connector for substrate support with embedded temperature sensors
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108650722B (zh) * 2018-04-28 2021-11-12 拓荆科技股份有限公司 一种提高射频导通能力及密封效果的加热盘
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020027919A1 (en) * 2018-07-30 2020-02-06 Nordson Corporation Systems for workpiece processing with plasma
KR20200066537A (ko) * 2018-08-13 2020-06-10 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US20220055450A1 (en) * 2020-08-19 2022-02-24 Lexmark International, Inc. Cabin heater
CN111968901B (zh) * 2020-08-25 2022-08-16 北京北方华创微电子装备有限公司 半导体反应腔室及半导体加工设备
US20220108908A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Shadow ring kit for plasma etch wafer singulation process
US11818810B2 (en) * 2021-03-26 2023-11-14 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers
US12062565B2 (en) 2021-06-29 2024-08-13 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken
JPH0687463B2 (ja) * 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
JPH11343571A (ja) * 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
KR100498609B1 (ko) * 2002-05-18 2005-07-01 주식회사 하이닉스반도체 배치형 원자층 증착 장치
WO2007018139A1 (ja) 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
KR101333112B1 (ko) 2007-03-29 2013-11-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
CN101978475B (zh) * 2008-03-21 2013-09-25 应用材料公司 屏蔽性盖加热器组件
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2010232624A (ja) 2009-02-26 2010-10-14 Japan Pionics Co Ltd Iii族窒化物半導体の気相成長装置
JP5275935B2 (ja) * 2009-07-15 2013-08-28 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5902085B2 (ja) 2009-08-07 2016-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ内で基板を位置決めするための装置及び処理チャンバ内で基板をセンタリングするための方法
JP5835985B2 (ja) 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819089B (zh) * 2018-09-07 2023-10-21 日商東京威力科創股份有限公司 溫度調節系統

Also Published As

Publication number Publication date
WO2013162820A1 (en) 2013-10-31
KR102151001B1 (ko) 2020-09-02
US20130284374A1 (en) 2013-10-31
CN104205321B (zh) 2017-09-08
CN104205321A (zh) 2014-12-10
US9948214B2 (en) 2018-04-17
KR20150013522A (ko) 2015-02-05
JP2015517224A (ja) 2015-06-18

Similar Documents

Publication Publication Date Title
TW201351559A (zh) 具有即時熱區調節能力的高溫靜電夾具
JP6728196B2 (ja) 高温ポリマー接合によって金属ベースに接合されたセラミックス静電チャック
TWI688668B (zh) 具有可拆卸式氣體分配板之噴淋頭
CN103794538B (zh) 静电卡盘以及等离子体加工设备
CN109716497B (zh) 用于宽范围温度控制的加热器基座组件
JP7551765B2 (ja) 基板処理チャンバにおける処理キットのシース及び温度制御
KR20060025586A (ko) 다이나믹 온도 제어를 가지는 기판 지지대
TWI765892B (zh) 具有增強邊緣密封的用於高功率之工件載體
JP7381713B2 (ja) プロセスキットのシース及び温度制御
JP7308950B2 (ja) 極低温静電チャック
US11887878B2 (en) Detachable biasable electrostatic chuck for high temperature applications
KR20150125837A (ko) 기판 처리 장치 및 기판 처리 방법
TWI798249B (zh) 用於電漿處理設備之冷卻聚焦環及其相關基座總成與設備
TWI812667B (zh) 基板載置台及具備該基板載置台的電漿處理裝置、以及電漿處理方法
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
JP5777656B2 (ja) 基板支持装置及び基板処理装置
TWM648507U (zh) 半導體處理設備及其聚焦環加熱裝置