JP2015517224A - リアルタイム加熱ゾーン調整機能を備えた高温静電チャック - Google Patents

リアルタイム加熱ゾーン調整機能を備えた高温静電チャック Download PDF

Info

Publication number
JP2015517224A
JP2015517224A JP2015508985A JP2015508985A JP2015517224A JP 2015517224 A JP2015517224 A JP 2015517224A JP 2015508985 A JP2015508985 A JP 2015508985A JP 2015508985 A JP2015508985 A JP 2015508985A JP 2015517224 A JP2015517224 A JP 2015517224A
Authority
JP
Japan
Prior art keywords
support plate
substrate support
substrate
chuck body
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015508985A
Other languages
English (en)
Inventor
ディミトリー ルボミルスキー
ディミトリー ルボミルスキー
ジェニファー ワイ サン
ジェニファー ワイ サン
シン リン
シン リン
マイケル ディー ウィルワース
マイケル ディー ウィルワース
コンスタンティン マクラトシェブ
コンスタンティン マクラトシェブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015517224A publication Critical patent/JP2015517224A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B31/00Chucks; Expansion mandrels; Adaptations thereof for remote control
    • B23B31/02Chucks
    • B23B31/24Chucks characterised by features relating primarily to remote control of the gripping means
    • B23B31/28Chucks characterised by features relating primarily to remote control of the gripping means using electric or magnetic means in the chuck

Abstract

本発明の実施形態は、高温で動作させるための静電チャックを提供する。本発明の一実施形態は、静電チャック用の誘電体チャック本体を提供する。誘電体チャック本体は、基板を受けるための上面と、上面と反対の裏面を有する基板支持プレートと、基板支持プレート内に埋設された電極と、基板支持プレートの裏面に取り付けられた第1端部と、第1端部と反対の第2端部を有するシャフトを含む。第2端部は、冷却ベースに接触し、基板支持プレートに温度制御を提供するように構成される。シャフトは、中空であり、中央開口部を取り囲む側壁と、側壁を貫通して形成され、第1端部から第2端部まで延在する2以上のチャネルを有する。

Description

背景
(分野)
本発明の実施形態は、概して、高温で基板を処理するための装置及び方法に関する。特に、本発明の実施形態は、高温で動作する処理チャンバ内で基板を支持するための装置及び方法に関する。
(関連技術の説明)
静電チャックは、処理チャンバ内で基板を支持し固定する際に一般的に使用される。静電チャックは、一般的に、吸着力を発生させるための埋設されたDC電極を有する非導電性本体(例えば、セラミックス本体)を有する。1以上の加熱要素を基板支持体内に含み、これによって処理中に加熱を提供することができる。RF電極を、非導電性本体内部に埋設してもよい。RF電極は、プラズマを発生させるバイアス電力を送出し、同時にDC電極は、その上に基板を固定するための吸着力を生成する。ヒータを非導電性本体内に埋設し、これによって加熱を提供することができる。冷却ベースが非導電性本体に取り付けられ、これによって非導電性本体を冷却することができる。ヒータと冷却ベースが協働し、これによって静電チャック及びその上で支持された基板の温度を制御する。
既存の静電チャックは、一般的に、非導電性本体と冷却ベースを固定するための金属クランプ装置を有し、シールを提供するための有機体のOリングを使用する。しかしながら、金属クランプ装置と有機体のOリングは、高温(例えば、350℃より高い温度)では適切に機能することができない。350℃では、動作温度が高温有機体Oリングの性能限界を超える。高温で、金属クランプ装置は、非導電性本体と冷却ベースの熱膨張を制約する。更に、一般的にチタンなどの耐熱金属からなる金属クランプ装置もまた、処理化学薬品の下で金属汚染を導入するだろう。
したがって、高温で動作させるための静電チャックが必要とされている。
概要
本発明の実施形態は、高温で動作する処理チャンバ内で基板を支持するための装置及び方法に関する。特に、本発明の実施形態は、高温で動作させるための静電チャックを提供する。
本発明の一実施形態は、静電チャック用の誘電体チャック本体を提供する。誘電体チャック本体は、基板を受けるための上面と、上面と反対の裏面を有する基板支持プレートと、基板支持プレート内に埋設され、上面に基板を固定するための吸着力を発生させる、及び/又は処理用のプラズマを発生させるように構成された電極と、基板支持プレートの裏面に取り付けられた第1端部と、第1端部と反対の第2端部を有するシャフトを含む。第2端部は、冷却ベースに接触し、基板支持プレートに温度制御を提供するように構成される。シャフトは、中空であり、中央開口部を取り囲む側壁と、側壁を貫通して形成され、第1端部から第2端部まで延在する2以上のチャネルを有する。
本発明の別の一実施形態は、静電チャックアセンブリを提供する。静電チャックアセンブリは、本発明の一実施形態に係る誘電体チャック本体と、誘電体チャック本体に温度制御を提供するように構成された冷却ベースを含む。冷却ベースと誘電体チャック本体は、誘電体チャック本体のシャフトの第2端部で共に結合される。基板支持プレートの裏面と冷却ベースの上面との間にはギャップが形成される。
本発明の別の一実施形態は、基板を処理するための装置を提供する。この装置は、内部容積を画定するチャンバハウジングアセンブリと、内部容積内に配置され、処理中に内部容積内に基板を固定し支持するように構成された本発明の一実施形態に係る静電チャックアセンブリを含む。この装置は、静電チャックアセンブリ上に配置された基板の上方に1以上の処理ガスを送出するように構成されたガス注入アセンブリを更に含む。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係る静電チャックアセンブリを有するプラズマ処理チャンバの断面側面図である。 本発明の一実施形態に係るチャック本体の分解斜視断面図である。 本発明の一実施形態に係る静電チャックアセンブリの分解斜視断面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本発明の実施形態は、高温で動作する処理チャンバ内で基板を支持するための装置及び方法に関する。より具体的には、本発明の実施形態は、高温で動作させるための静電チャックアセンブリを提供する。一実施形態は、誘電体ディスクと誘電体ディスクから延びるシャフトを有する誘電体チャック本体を提供する。シャフトは中空であり、誘電体ディスクに埋設されたRF、DC又はRF/DC兼用電極、及び/又は加熱要素へコネクタ用通路を提供する中央開口部を有する。シャフトは、軸方向に沿って側壁を貫通して形成された1以上のチャネルも有する。1以上のチャネルは、冷却流体及び/又は基板センサの通路又は接続のための統合された通路を提供するために使用することができる。シャフトの側壁内に形成されたチャネルを使用することによって、本発明の実施形態は、高温に耐えることのできないOリングを使用した冷却流体通路のシールを回避する。
本発明の実施形態は、誘電体チャック本体に温度制御を提供するために、シャフトの遠位端で誘電体チャック本体に取り付けられた冷却ベースを更に提供する。冷却ベースと、誘電体チャック本体の支持ディスクのエッジ部との間に、クランプは印加されない。シャフトの遠位端で冷却ベースと誘電体チャック本体を取り付け、支持ディスクのエッジ部にクランプするのを回避することによって、本発明の実施形態は、構造内での熱膨張の拘束を排除し、また従来のクランプ構造によって引き起こされるパーティクルの発生を低減させる。
本発明の実施形態は、静電チャックを高温で実行可能にすることを含む。例えば、本発明の実施形態に係る静電チャックは、最大摂氏約400度の温度に維持されたエッチング環境内で動作させることができる。本発明の特定の実施形態はまた、ターゲット基板温度プロファイルを達成するために2つのゾーン温度制御を提供する。本発明の実施形態はまた、冷却ベースに誘電体チャック本体を固定するための、従来の静電チャックに用いられる金属クランプ構造に関連する金属汚染を排除する。本発明の実施形態はまた、冷却流体及び/又はセンサへのリード線用の統合された通路を提供し、高温に耐えられないシール用Oリングの使用を排除する。本発明の特定の実施形態はまた、プロセス品質を向上させるウェハ温度監視(WTM)システムを提供する。
図1は、本発明の一実施形態に係る静電チャックアセンブリ120を有するプラズマ処理チャンバ100の断面側面図である。プラズマ処理チャンバ100は、内部容積110を画定するチャンバハウジングアセンブリ101を含む。チャンバハウジングアセンブリ101は、チャンバ壁104、チャンバ壁104の上方に配置されたチャンバ蓋102、及びチャンバ底105を含む。
静電チャックアセンブリ120は、上で基板112を支持するために、ハウジングアセンブリ101の内部容積110内に配置される。静電チャックアセンブリ120は、インタフェースプレート108を介してハウジングアセンブリ101に取り付けることができ、チャンバ底105を貫通して形成された底部開口部106を介してプラズマ処理チャンバ100の外側に延びることができる。
ライナ130は、静電チャックアセンブリ120の上方で内部容積110の一部を取り囲むチャンバ側壁104の内側に配置され、これによって基板112の上方に処理容積132を作ることができる。スリットバルブ開口部104aは、チャンバ壁104と、ライナ130を貫通して形成された対応する開口部130aを貫通して形成され、これによって基板と、静電チャックアセンブリ120から基板を配置し取り出すために使用される基板搬送機構の通過を可能にする。
ガス注入アセンブリ134は、静電チャックアセンブリ120の上方に配置され、これによって1以上の処理ガスをガス源136から処理容積132に提供する。真空ポンプ140を内部容積110に結合させ、これによって処理容積132のエッジ領域に配置されたプレナム138を介してプラズマ処理チャンバ100から外へ処理ガスをポンピングすることができる。
一実施形態では、アンテナアセンブリ142が、チャンバ蓋102の外部に配置され、これによってプラズマ処理を促進させることができる。アンテナアセンブリ142は、整合ネットワーク144を介して高周波(RF)プラズマ電源146に結合することができる。処理中に、アンテナアセンブリ142は、電源146によって供給されるRF電力によって通電され、これによって処理容積132内で処理ガスのプラズマを点火し、プラズマを維持する。
静電チャックアセンブリ120は、基板112を固定して支持するように構成された誘電体チャック本体121と、誘電体チャック本体121の温度制御を提供するように構成された冷却ベース126を含む。誘電体チャック本体121は、基板112を支持するための上面123と、上面123と反対の裏面125を有する基板支持プレート122を含む。誘電体チャック本体121は、基板支持プレート122の裏面125から延びるシャフト124も含む。シャフト124は、第1端部124aで基板支持プレート122に固定して取り付けられ、第2端部124bで冷却ベース126に取り付けられる。本発明の一実施形態によると、誘電体チャック本体121及び冷却ベース126は、シャフト124の第2端部124bでの接続以外に、追加的な固定された接続(例えば、基板支持プレート122の周りのクランプ要素)を有さない。この構成によって、誘電体チャック本体121及び冷却ベース126は、熱膨張の下で互いに対して移動することができ、したがって、誘電体チャック本体121と冷却ベース126との間の熱応力を回避する。誘電体チャック本体121及び冷却ベース126は、誘電体チャック本体121の中央で接続されているので、誘電体チャック本体121は、冷却ベース126に対して全方向に放射状に拡張することが自由にできる。第2端部124bと冷却ベース126との間の接触は、冷却ベース126と誘電体チャック本体121の間で主要な熱交換を提供する。
基板支持プレート122は、一般的に、実質的に平面であり、基板112全体を支持するように形作られる。基板支持プレート122は、円形基板、矩形基板、又は他の形状の基板を支持するために、円形、矩形、又は他の適切な形状であってもよい。基板支持プレート122は、セラミックス(例えば、窒化アルミニウム、酸化アルミニウム)、又はドープされたセラミックス(例えば、窒化チタン又は窒化クロムがドープされたアルミナ、ドープされた酸化アルミニウム、ドープされた窒化ホウ素)などから製造することができる。一実施形態では、基板支持プレート122は、基板支持プレート122の熱伝導率を高めるために純度約95%の窒化アルミニウムから形成することができる。
誘電体チャック本体121は、基板支持プレート122内に埋設された電極156を更に含む。電極156は、薄い金属プレート又は金属メッシュであることができる。電極156は、基板112の実質的全領域を包含するのに十分に大きくすることができる。電極156は、電源(例えば、直流電圧源)に結合して、これによって上面123の上に基板112を引き付け固定するための静電吸着力を生成することができる。オプションで、電極156は、処理チャンバ100内に容量結合プラズマを発生させるためのRF電源に結合することもできる。
一実施形態では、誘電体チャック本体121は、基板支持プレート122内に埋設された1以上の加熱要素160を更に含む。1以上の加熱要素160は、抵抗ヒータとすることができる。1以上の加熱要素160によって、基板支持プレート122は、基板122を所望の温度(例えば、摂氏約200〜約400度の間の温度)に加熱することができる。一実施形態では、1以上の加熱要素160は、2つの独立した制御された温度ゾーンを形成することができる。
本発明の一実施形態によれば、3以上のリフトピン116が、リフトピンドライブ114によって、基板支持プレート122と、冷却ベース126と、インタフェースプレート108を貫通して移動可能であってもよい。3以上のリフトピン116は、基板支持プレート122から基板112を取り出すように構成される。
シャフト124は、セラミックス(例えば、窒化アルミニウム、酸化アルミニウム)、又はドープされたセラミックス(例えば、窒化チタン又は窒化クロムがドープされたアルミナ、ドープされた酸化アルミニウム、ドープされた窒化ホウ素)などから製造することができる。一実施形態では、シャフト124は、熱伝導率を高めるために純度約95%の窒化アルミニウムから形成することができる。一実施形態では、シャフト124及び基板支持プレート122は、同じ材料から製造することができる。シャフト124は、接着によって基板支持プレート122に接合し、これによって統合された誘電体チャック本体を形成することができる。一実施形態では、シャフト124は、爆着によって基板支持プレート122に接合することができる。一実施形態では、シャフト124は、拡散接合によって基板支持プレート124に接合することができる。あるいはまた、シャフト124は、ろう付け、又は統合された誘電体チャック本体を形成することができる他の適当な接合方法によって、基板支持プレート124に接合することができる。
シャフト124は、中空であり、第1端部124aから第2端部124bまで延びる中央開口部172を画定する側壁170を有する。一実施形態では、中央開口部172は、電極156を電源158に、1以上の加熱要素160を加熱用電源162に接続するコネクタ157、159、161のための通路を提供するように構成される。
本発明の一実施形態によると、1以上のチャンネル174、176は、シャフト124の側壁170を貫通して形成することができる。1以上のチャネル174、176は、第1端部124aから第2端部124bまで延びることができる。シャフト124が、基板支持プレート122に接合されたとき、1以上のチャネル174、176は、冷却流体及び/又はセンサのリード線用の1以上の通路を形成する、基板支持プレート122内のチャンネル178、180と接続し統合する。シャフト124と基板支持プレート122との間の接合の性質は、チャンネル174、176とチャネル178、180との間で漏れのないインタフェースを提供する。一実施形態では、チャネル176は、処理容積132内の処理環境にセンサを曝露させることなく、センサ(例えば、熱電対)を受けるための止まり穴であってもよい。
図1に示されるように、チャネル174は、基板支持プレート122内のチャネル178を冷却流体源182に接続する。チャネル178は、基板支持プレート122の上面123で開放する。チャンネル174及びチャネル178は、冷却流体源182から基板112の裏面まで、冷却流体(例えば、ヘリウム)を支持するための通路を形成し、これによって基板温度を制御する。シャフト124内のチャネル176は、基板支持プレート122内のチャネル180に接続し、これによってセンサ186用の通路を形成する。センサ186は、上面123に近接して基板支持プレート122内に配置することができる。センサ186は、チャネル176及びチャネル180内に配置されたセンサリード線185を介してコントローラ184に接続することができる。オプションで、センサは、シャフト124の端部124bに配置してもよい。一実施形態では、センサ186は、基板支持プレート122の上方に配置された基板112の温度を測定するように構成された温度センサである。
シャフト124と基板支持プレート122は共に結合され、これによって漏れのないシールを作る。一実施形態では、シャフト124及び基板支持プレート122は、爆着されることができる。チャンネル174、176は、高温に耐えることができないかもしれないいかなるOリングをも使用せずに、統合によって、チャネル178、180に接続する。その結果、統合されたチャンネル174、176、178、180は、静電チャック本体120がほとんどのO−リングの使用温度限界を超える温度で動作するのを可能にする。
冷却ベース126は、内部容積110内の誘電体チャック本体120の下に配置される。一実施形態では、冷却ベース126は、チャンバ底105上に配置されたインタフェースプレート108上に取り付けられる。冷却ベース126は、実質的に平坦な上面187と、上面187と反対の円筒形延長部190を有する本体188を有することができる。凹部189は、誘電体チャック本体120のシャフト124を受けるための上面187から形成され、円筒形延長部190内に延びている。円筒形延長部190は、チャンバ底105の底部開口部106を貫通して延びることができる。
複数の冷却チャンネル194が、冷却流体の循環のために冷却ベース126内に形成される。冷却チャネル194は、冷却流体源196と流体連通することができる。冷却ベース126は、熱伝導性材料から製造することができ、一実施形態では、金属(例えば、一実施形態では、アルミニウム又はステンレス鋼)から製造される。
冷却ベース126及びシャフト124の対向面は、(例えば、複数のねじ133を用いて)共にクランプされる。一実施形態では、座金131が、シャフト124と冷却ベース126の間に配置され、これによって冷却ベース126とシャフト124との間の固体接触及び良好な熱交換を保証することができる。
組み立てられたとき、シャフト124の第2端部124bは、冷却ベース126の凹部189の底面192上に着座し、同時に冷却ベース126の上面187と基板支持プレート122の裏面125は、直接接触しない。同様に、凹部189の側壁191もまた、シャフト124に直接接触しない。ギャップ127が冷却ベース126と誘電体チャック本体120との間に形成され、これによっての相対的な熱膨張を可能にする。
オプションで、冷却ベース126と誘電体チャック本体120との間に配置された接触要素128及びばね要素129によって、冷却ベース126と誘電体チャック本体120との間の二次的な熱接触が確立されてもよい。一実施形態では、溝135は、冷却ベース126の上面187内に形成することができる。溝135は、基板支持プレート122のエッジ領域に対応して配置され形作られ、これによって基板支持プレート122のエッジ領域に温度制御を提供する。ばね要素129は、溝135内に配置される。接触要素128は、ばね要素129の上方に配置される。ばね要素129は、基板支持プレート122の裏面125に対して接触要素128を押圧する。ばね要素129及び接触要素128は、基板支持プレート122と冷却ベース126の間にいかなる横方向及び縦方向の動きの制約をも与えることなく、冷却ベース126と基板支持プレート122の間に導電性経路を提供する。接触要素128及びばね要素129は、熱伝導性材料から形成することができる。一実施形態では、接触要素128は、セラミックス材料(例えば、酸化アルミニウム又は窒化アルミニウム)から形成される。ばね要素129は、金属(例えば、アルミニウム又はステンレス鋼)から形成することができる。
本発明の一実施形態によると、エッジリングアセンブリ150は、処理容積132からギャップ127及び189を分離するために、ギャップ127の周りに配置することができる。エッジリングアセンブリ150は、下部リング151と上部リング152を含むことができる。下部リング151は、ギャップ127を囲む冷却ベース126の上方に配置することができる。上部リング152は、基板支持プレート122のエッジ部によって支持され、下部リング151の上方に懸架されることができる。ギャップ150aは、上部リング152の下面152aと下部リング151の上面151aとの間に形成され、これによって冷却ベース126及び誘電体チャック本体120の熱膨張を可能にする。上部リング152の下面152aと下部リング151の上面151aは、ギャップ150a内にラビリンス(迷路)を形成する交互構造(例えば、リブ及び溝)を有することができる。ギャップ150a内のラビリンスは、ギャップ127と処理容積132の間の分離を提供する。ラビリンスは実質的に、処理容積132内の処理ガスがギャップ127、189へ入るのを防止し、こうして望ましくない汚染を低減する。下部リング151及び上部リング152は、処理化学薬品に相性の良い材料から形成することができる。一実施形態では、下部リング151及び上部リング152は、セラミックス又は石英から製造することができる。シャドウリング154は、エッジ領域の周りで基板支持プレート122の上方に配置され、これによって基板112の外側の領域を覆い、覆われた領域の処理化学薬品への曝露を防止することができる。
図2は、誘電体チャック本体120の分解斜視断面図である。側壁170内のチャンネル174、176は、冷却流体及び/又はセンサのリード線用の統合された通路を形成する。図2には2つのチャネル174、176が示されているが、より多くのチャンネルを追加のニーズに対応するように形成してもよい。シャフト126は、冷却ベース126との熱交換を高めるための増大した表面積を提供するフランジ202を有する。
図3は、上述の構成要素を示す、冷却ベース126と誘電体チャック本体120を含む静電チャックアセンブリの分解斜視断面図である。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 静電チャック用の誘電体チャック本体であって、
    基板を受けるための上面と、上面と反対の裏面を有する基板支持プレートと、
    基板支持プレート内に埋設された電極と、
    基板支持プレートの裏面に取り付けられた第1端部と、第1端部と反対の第2端部を有するシャフトを含み、第2端部は、冷却ベースに接触し、基板支持プレートに温度制御を提供するように構成され、シャフトは、中空であり、中央開口部を取り囲む側壁と、側壁を貫通して形成され、第1端部から第2端部まで延在する2以上のチャネルを有する誘電体チャック本体。
  2. 基板支持プレート内に埋設され、上面の上に配置された基板を加熱するように構成された1以上の加熱要素を含む請求項1記載の誘電体チャック本体。
  3. 1以上の加熱要素が2以上の独立した加熱ゾーンを形成する請求項2記載の誘電体チャック本体。
  4. シャフトの中央開口部内に配置されたコネクタを含み、コネクタは、1以上の加熱要素及び電極に結合され、1以上の加熱要素と外部電源への電極とを接続するように用いられる請求項2記載の誘電体チャック本体。
  5. 基板支持プレート及びシャフトは、それらの間に漏れのないチャンネルを形成するように共に結合される請求項1記載の誘電体チャック本体。
  6. 基板支持プレートは、基板に冷却流体を供給するように構成された冷却チャネルを有する請求項1記載の誘電体チャック本体。
  7. 基板支持プレート内に配置された温度センサを含み、温度センサは、基板支持プレート上に配置された基板の温度を測定するように構成され、センサのリード線が、シャフトの側壁を貫通して形成された2以上のチャネルのうちの1つを通過する請求項6記載の誘電体チャック本体。
  8. 基板支持プレート及びシャフトは、セラミックスから製造される請求項5記載の誘電体チャック本体。
  9. シャフトは、冷却ベースとの効果的な熱交換のための、第2端部に形成されたフランジを有する請求項1記載の誘電体チャック本体。
  10. 請求項1〜9のいずれか1項記載の誘電体チャック本体と、
    誘電体チャック本体に温度制御を提供するように構成された冷却ベースを含み、冷却ベースと誘電体チャック本体は、誘電体チャック本体のシャフトの第2端部で共に結合され、基板支持プレートの裏面と冷却ベースの上面との間にギャップが形成された静電チャックアセンブリ。
  11. 冷却ベースは、凹部が内部に形成された本体を含み、凹部の底面は、誘電体チャック本体のシャフトに接触する請求項10記載の静電チャックアセンブリ。
  12. 接触要素と、
    ばね要素を含み、接触要素とばね要素は、冷却ベースと基板支持プレートの間のギャップを結び、冷却ベースと基板支持プレートの裏面との間に導電性経路を提供する請求項11記載の静電チャックアセンブリ。
  13. 冷却ベースの上方に配置された下部リングと、
    基板支持プレートによって支持された上部リングを含み、上部リングは、下部リングの上方に懸架され、上部リングの下面は、下部リングの上面に対向し、上部リングの下面と下部リングの上面の中に交互構造が形成され、交互構造は、下部リングと上部リングの間にラビリンスを形成するエッジリングアセンブリを含む請求項12記載の静電チャックアセンブリ。
  14. 基板を処理するための装置であって、
    内部容積を画定するチャンバハウジングアセンブリと、
    内部容積内に配置され、処理中に内部容積内に基板を固定し支持するように構成された請求項10記載の静電チャックアセンブリと、
    静電チャックアセンブリ上に配置された基板の上方に1以上の処理ガスを送出するように構成されたガス注入アセンブリを含む装置。
  15. 静電チャックアセンブリの上方で内部容積の一部を取り囲むチャンバハウジングアセンブリの内部に配置されたライナを含み、これによって基板の上方に処理容積を生成する請求項14記載の装置。
JP2015508985A 2012-04-26 2013-03-28 リアルタイム加熱ゾーン調整機能を備えた高温静電チャック Pending JP2015517224A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261638857P 2012-04-26 2012-04-26
US61/638,857 2012-04-26
US201261639228P 2012-04-27 2012-04-27
US201261639719P 2012-04-27 2012-04-27
US61/639,228 2012-04-27
US61/639,719 2012-04-27
US13/793,512 US9948214B2 (en) 2012-04-26 2013-03-11 High temperature electrostatic chuck with real-time heat zone regulating capability
US13/793,512 2013-03-11
PCT/US2013/034380 WO2013162820A1 (en) 2012-04-26 2013-03-28 High temperature electrostatic chuck with real-time heat zone regulating capability

Publications (1)

Publication Number Publication Date
JP2015517224A true JP2015517224A (ja) 2015-06-18

Family

ID=49476309

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015508985A Pending JP2015517224A (ja) 2012-04-26 2013-03-28 リアルタイム加熱ゾーン調整機能を備えた高温静電チャック

Country Status (6)

Country Link
US (1) US9948214B2 (ja)
JP (1) JP2015517224A (ja)
KR (1) KR102151001B1 (ja)
CN (1) CN104205321B (ja)
TW (1) TW201351559A (ja)
WO (1) WO2013162820A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6123952B1 (ja) * 2015-08-27 2017-05-10 住友大阪セメント株式会社 静電チャック装置
JP2018046079A (ja) * 2016-09-12 2018-03-22 日本特殊陶業株式会社 保持装置
JP2019521522A (ja) * 2016-06-21 2019-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板温度モニタリング
WO2019187785A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
US10840117B2 (en) 2016-09-12 2020-11-17 Ngk Spark Plug Co., Ltd. Holding apparatus

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104995726B (zh) 2013-03-14 2018-07-31 应用材料公司 多区加热器中的温度测量
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105552014B (zh) * 2014-10-28 2018-09-18 北京北方华创微电子装备有限公司 一种支撑装置以及等离子刻蚀设备
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) * 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI616976B (zh) * 2015-06-05 2018-03-01 瓦特洛威電子製造公司 高熱傳導晶圓支撐臺座裝置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN106229284A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种点阵式控温静电吸附盘
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11232966B2 (en) * 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
JP6522180B1 (ja) * 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10851458B2 (en) * 2018-03-27 2020-12-01 Lam Research Corporation Connector for substrate support with embedded temperature sensors
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108650722B (zh) * 2018-04-28 2021-11-12 拓荆科技股份有限公司 一种提高射频导通能力及密封效果的加热盘
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112673450A (zh) * 2018-07-30 2021-04-16 诺信公司 用于利用等离子体的工件加工的系统
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
JP7112915B2 (ja) * 2018-09-07 2022-08-04 東京エレクトロン株式会社 温調システム
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US20220055450A1 (en) * 2020-08-19 2022-02-24 Lexmark International, Inc. Cabin heater
US20220108908A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Shadow ring kit for plasma etch wafer singulation process
US11818810B2 (en) * 2021-03-26 2023-11-14 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken
JPH0687463B2 (ja) * 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
JPH11343571A (ja) * 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
KR100498609B1 (ko) * 2002-05-18 2005-07-01 주식회사 하이닉스반도체 배치형 원자층 증착 장치
WO2007018139A1 (ja) 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US20100101728A1 (en) 2007-03-29 2010-04-29 Tokyo Electron Limited Plasma process apparatus
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
WO2009117612A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Shielded lid heater assembly
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2010232624A (ja) 2009-02-26 2010-10-14 Japan Pionics Co Ltd Iii族窒化物半導体の気相成長装置
JP5275935B2 (ja) * 2009-07-15 2013-08-28 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
WO2011017060A2 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
JP5835985B2 (ja) 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256131B2 (en) 2015-08-27 2019-04-09 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
JP6123952B1 (ja) * 2015-08-27 2017-05-10 住友大阪セメント株式会社 静電チャック装置
US11053592B2 (en) 2016-06-21 2021-07-06 Applied Materials, Inc. Substrate temperature monitoring
JP2019521522A (ja) * 2016-06-21 2019-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板温度モニタリング
US10840117B2 (en) 2016-09-12 2020-11-17 Ngk Spark Plug Co., Ltd. Holding apparatus
JP2018046079A (ja) * 2016-09-12 2018-03-22 日本特殊陶業株式会社 保持装置
WO2019187785A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
WO2019188681A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
JPWO2019188681A1 (ja) * 2018-03-26 2020-07-02 日本碍子株式会社 静電チャックヒータ
JPWO2019187785A1 (ja) * 2018-03-26 2021-04-15 日本碍子株式会社 静電チャックヒータ
JP7239560B2 (ja) 2018-03-26 2023-03-14 日本碍子株式会社 静電チャックヒータ
US11664203B2 (en) 2018-03-26 2023-05-30 Ngk Insulators, Ltd. Electrostatic-chuck heater
US11688590B2 (en) 2018-03-26 2023-06-27 Ngk Insulators, Ltd. Electrostatic-chuck heater

Also Published As

Publication number Publication date
WO2013162820A1 (en) 2013-10-31
CN104205321A (zh) 2014-12-10
TW201351559A (zh) 2013-12-16
KR102151001B1 (ko) 2020-09-02
US20130284374A1 (en) 2013-10-31
CN104205321B (zh) 2017-09-08
US9948214B2 (en) 2018-04-17
KR20150013522A (ko) 2015-02-05

Similar Documents

Publication Publication Date Title
US9948214B2 (en) High temperature electrostatic chuck with real-time heat zone regulating capability
US10985045B2 (en) Electrostatic chuck mechanism and semiconductor processing device having the same
TWI714547B (zh) 以高溫聚合物接合劑接合至金屬基底的陶瓷靜電夾盤
JP6054314B2 (ja) 基板搬送及びラジカル閉じ込めのための方法及び装置
JP2017208562A (ja) Escの接着剤の浸食を防止するための方法及び装置
WO1998046808A1 (fr) Processeur
US20130105088A1 (en) Thermal management of edge ring in semiconductor processing
TWI729447B (zh) 用於寬範圍溫度控制的加熱器基座組件
US11551916B2 (en) Sheath and temperature control of a process kit in a substrate processing chamber
WO2010084650A1 (ja) プラズマ処理装置の基板支持台
JP7381713B2 (ja) プロセスキットのシース及び温度制御
US11887878B2 (en) Detachable biasable electrostatic chuck for high temperature applications
TWI765892B (zh) 具有增強邊緣密封的用於高功率之工件載體
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
CN108461441B (zh) 承载装置及工艺腔室
JP2008147420A (ja) 基板処理装置
TW202407748A (zh) 基板支持組件、基板支持體、基板處理裝置、及基板處理方法
TW202301411A (zh) 將處理環境擴展到基板直徑之外的基板邊緣環