TW201343957A - 具有環繞噴幕氣簾的氣體處理裝置 - Google Patents

具有環繞噴幕氣簾的氣體處理裝置 Download PDF

Info

Publication number
TW201343957A
TW201343957A TW102112615A TW102112615A TW201343957A TW 201343957 A TW201343957 A TW 201343957A TW 102112615 A TW102112615 A TW 102112615A TW 102112615 A TW102112615 A TW 102112615A TW 201343957 A TW201343957 A TW 201343957A
Authority
TW
Taiwan
Prior art keywords
gas
passage
heat exchange
exchange fluid
passages
Prior art date
Application number
TW102112615A
Other languages
English (en)
Other versions
TWI504776B (zh
Inventor
Jui-Sheng Cheng
Tsung-Hsun Han
Original Assignee
Hermes Epitek Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hermes Epitek Corp filed Critical Hermes Epitek Corp
Publication of TW201343957A publication Critical patent/TW201343957A/zh
Application granted granted Critical
Publication of TWI504776B publication Critical patent/TWI504776B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明揭露一種氣體處理裝置。氣體處理裝置包含一具有一外圍循環氣體通道的外圍環狀氣體噴灑部分、一上方氣體噴灑部分、一下方氣體噴灑部分及一位於外圍環狀氣體噴灑部分及上方氣體噴灑部分之上的蓋板。上方氣體噴灑部分包含一第一氣源通道、複數個第一氣體通道及複數個第一熱交換流體通道,每一第一氣體通道係與第一熱交換流體通道交插排列。下方氣體噴灑部分包含一第二氣源通道、複數個第二氣體通道、第一氣體通道及複數個第二熱交換流體通道,其中第二氣源通道係位於第一熱交換流體通道之下及第二熱交換流體通道之上,每一第二氣體通道與每一第二熱交換流體通道交錯排列,且每一第二氣體通道圍繞每一第一氣體通道。

Description

具有環繞噴幕氣簾的氣體處理裝置
本發明係有關於一種氣體處理裝置,特別是有關於一種具有環繞噴幕氣簾的氣體處理裝置。
半導體製程中的薄膜沉積製程例如或化學氣相沉積製程係在具有噴氣頭(showerhead)的反應室進行。半導體晶圓係置於一具有加熱功能的晶圓載台,而噴氣頭則噴淋製程所需的反應氣體進入反應室內以及晶圓載台上的半導體晶圓之上。當例如含有欲沉積材料之前驅物氣體的反應氣體透過噴氣頭噴淋至半導體晶圓上,反應室內進行一化學反應,因此形成薄膜於半導體晶圓上。在化學反應過程中,反應室內必須維持高溫以進行化學反應。
噴氣頭通常具有氣體噴頭以將前驅物氣體導向反應室內供半導體晶圓進行製程的晶圓載台。在理想的情況下,前驅物氣體被導向晶圓載台使得前驅物氣體儘量接近晶圓並且儘量在半導體晶圓上分布均勻。
在許多有機金屬化學氣相沉積製程中,例如包含金屬有機物及氫化物(例如氨或砷化氫)前驅物氣體組合透過噴氣頭導入反應室內。促進製程的載送氣體,例如惰性氣體的氬氣或氦氣亦透過噴氣頭導入反應室內。前驅物氣體於反應室內混合並反應形成一薄膜位於反應室內的半導體晶圓上。載送氣體一般有助於維持晶圓載台上的氣體層流。
不過現有噴氣頭卻有許多源自於氣體通道設計會造成干擾影響製程效率或沉積均勻度等的問題。舉例來說,現有噴氣頭的氣體噴灑可能造成反應室內一些缺乏來自噴氣頭噴氣口至半導體晶圓的有效氣流的空間,導致氣體的不均勻分布。氣體的不均勻分布可能造成不想要的沉積或不均勻的沉積。這些不想要的沉積會消耗反應物以及降低效率而不均勻的沉積將進一步減少製程的產出率。因此許多現有系統要求反應器必須頻繁洗滌,將進一步減低產能。
由於反應室內必須維持高溫以進行化學反應,均勻且有效冷卻通道設計對於維持反應器的效率、產能及生產力而言十分重要。一些現有噴氣頭也有因冷卻設計造成的操作效率或沉積均勻的問題。由於無效率的冷卻設計,噴氣頭上濃縮物的形成及氣相微粒之形成與不想要的前驅物的反應產物會對沉積在半導體晶圓上的薄膜成分產生不利的影響。在美國專利申請案US20070163440中,可分離提供二種不同氣體但無冷卻設計的氣體分離形式噴氣頭可能造成反應及不需要的沉積發生在噴氣口並形成氣流的障礙物。在美國專利US7976631中,噴氣頭的每一熱交換通道的排列僅鄰近於二相鄰氣體通道的一側,使得此種冷卻設計明顯不能提供均勻的熱交換。在美國專利申請案US20090095222中,噴氣頭的氣體混合通道及熱交換通道均為螺旋通道,其中氣體混合通道鄰近於熱交換通道。熱交換通道也僅位於兩相鄰氣體通道的一側,而此種無效率的冷卻設計將造成噴氣頭上濃縮物及氣相微粒之形成。
因此,需要一種可提供均勻薄膜沉積及熱交換效能的改良沉積裝置及製程。
本發明的ㄧ實施例提出一種沉積系統,此沉積系統包含一包圍一製程空間的反應室、一氣體輸送裝置及一氣體處理裝置。氣體處理裝置包含一具有一外圍循環氣體通道的外圍環狀氣體噴灑部分、一上方氣體噴灑部分、一下方氣體噴灑部分及一位於外圍環狀氣體噴灑部分及上方氣體噴灑部分之上的蓋板。上方氣體噴灑部分包含一第一氣源通道、複數個第一氣體通道及複數個第一熱交換流體通道,其中第一氣源通道位於第一熱交換流體通道之上,第一氣源通道連接氣體輸送裝置,第一熱交換流體通道在上方氣體噴灑部分內彼此大致平行排列,每一第一氣體通道係與第一熱交換流體通道交插排列,第一氣體通道連接第一氣源通道至反應室之製程空間。下方氣體噴灑部分包含一第二氣源通道、複數個第二氣體通道、第一氣體通道及複數個第二熱交換流體通道,其中第二氣源通道係位於第一熱交換流體通道之下及第二熱交換流體通道之上,第二氣源通道連接氣體輸送裝置,第二熱交換流體通道在下方氣體噴灑部分中彼此大致平行排列,每一第二氣體通道圍繞每一第一氣體通道且均與每一第二熱交換流體通道交錯排列,第二氣體通道連接第二氣源通道至製程空間。
本發明的另ㄧ實施例提出一種氣體處理裝置。氣體處理裝置包含一具有一外圍循環氣體通道的外圍環狀氣體噴灑部分、一包含一第一氣源通道、複數個第一氣體通道及複數個第一熱交換流體通道的上方氣體噴灑部分、一包含一第二氣源通道、複數個第二氣體通道、該第一氣體通道及複數個第二熱交換流體通道的下方氣體噴灑部分以及一位於外圍環狀氣體噴灑部分及上方氣體噴灑部分之上的蓋板。第一氣源通道位於第一熱交換流體通道之上,第一氣源通道連接氣體輸送裝置,第一熱交換流體通道在上方氣體噴灑部分內彼此大致平行排列,每一第一氣體通道係與第一熱交換流體通道交插排列。第二氣源通道係位於第一熱交換流體通道之下及第二熱交換流體通道之上,第二氣源通道連接氣體輸送裝置,第二熱交換流體通道在下方氣體噴灑部分中彼此大致平行排列,每一第二氣體通道圍繞每一第一氣體通道且均與每一第二熱交換流體通道交錯排列,第二氣體通道連接第二氣源通道。
以下將完成針對本發明的特定實施例參考內容。本發明的一些實施例將詳細描述如下。這些實施例的範例係伴隨著圖式以進行說明。然而,除了如下描述外,本發明還可以廣泛地在其他的實施例施行,且本發明的範圍並不受實施例之限定。相反地,本發明的範圍實包含符合本發明實施例的替換、修改及等效實施例並以之後的本發明的申請專利範圍為準。在以下的說明敘述中,提出的許多特定細節以使本發明能更被透徹了解。但本發明仍可在沒有部分或全部特定細節的情況下實施。此外習知的製程步驟及元件在此並不詳細描述以避免不必要混淆本發明焦點。
本發明之一實施例提供一種沉積系統,其中具有ㄧ具有環繞噴幕氣簾的氣體處理裝置。此沉積系統包含一包圍一製程空間的反應室、一氣體輸送裝置及一具有環繞噴幕氣簾的氣體處理裝置,此沉積系統可用於有機金屬化學氣相的薄膜沉積製程。具有環繞噴幕氣簾的氣體處理裝置係設置於製程空間之上或一端,而一基板載台則設置於製程空間之下或另一端。基板載台係用於承載至少一置於其上以進行製程的基板。典型載入沉積系統以進行製程的基板包含矽晶圓、藍寶石基板、碳化矽基板或氮化鎵或三五族半導體基板等。必須了解的是其他種類的基板,例如玻璃基板亦可載入沉積系統以進行製程。必須了解的是任何包圍一製程空間的反應室及氣體輸送裝置的設計均可用於沉積系統,因此在此處將不會特別描述兩者之特定的實例。沉積系統可進一步包含其他對於本領域具一般技藝者而言係顯而易見的所需的元件。不過與具有環繞噴幕氣簾的氣體處理裝置相關的元件將於以下的敘述中提及。
第一圖顯示本發明一實施例之沉積系統的一具有環繞噴幕氣簾的氣體處理裝置的截面圖。具有環繞噴幕氣簾的氣體處理裝置在薄膜沉積製程中係設置於基板上方。在一實施例中,具有環繞噴幕氣簾的氣體處理裝置包含一外圍環狀氣體噴灑部分100、一上方氣體噴灑部分 200、一下方氣體噴灑部分 300及一蓋板 400。外圍環狀氣體噴灑部分100 包含外圍循環氣體通道 101。上方氣體噴灑部分200包含一第一氣源通道204、複數個第一氣體通道201及複數個第一熱交換流體通道202。下方氣體噴灑部分300包含一第二氣源通道304、複數個第二氣體通道 301、第一氣體通道201及複數個第二熱交換流體通道302。
第一氣源通道204 位於第一熱交換流體通道202 上方及蓋板 400下方。第一氣源通道204自氣體輸送裝置接收第一氣體。在此實施例中,第一熱交換流體通道 202的排列為彼此平行。這種第一熱交換流體通道 202的平行安排僅為一範例,不是限制。第一氣體通道 201 也彼此平行排列。第一氣體通道201 亦可以其他方式排列。每一第一氣體通道 201係與第一熱交換流體通道202交插排列,第一熱交換流體通道 202在上方氣體噴灑部分200內則彼此大致平行排列,使得流過第一熱交換流體通道 202 的流體可均勻調節上方氣體噴灑部分200的溫度。第一氣體通道 201 連接第一氣源通道204至基板載台上方的反應室製程空間,使得第一氣體或其他氣體可以從第一氣源通道 204流至製程空間。在一實施例中,熱交換流體包含水,而在第一氣體通道 201 之間交插排列的第一熱交換流體通道 202可以提供均勻且有效的溫度控制或冷卻效果。
第二氣源通道 304 係位於第一熱交換流體通道202之下及第二熱交換流體通道 302之上。第二氣源通道 304 自氣體輸送裝置接收第二氣體。在此實施例中,第二熱交換流體通道302為彼此平行排列。這種第二熱交換流體通道 302 的平行排列僅為範例,並非限制。第二氣體通道301也是彼此平行排列。第二氣體通道 301亦可以其他方式排列。每一第二氣體通道301圍繞每一第一氣源通道 201在下方氣體噴灑部分 300中的部份。第二熱交換流體通道302 在下方氣體噴灑部分 300中彼此大致平行排列。每一第二氣體通道 301與每一第一氣體道 201與每一第二熱交換流體通道302交錯排列,使得流過第二熱交換流體通道 302的流體可均勻調節下方氣體噴灑部分 300的溫度。第二氣體通道 301連接第二氣源通道 304至基板載台上方的反應室製程空間,使得第二氣體或其他氣體可以從第二氣源通道 304流至製程空間。在一實施例中,熱量交換流體包含水,而在第二氣體通道 301之間交插排列的第二熱交換流體通道 302可以提供均勻且有效的溫度控制或冷卻效果從而防止濃縮物或氣相微粒之形成。
氣體輸送裝置包含多個氣體源,取決於所進行的製程。然後,氣體可在輸送至反應室之前與載送氣體混合。不同的氣體,例如前驅氣體,載送氣體或其他氣體可由氣體輸送裝置透過輸送管線供應至氣體處理裝置。輸送管線可包含控制閥與流量控制器或其他類型的控制器以監測並調節在每一管線中的氣體流量。
一熱交換流體或水流過第一熱交換流體通道 202及第二熱交換流體通道302以調節氣體處理裝置的溫度。熱交換流體可循環通過一換熱器以根據需要控制熱交換流體的溫度,以維持氣體處理裝置保持在所需的溫度範圍內。
第一A圖為第一圖所示本發明一實施例之氣體處理裝置的細部截面圖。如第一A圖所示,每ㄧ第一熱交換流體通道 202係位於每ㄧ第二熱交換流體通道 302之上,其中在上方氣體噴灑部分200中第一氣體通道 201與第二氣體通道 301係位於二相鄰第一熱交換流體通道 202之間,在下方氣體噴灑部分 300中第一氣體通道 201與第二氣體通道 301係位於二相鄰第二熱交換流體通道 302之間。每一第一氣體通道 201位於上方氣體噴灑部分200下方的部份係由每一第二氣體通道 301包圍或環繞。
第一B圖為第一圖所示本發明另一實施例之氣體處理裝置的細部截面圖。如第一B圖所示,當氣體輸送裝置供應至氣體氣體處理裝置,外圍環狀氣體噴灑部分 100的外圍循環氣體通道101係以環狀形式圍繞第一氣體通道 201與第二氣體通道 301的多重組合以提供環狀氣幕,此環狀氣幕環繞所有組合氣幕,每一組合氣幕包含由一第二氣幕圍繞的第一氣幕。在一實施例中,外圍環狀氣體噴灑部分 100連接氣體輸送裝置,使得來自氣體輸送裝置的洗滌 氣體可流過外圍循環氣體通道 101。洗滌 氣體包含惰性氣體。自氣體輸送裝置具流入外圍循環氣體通道 101並向下朝位於製程空間中氣體處理裝置下的基板流動。
第一C圖為第一圖中沿C-C線的部份截面圖。在第一C圖中,明確顯示每ㄧ第一氣體通道 201由每ㄧ第二氣體通道 301圍繞或包圍的特徵。第一C圖同時顯示由第一氣體通道 201與圍繞的每ㄧ第二氣體通道 301構成的每ㄧ組合為彼此平行排列。不過此平行的排列僅為一範例並非限制。此外,兩個側向相鄰由第一氣體通道 201與圍繞的第二氣體通道 301構成的組合之間的距離可設計為有利於氣體處理裝置的溫度調節。同樣地,兩個縱向相鄰由第一氣體通道 201與圍繞的第二氣體通道301構成的組合之間的距離亦可設計為有利於氣體處理裝置的溫度調節。由於實際上C-C線並非取自氣體處理裝置中,第一C圖實際上是氣體處理裝置的底部視圖。
第一D圖為第一圖中沿D-D線的部份截面圖。由於D-D線是位於第二氣源通道304 上及上方氣體噴灑部分200之下,因此只顯示第一氣體通道 201。此第一氣體通道201 的排列方式的實施例在只是一個範例,並非限制。此外,兩個側向相鄰由第一氣體通道 201之間的距離可設計為有利於氣體處理裝置的溫度調節。同樣地,兩個線性縱向相鄰第一氣體通道 201之間的距離亦可設計為有利於氣體處理裝置的溫度調節。
第一E圖與第一F圖分別顯示兩個第一氣體通道與第二氣體通道的實施例。在第一E圖中,第一氣體通道201與第二氣體通道301均為具有圓弧化兩端的狹縫形狀。第二氣體通道 301 的狹縫圍繞第一氣體通道201的狹縫。每一第一氣體通道201與第二氣體通道 301係由一分隔牆分隔。分隔牆的厚度可設計為有利於氣體處理裝置的溫度調節。在第一F圖中,只有第二氣體通道301 為具有圓弧化兩端的狹縫形狀,而第一氣體通道201包含複數個噴氣出口。第二氣體通道 301的狹縫包圍第一氣體通道201的噴氣出口。
第一G圖為第一圖中沿G-G線的截面圖。在第一G圖中,顯示複數個由第一氣體通道201與圍繞的第二氣體通道 301構成的組合。每ㄧ由第一氣體通道 201與圍繞的第二氣體通道301構成的組合係彼此平行。如以上所述,此種排列方式僅為範例,並非限制。此外,兩個側向相鄰由第一氣體通道 201與圍繞的第二氣體通道 301構成的組合之間的距離可根據氣體處理裝置的設計需求調整。兩個縱向相鄰由第一氣體通道 201與圍繞的第二氣體通道301構成的組合之間的距離亦可為了滿足氣體處理裝置的設計需求而調整。
本發明的氣體處理裝置包含不同氣體噴灑部分,每個氣體噴灑部分均具有熱交換流體通道,以提供均勻且有效率的溫度控制或冷卻效果因此可防止濃縮物或氣相微粒在氣體處理裝置內形成。反應氣體以氣幕方式噴灑以提高薄膜沉積的品質與效率。多重氣幕係由一外部環狀氣幕圍繞以實現外部環狀氣幕內穩定的氣體流動。
雖然已描述本發明之特定實施例,熟悉此技藝之人士均可明瞭仍有其他實施例與上述特定實施例等效。因此,必須理解的是本發明不受限於上述特定實施例,本發明之範圍係由以下之申請專利範圍界定。
100...外圍環狀氣體噴灑部分
101...外圍循環氣體通道
200...上方氣體噴灑部分
201...第一氣體通道
202...第一熱交換流體通道
204...第一氣源通道
300...下方氣體噴灑部分
301...第二氣體通道
302...第二熱交換流體通道
304...第二氣源通道
400...蓋板
本發明上述的特徵可藉由更詳細的描述、前述的簡單說明以及參照實施例說明而得到更深入的了解,實施例均伴隨所附圖式。值得注意的是,儘管所附圖式僅顯示本發明典型的實施例,但並不限制本發明的範圍,而本發明包含其他等效實施例。第一圖顯示本發明一實施例之沉積系統的一具有環繞噴幕氣簾的氣體處理裝置的截面圖。第一A圖為第一圖所示本發明一實施例之氣體處理裝置的細部截面圖。第一B圖為第一圖所示本發明另一實施例之氣體處理裝置的細部截面圖。第一C圖為第一圖中沿C-C線的部份截面圖。第一D圖為第一圖中沿D-D線的部份截面圖。第一E圖與第一F圖分別顯示兩個第一氣體通道與第二氣體通道的實施例。 第一G圖為第一圖中沿G-G線的截面圖。為了有助於了解,使用了參考符號標示圖示中的元件。一實施例的特徵及元件可應用於其他實施例中而無須進一步的描述。
100...外圍環狀氣體噴灑部分
101...外圍循環氣體通道
200...上方氣體噴灑部分
201...第一氣體通道
202...第一熱交換流體通道
204...第一氣源通道
300...下方氣體噴灑部分
301...第二氣體通道
302...第二熱交換流體通道
304...第二氣源通道
400...蓋板

Claims (11)

  1. 一種沉積系統,包含: 一包圍一製程空間的反應室;一氣體輸送裝置;及一氣體處理裝置,包含一外圍環狀氣體噴灑部分,包含一外圍循環氣體通道;一上方氣體噴灑部分,包含一第一氣源通道、複數個第一氣體通道及複數個第一熱交換流體通道,其中該第一氣源通道位於該第一熱交換流體通道之上,該第一氣源通道連接該氣體輸送裝置,該第一熱交換流體通道在該上方氣體噴灑部分內彼此大致平行排列,每一該第一氣體通道係與該第一熱交換流體通道交插排列,該第一氣體通道連接該第一氣源通道至該反應室之該製程空間;一下方氣體噴灑部分,包含一第二氣源通道、複數個第二氣體通道、該第一氣體通道及複數個第二熱交換流體通道,其中該第二氣源通道係位於該第一熱交換流體通道之下及該第二熱交換流體通道之上,該第二氣源通道連接該氣體輸送裝置,該第二熱交換流體通道在該下方氣體噴灑部分中彼此大致平行排列,每一該第二氣體通道圍繞每一該第一氣體通道且均與每一該第二熱交換流體通道交錯排列,該第二氣體通道連接該第二氣源通道至該製程空間;及一蓋板,位於該外圍環狀氣體噴灑部分及該上方氣體噴灑部分之上。
  2. 如申請專利範圍第1項所述之沉積系統,其中該沉積系統包含一金屬有機化學氣相沉積系統。如申請專利範圍第1項所述之方法,其中該電磁式輸入裝置包含一數位板或一行動通訊裝置之一電磁式輸入模組。
  3. 如申請專利範圍第1項所述之沉積系統,更包含一設置於該製程空間之一端的基板載台。
  4. 如申請專利範圍第1項所述之沉積系統,其中該第一氣體通道與該第二氣體通道具有一狹縫形狀,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該狹縫。
  5. 如申請專利範圍第1項所述之沉積系統,其中該第二氣體通道具有一狹縫形狀而該第一氣體通道包含複數個噴氣出口,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該噴氣出口。
  6. 一氣體處理裝置,包含一外圍環狀氣體噴灑部分,包含一外圍循環氣體通道;一上方氣體噴灑部分,包含一第一氣源通道、複數個第一氣體通道及複數個第一熱交換流體通道,其中該第一氣源通道位於該第一熱交換流體通道之上,該第一氣源通道連接該氣體輸送裝置,該第一熱交換流體通道在該上方氣體噴灑部分內彼此大致平行排列,每一該第一氣體通道係與該第一熱交換流體通道交插排列;一下方氣體噴灑部分,包含一第二氣源通道、複數個第二氣體通道、該第一氣體通道及複數個第二熱交換流體通道,其中該第二氣源通道係位於該第一熱交換流體通道之下及該第二熱交換流體通道之上,該第二氣源通道連接該氣體輸送裝置,該第二熱交換流體通道在該下方氣體噴灑部分中彼此大致平行排列,每一該第二氣體通道圍繞每一該第一氣體通道且均與每一該第二熱交換流體通道交錯排列,該第二氣體通道連接該第二氣源通道;及一蓋板,位於該外圍環狀氣體噴灑部分及該上方氣體噴灑部分之上。
  7. 如申請專利範圍第6項所述之氣體處理裝置,其中該第一氣體通道與該第二氣體通道具有一狹縫形狀,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該狹縫。
  8. 如申請專利範圍第6項所述之氣體處理裝置,其中該第二氣體通道具有一狹縫形狀而該第一氣體通道包含複數個噴氣出口,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該噴氣出口。
  9. 一種沉積系統,包含: 一包圍一製程空間的反應室;一位於該製程空間之一端的基板載台一氣體輸送裝置;及一氣體處理裝置,包含一包含一外圍循環氣體通道之外圍環狀氣體噴灑部分、一上方氣體噴灑部分、一下方氣體噴灑部分及一位於該外圍環狀氣體噴灑部分及該上方氣體噴灑部分之上的蓋板,其中該上方氣體噴灑部分包含複數個第一氣體通道及複數個第一熱交換流體通道,該第一熱交換流體通道在該上方氣體噴灑部分內彼此大致平行排列,每一該第一氣體通道係與該第一熱交換流體通道交插排列,該下方氣體噴灑部分包含複數個第二氣體通道、該第一氣體通道及複數個第二熱交換流體通道,其中該第二熱交換流體通道在該下方氣體噴灑部分中彼此大致平行排列,每一該第二氣體通道圍繞每一該第一氣源通道且均與每一該第二熱交換流體通道交錯排列。
  10. 如申請專利範圍第9項所述之沉積系統,其中該第一氣體通道與該第二氣體通道具有一狹縫形狀,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該狹縫。
  11. 如申請專利範圍第9項所述之沉積系統,其中該第二氣體通道具有一狹縫形狀而該第一氣體通道包含複數個噴氣出口,該狹縫形狀具有圓弧化兩端,該第二氣體通道的該狹縫圍繞該第一氣體通道的該噴氣出口。
TW102112615A 2012-04-16 2013-04-10 具有環繞噴幕氣簾的氣體處理裝置 TWI504776B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/448,239 US20130269612A1 (en) 2012-04-16 2012-04-16 Gas Treatment Apparatus with Surrounding Spray Curtains

Publications (2)

Publication Number Publication Date
TW201343957A true TW201343957A (zh) 2013-11-01
TWI504776B TWI504776B (zh) 2015-10-21

Family

ID=49323925

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102112615A TWI504776B (zh) 2012-04-16 2013-04-10 具有環繞噴幕氣簾的氣體處理裝置

Country Status (2)

Country Link
US (1) US20130269612A1 (zh)
TW (1) TWI504776B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106679209A (zh) * 2015-11-10 2017-05-17 丹佛斯微通道换热器(嘉兴)有限公司 制冷系统

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11189502B2 (en) 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN211479989U (zh) * 2019-03-15 2020-09-11 凯斯科技股份有限公司 基板处理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH640571A5 (fr) * 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2001064777A (ja) * 1999-08-30 2001-03-13 Ebara Corp ガス噴射ヘッド
JP2002176026A (ja) * 2000-12-05 2002-06-21 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
TWI225896B (en) * 2002-02-27 2005-01-01 Winbond Electronics Corp Chemical gas deposition process and dry etching process and apparatus of same
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
WO2006081234A2 (en) * 2005-01-27 2006-08-03 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
TWM421583U (en) * 2011-07-05 2012-01-21 Epistar Corp Thin film deposition apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106679209A (zh) * 2015-11-10 2017-05-17 丹佛斯微通道换热器(嘉兴)有限公司 制冷系统

Also Published As

Publication number Publication date
US20130269612A1 (en) 2013-10-17
TWI504776B (zh) 2015-10-21

Similar Documents

Publication Publication Date Title
TWI504776B (zh) 具有環繞噴幕氣簾的氣體處理裝置
TWI502095B (zh) 氣體處理裝置與沉積系統
CN105839077B (zh) 用于沉积iii-v主族半导体层的方法和装置
TWI589359B (zh) Gas shower, chemical vapor deposition apparatus and method
US20180230595A1 (en) Vapor phase film-forming apparatus
US9449859B2 (en) Multi-gas centrally cooled showerhead design
TWI582263B (zh) 氣體輸送系統與氣體輸送系統的使用方法
CN110846638A (zh) 可变传导性气体分布装置和方法
TW201514337A (zh) 具有氣封之化學沉積室
TWI515330B (zh) 具有熱交換通道的氣體噴淋裝置
TWI391519B (zh) 汽相沉積裝置及汽相沉積方法
CN102485953A (zh) 托盘装置及结晶膜生长设备
WO2014198134A1 (zh) 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
JP2004514642A (ja) 特に結晶質皮膜を沈積する方法ならびにその方法を実施する装置
JP2010238831A (ja) 気相成長装置及び気相成長方法
KR100795487B1 (ko) 층류유동제어장치 및 이를 구비한 화학기상증착반응기
JP2013026358A (ja) シャワープレート及び気相成長装置
JP2012009752A (ja) 気相成長装置、及びガス吐出装置
JP4287918B2 (ja) 基板表面処理装置
US20070289535A1 (en) Substrate Surface Treating Apparatus
JPS59159980A (ja) 気相成長装置
JP2011086887A (ja) エピタキシャル成長装置
TW201623681A (zh) 氣體噴淋頭及沉積裝置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees