TW201342563A - 背面塊狀矽微機電 - Google Patents

背面塊狀矽微機電 Download PDF

Info

Publication number
TW201342563A
TW201342563A TW101149601A TW101149601A TW201342563A TW 201342563 A TW201342563 A TW 201342563A TW 101149601 A TW101149601 A TW 101149601A TW 101149601 A TW101149601 A TW 101149601A TW 201342563 A TW201342563 A TW 201342563A
Authority
TW
Taiwan
Prior art keywords
substrate
lmi
layer
electrically coupled
tsv
Prior art date
Application number
TW101149601A
Other languages
English (en)
Other versions
TWI517339B (zh
Inventor
Rajashree Baskaran
Christopher M Pelto
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201342563A publication Critical patent/TW201342563A/zh
Application granted granted Critical
Publication of TWI517339B publication Critical patent/TWI517339B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • B81B3/0021Transducers for transforming electrical into mechanical energy or vice versa
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/84Types of semiconductor device ; Multistep manufacturing processes therefor controllable by variation of applied mechanical force, e.g. of pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0757Topology for facilitating the monolithic integration
    • B81C2203/0771Stacking the electronic processing unit and the micromechanical structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一積體電路裝置,包括單一半導體基板;裝置層,形成在該單一半導體基板的前面上;再分配層,形成在該單一半導體基板之背面上;穿透矽通孔(TSV),形成在被電耦接至該裝置層及該再分配層之單一半導體基板內;邏輯記憶體介面(LMI),形成在被電耦接至該再分配層的單一半導體基板之背面上;及MEMS裝置,形成在被電耦接至該再分配層的單一半導體基板之背面上。

Description

背面塊狀矽微機電
本發明係關於背面塊狀矽微機電。
如於該技藝中所熟知,積體電路(IC)晶粒、亦稱為IC晶片典型含有一形成在塊狀矽基板上之主動裝置層及一形成在該主動裝置層上之金屬化層。該主動裝置層含有使用大量電晶體所形成之主動電路系統。該主動電路系統可為譬如用於IC晶片之邏輯電路系統,該IC晶片被用作處理器。該金屬化層係使用數層隔離金屬線所形成,該等金屬線互連該主動裝置層中之電晶體。這些金屬線大致上被稱為金屬互連部。鈍化層大致上係形成在該等金屬互連部之上,且銅凸塊被形成在該鈍化層頂上,並將該金屬互連部耦接至外部裝置。該等銅凸塊通常為控制崩潰晶片接合(C4凸塊)。
與該C4凸塊相反,該積體電路晶粒之背面表面大致上不被用於任何功能性目的。於一些實施例中,該背面表面可使用化學機械拋光處理被拋光,以移去該塊狀矽基板材料的一部份,且藉此減少該IC晶粒之厚度。除此之外,該IC晶粒之背面大致上保持不使用的。
在此中所敘述者係在傳統IC晶片上形成背面裝置的 系統及方法,該IC晶片被形成在塊狀矽基板上。於以下之敘述中,該等說明措施之各種態樣將使用一般藉由那些熟諳該技藝者所採用之術語被敘述,以傳達其作品之本質至其他熟諳該技藝者。然而,對於那些熟諳該技藝者將變得明顯的是本發明可被以僅只部份所敘述之態樣所實踐。用於說明之目的,特定之數目、材料及組構被提出,以便提供該等說明性措施之完全理解。然而,對於那些熟諳該技藝者將變得明顯的是本發明可沒有該等特定細節地被實踐。於其他情況中,熟知之特色被省略或簡化,以便不會使該等說明性措施模糊不清。
各種操作將被敘述為多數離散的操作,依序,以最有助於了解本發明之方式,然而,敘述之順序將不被解釋為隱含這些操作係需要順序相依的。尤其,這些操作不須在呈現之順序中被執行。
本發明之措施可在圖1所示基板、諸如半導體基板100上被形成或進行。於一措施中,該半導體基板100可為使用塊狀矽或絕緣體上矽子結構所形成之晶質基板。於其他措施中,該半導體基板100可使用交替材料被形成,該材料可或不能被與矽結合,且包含、但不被限制於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。被分類為三五族或四族材料之進一步材料亦可被使用於形成該基板。雖然可形成該基板的一些材料之範例在此被敘述,可具有一能在其上製成半導體裝置的基礎之作用的任何材料落在本發明之精神及範圍內。
應注意的是該半導體基板100最初係半導體晶圓的一部份,其係在一些點切單成一分開之積體電路晶粒。當該半導體基板100仍然為該半導體晶圓的一部份時,在此中所包含之製程可被施行,或它們可在該晶圓已被切成小方塊及該半導體基板100被切單成一分開的積體電路晶粒之後被施行。於任一案例中,該積體電路晶粒可接著被耦接至其他基板、諸如記憶體模組基板,當作系統上晶片(SOC)裝置。
如在圖1所示,裝置層102被形成在該半導體基板100的前面104上。該裝置層102包括複數電晶體,諸如金屬氧化物半導體場效電晶體(MOSFET或僅只MOS電晶體),其可被直接地製造在該基板上。於本發明之各種措施中,該等MOS電晶體可為平面式電晶體、非平面式電晶體、或兩者之組合。非平面式電晶體包含雙閘極電晶體、三閘極電晶體、及環繞閘極電晶體,一些該等電晶體通常被稱為FinFET電晶體。
每一MOS電晶體包含由至少二層所形成之閘極堆疊,即一閘極介電層及一閘極電極層。該閘極介電層可為由諸如二氧化矽(SiO2)之材料或高k值材料所形成。可被使用於該閘極介電層的高k值材料之範例包含、但不被限制於氧化鉿、鉿矽氧化物、氧化鑭、氧化鑭鋁、氧化鋯、鋯矽氧化物、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。於一些實施例中,當高k值材料被使用時,退火製程 可在該閘極介電層上被進行,以改善其品質。
該閘極電極層被形成在該閘極介電層上,並可包括至少一P型功函數金屬或N型功函數金屬,視該電晶體是否將為PMOS或NMOS電晶體而定。於一些措施中,該閘極電極層可包括二或更多金屬層,在此至少一金屬層係功函數金屬層,且至少一金屬層係填充金屬層。
用於PMOS電晶體,可被使用於該閘極電極的金屬包含、但不被限制於釕、鈀、鉑、鈷、鎳、及傳導性金屬氧化物,例如氧化釕。P型金屬層將能夠形成具有於約4.9eV及約5.2eV間之功函數的PMOS閘極電極。用於NMOS電晶體,可被使用於該閘極電極的金屬包含、但不被限制於鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬的碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將能夠形成具有於約3.9eV及約4.2eV間之功函數的NMOS閘極電極。
於本發明之措施中,一對間隔體分類該閘極堆疊。該等間隔體可為由一材料所形成,諸如氮化矽、氧化矽、碳化矽、摻雜以碳之氮化矽、及氮氧化矽。用於形成間隔體之製程係於該技藝中熟知的,且大致上包含沈積及蝕刻製程步驟。
如於該技藝中早已熟知,源極及汲極區域被形成在毗連每一MOS電晶體之閘極堆疊的基板內。該源極及汲極區域大致上係使用植入/擴散製程或蝕刻/沈積製程所形成。於該先前之製程中,諸如硼、鋁、銻、磷、或砷之摻 雜劑可被離子植入該基板,以形成該源極及汲極區域。該離子植入製程之後典型為一退火製程,其作動該摻雜劑及造成它們進一步擴散進入該基板。於該退火製程中,該基板可首先被蝕刻,以在該等源極及汲極區域之位置形成凹部。外延的沈積製程可接著被進行,以用諸如矽鍺或碳化矽之矽合金填充該等凹部,藉此形成該源極及汲極區域。於一些措施中,該外延沈積的矽合金可原位被摻雜以諸如硼、砷、或磷之摻雜劑。於進一步措施中,交替之材料可被沈積進入該等凹部,以形成該源極及汲極區域,諸如鍺或三四族材料或合金。
一或多個層間介電體(ILD)被沈積在該等MOS電晶體之上。該等ILD層可使用對於其在積體電路結構中之適用性已知的介電材料、諸如低k值介電材料而被形成。可被使用的介電材料之範例包含、但不被限制於二氧化矽(SiO2)、摻雜碳之氧化物(CDO)、氮化矽、諸如八氟環丁烷或聚四氟乙烯之有機聚合物、氟矽酸玻璃(FSG)、及諸如矽倍半氧烷、矽氧烷之有機矽酸鹽、或有機矽酸鹽玻璃。該等ILD層可包含細孔或其他空隙,以進一步減少其介電常數。
裝置層102另包括金屬化層,其電互連該裝置層102中之各種電晶體。可有數個金屬化之層,使每一層典型包含金屬互連部、金屬通孔、及隔離的層間介電體(ILD)材料。該等金屬互連部可包括由諸如銅、銅合金、銀、碳奈米管、以及其他導電材料的材料所形成之金屬線。該等 金屬互連部亦可包含障礙及/或黏附層,其被形成於該等金屬線及該周圍ILD之間。該等障礙及黏附層典型使用諸如鉭、鈦、氮化鉭、及氮化鈦之材料所形成。
本發明之措施提供一加工流程及結果的結構,其將至少一微機電系統、被稱為MEMS裝置併入至半導體基板之背面,該半導體基板在其前面上具有一裝置層102。MEMS技術大致上意指藉由電力所驅動之極小或小型化機械及機電裝置。MEMS裝置係使用微製造技術所製成。MEMS亦可意指微機械或微系統技術。MEMS裝置可包含數個零組件,其與外面互相作用,並可由沒有移動元件之相當簡單的結構變化至非常複雜之機電系統,該機電系統具有在整合式微電子裝置的控制之下的多數移動元件。MEMS裝置之型式包含、但不被限制於感測器、微感測器、共振器、作動器、微作動器、微電子裝置、及轉換器。圖1至16詳細地說明本發明的一措施之加工流程。
以圖1開始,該加工流程能以穿透矽通孔(TSV)之形成來開始,該TSV將該裝置層102互連至另一裝置、諸如記憶體模組基板(圖17A/B中所示),或互連至一MEMS裝置,其隨後被形成在該半導體基板100的背面106上。在完成該裝置層102的製造之後的TSV之形成係已知為“後通孔(via last)”。應注意的是於交替之措施中,該TSV可使用已知為“中間通孔(via middle)”者(亦即,該TSV係在該裝置層102的製造被開始之後、但在該裝置層102的製造係完成之前被形成)或使用已知為 “前通孔(via first)”者(亦即,該TSV係在該裝置層102的製造被開始之前被形成)而被形成。在此中所敘述之發明的加工流程係與該前通孔、中間通孔、或後通孔製程之任一者相容。
在此,該半導體基板100被顯示在其前面104上具有一裝置層102。該半導體基板100的背面106亦被顯示。該半導體基板100將在此中被稱為該基板100。雖然該基板100被顯示為包含一裝置層102,於交替之措施中,可為沒有任何裝置層102存在。一中介層基板係此一基板100之範例,在此裝置層102係不需要的。
硬罩幕層108被沈積至該基板100的背面106。該硬罩幕層108可使用以氮化物或氧化物為基礎之材料、諸如氮化矽、氧化矽、或氮氧化矽被形成。於本發明之交替措施中,交替之硬罩幕材料可被形成。用於硬罩幕層之沈積方法係在該技藝中習知。其次,光阻劑層110被沈積及佈圖,以於界定該TSV之光阻劑層110中產生一開口112。沈積及佈圖光阻劑層之方法在該技藝中亦被習知。
移至圖2,各向異性蝕刻製程被使用於在該基板100中形成一通孔開口200。該各向異性蝕刻製程向下鑽孔經過該光阻劑層110中之開口112,以抵達該裝置層102。該蝕刻製程可為濕式蝕刻製程或乾式蝕刻製程。於本發明之一措施中,使用SF6蝕刻化學性質的各向異性乾式蝕刻製程被使用於形成該通孔開口200。於另一措施中,該SF6乾式蝕刻可被伴隨以一聚合物鈍化步驟。一種此SF6 蝕刻+聚合物鈍化製程係已知為該“Bosch”蝕刻及使用CHF3鈍化聚合物。在該通孔開口200被形成之後,該光阻劑層110使用習知方法被移去。
圖3說明用於該通孔開口200的側壁襯裡300之形成。於本發明之措施中,該側壁襯裡300可包括氧化物、諸如二氧化矽,其係使用化學蒸氣沈積製程、諸如CVD、原子層沈積(ALD)沈積。這提供一保形的氧化物層。交替地,、諸如濺鍍之物理蒸氣沈積(PVD)製程可被使用。該側壁襯裡300最初被沈積為該襯裡材料之一保形層,其覆蓋該整個結構。此覆蓋層接著使用各向異性蝕刻製程被蝕刻,以移去在該硬罩幕層108頂上及沿著該通孔開口200的底部表面之襯裡材料。由該通孔開口200之底部移去該襯裡300能夠讓該隨後形成之TSV電接觸該裝置層102。該蝕刻製程可為濕式或乾式蝕刻製程,例如,乾式之以氟為基礎的各向異性蝕刻化學可被使用。該各向異性蝕刻製程產生圖3所示之側壁襯裡300。
圖4說明TSV 400之形成。該TSV 400可藉由最初沈積一種晶層、諸如銅種晶層402,且接著使用電鍍或無電鍍製程填充該通孔開口200而被形成,該製程將諸如銅、銅合金、鋁、鋁合金、或交替金屬之金屬沈積進入該通孔開口200,以填充該通孔開口及形成該TSV 400。化學機械拋光製程(CMP)接著被施行,以由該半導體基板100的背面106移去過多金屬。這大體上完成該TSV 400之形成。
翻至圖5及6,再分配層之形成被顯示。以圖5開始,層間介電體500被沈積及佈圖,以形成溝渠502,再分配線被形成在該等溝渠中。該等再分配線能夠決定由該TSV 400至隨後形成之電介面的路線,該電介面能夠有由晶片外至另一基板、諸如分開的記憶體裝置之通訊,該記憶體裝置稍後被耦接至該半導體基板100。這些電介面之型式包含、但不被限制於控制崩潰晶片接合(C4)、邏輯記憶體介面(LMI)、或其他類似連接。此電介面將在此中被稱為LMI介面,但應注意的是這涵括C4及其他型式之連接。交替地,該等再分配線能夠決定該TSV 400至稍後形成之MEMS裝置的路線。該ILD 500大致上包括諸如氧化物或氮化物、例如二氧化矽、氮化矽、或氮氧化矽的材料。傳統光微影製程被使用於佈圖該ILD 500。一旦該佈圖製程係完成,溝渠502被形成在能被使用於形成再分配線的ILD 500中。
現在翻至圖6,再分配線600之形成被顯示。該等再分配線600係使用傳統製程所形成,該等傳統製程包含障礙及或黏附層602之沈積,隨後有一金屬沈積製程、諸如電鍍或無電鍍,以填充該ILD 500中之溝渠502,並形成該等再分配線600。金屬。諸如銅、銅合金、鋁、鋁合金、銅鋁合金、或其他金屬可被用來形成該等再分配線600。由該ILD 500層頂上移去任何過多之金屬的CMP製程可跟隨在該金屬沈積製程之後。圖6顯示該被完成之再分配線600包含一被耦接至該TSV 400之再分配線600。
圖7說明邏輯記憶體介面(LMI)及MEMS裝置兩者之形成的第一階段。首先,鈍化層700被形成在該ILD 500之上。該鈍化層700可使用氧化物、諸如氧化矽或氮化物、諸如氮化矽、以及其他包含氮氧化矽的ILD材料被形成。該鈍化層700可使用熟知之沈積技術、諸如CVD、ALD、或PVD製程被沈積。該鈍化層700中之開口或空隙接著使用標準之光微影佈圖製程被形成。例如,光阻劑層702可被形成,且佈圖在該鈍化層700頂上。開口可被佈圖在該光阻劑層702中,諸如界定一隨後形成的MEMS裝置之空隙704、及界定一隨後形成的LMI凸塊之空隙706。用於形成該光阻劑層702中之空隙的技術係熟知的。使用該光阻劑層702及其空隙704/706當作一罩幕,該鈍化層700接著被各向異性地蝕刻。如在圖8中,這導致MEMS溝渠800及LMI溝渠802被形成於該鈍化層700中。圖8亦說明該光阻劑層702之移去。所使用之蝕刻製程較佳地係被設計來蝕刻氧化物,但停止在被暴露的任何再分配線600之銅或另一金屬表面。例如,該LMI溝渠802停止在坐在該TSV 400頂上的再分配線600之頂部表面。
現在翻至圖9,雖然該LMI溝渠802之蝕刻停止在該再分配線600,該MEMS溝渠800之蝕刻持續經過該ILD 500與進入該半導體基板,以便形成相當深之溝渠902,該等溝渠902被使用於形成該MEMS裝置900。於一措施中,被使用於形成該MEMS溝渠800之相同的蝕刻製程能 被使用。交替地,第二蝕刻製程可被採用,其係更好適合用於蝕刻穿過該半導體基板100之材料。例如,於一措施中,乾式各向異性蝕刻化學可被用來在該半導體基板100中形成該深的溝渠902。此乾式各向異性蝕刻可使用SF6蝕刻化學。於交替之措施中,使用SF6加上鈍化聚合物之乾式蝕刻化學可被使用。如上所述,使用CHF3鈍化聚合物之Bosch蝕刻可被採用。圖9說明被使用於形成該MEMS裝置900之深MEMS溝渠902。分開該二MEMS溝渠902之鰭片狀結構可被使用於隨後形成的懸臂904。
於本發明之一措施中,被使用於形成該LMI溝渠802及該等MEMS溝渠800之第一蝕刻製程、及被使用於形成該等深MEMS溝渠902的第二蝕刻製程兩者可為乾式各向異性蝕刻製程,且兩者可因此在相同之製程工具中被進行。
圖10說明一保形襯裡1000之沈積,該襯裡被形成在該深MEMS溝渠902內、環繞該懸臂904、在該鈍化層700頂上、及在該LMI溝渠802內。該保形的襯裡1000可使用諸如氧化矽之氧化物、諸如氮化矽之氮化物、或諸如氮氧化矽之另一材料所形成。該保形的襯裡1000可使用CVD或ALD製程被沈積。此保形的襯裡1000係該MEMS裝置製造製程的一部份。
圖11說明已知者為MEMS底部擊穿蝕刻。首先,各向異性蝕刻製程被使用於由該深MEMS溝渠902之底部移去該保形的襯裡1000。此蝕刻典型為被使用於氧化矽或氮 化矽之乾式各向異性蝕刻製程。此蝕刻由該LMI溝渠802之底部及該鈍化層700的頂部表面移去該保形的襯裡1000。該保形的襯裡1000保留在該深MEMS溝渠902之側壁及該LM1溝渠802的側壁上。
該保形的襯裡1000的蝕刻之後為矽延伸蝕刻製程。此第二蝕刻製程典型亦為乾式各向異性蝕刻製程,並可在與該保形襯裡100蝕刻製程相同的工具中被進行。在此,該矽延伸乾式蝕刻可利用SF6蝕刻化學或SF6加上鈍化聚合物蝕刻化學。此矽延伸蝕刻延伸該深MEMS溝渠902超出該保形的襯裡1000之底部,如藉由圖11中之參考數字1100所顯示。用於該隨後之MEMS釋放步驟,延伸該等MEMS溝渠902超出該保形的襯裡1000之範圍係需要的。於本發明之一措施中,該矽延伸蝕刻係一選擇性蝕刻,其在該LMI溝渠802之底部所暴露的再分配線600上具有一緩慢之蝕刻速率。這能夠使用單一罩幕層方式而讓該矽延伸蝕刻工作。於對比下,如果該矽延伸蝕刻係非選擇性蝕刻,分開之微影步驟將是需要的。
現在翻至圖12,MEMS釋放蝕刻製程被顯示。在此,各向同性蝕刻製程被使用在該深MEMS溝渠902之底部,以蝕刻掉該半導體基板100的各部份。既然在此所使用之蝕刻製程係各向同性的,該蝕刻將由兩側面底切該懸臂904,直至其由該在下方的半導體基板100斷開。一旦被斷開,該懸臂904具有功能性移動。應注意的是該懸臂904的一端部(在該等圖面中未示出)被錨固至該基板 100,其能夠使圖12中所顯示之懸臂904的一部份保持懸置在該半導體基板100上方。被使用於該MEMS釋放中之各向同性蝕刻製程可為以SF6氣體為基礎的蝕刻,雖然在該技藝中習知之其他各向同性蝕刻化學可被使用。
其次,亦顯示在圖12者係保形金屬襯裡1200之沈積,其被沈積在該整個結構之上、包含在該等MEMS溝渠902之側壁上、在該懸臂904上、以及在該鈍化層700之上及在該LMI溝渠802內。該保形金屬襯裡1200可包括金屬,諸如銅、鋁、銅鋁合金、以及其他金屬與合金。該保形金屬襯裡1200可使用及ALD或CVD製程被沈積。
該保形金屬襯裡1200之沈積導致二對平行金屬板之形成,第一對平行板1202被固定,且第二對平行板1204係在該懸臂904上及因此係可移動的。這些二對平行金屬板被使用,以能夠讓該懸臂904機電作動。如此,該MEMS裝置900被完全地形成。應注意的是在此中所敘述之MEMS裝置僅只係MEMS裝置的一範例,其可被形成在該半導體基板100的背面106上。於交替之措施中,該MEMS裝置可採用異於在此中所敘述者之其他形狀及/或結構,且不須包含懸臂或二對平行金屬板。於此說明書中所顯示之特別MEMS裝置僅只係MEMS裝置的一範例,且被提供來幫助說明本發明之措施。
雖然未示出,於本發明之各種措施中,該MEMS裝置900被電耦接至該再分配層600。該MEMS裝置900可因此經由該再分配層600及該TSV 400被電耦接至該裝置層 102。於本發明之措施中,該基板100包含複數TSVs400,其一部份被使用於將該裝置層102耦接至MEMS裝置900,而其他TSVs 400被使用於其他目的。
其次,密封層1300係形成在該整個結構之上,包含在該MEMS裝置900及該LMI溝渠802之上。該密封層1300可使用氧化物被形成,該氧化物係使用物理蒸氣沈積製程或電漿增強CVD(PECVD)製程所沈積。此該密封層1300位在適當位置中,如在圖14中所顯示,該密封層1300的一部份可接著使用傳統佈圖製程被移去,以暴露該LMI溝渠802。其次,該暴露之保形金屬襯裡1200可使用各向異性蝕刻製程被蝕刻,以由該鈍化層700頂上及由該LMI溝渠802之底部移去該保形金屬襯裡1200。該保形金屬襯裡1200保留在該LMI溝渠802之側壁上。
翻至圖15,LMI凸塊1500之形成被顯示。該LMI凸塊1500可使用金屬、諸如銅、鋁、鎢、這些金屬之合金、或交替之金屬被形成。包含電鍍及無電鍍之傳統沈積製程可被用來形成該LMI凸塊1500。於本發明之措施中,該基板100包含複數TSVs 400,其部份被使用於將該裝置層102耦接至該LMI凸塊1500,而其他TSVs 400被使用於其他目的、諸如將該裝置層102耦接至MEMS裝置900。
一旦該LMI凸塊被形成,圖16顯示該密封層1300之移去。乾式蝕刻製程可被用來移去該密封層1300。
圖17A及17B說明本發明的被使用於SOC應用中之 半導體基板100。於圖17A中,具有至少一TSV 400及至少一MEMS裝置900的半導體基板100被顯示接合至記憶體模組1700。及於圖17B中,具有至少一TSV 400及至少一MEMS裝置900的半導體基板100被顯示接合至記憶體模組1700以及介入數位晶粒1702兩者。
於本發明之一措施中,該MEMS裝置900可使用一密封LMI結構被密封,該密封LMI結構圍繞該MEMS裝置900之周邊。此密封LMI結構可與位在該第二基板上之密封LMI結構對齊與黏著至對應的密封LMI結構,該第二基板被接合至該基板100、諸如該記憶體模組1700或該數位晶粒1702。該基板100上之LMI結構及該第二基板上之對應的LMI結構間之結果的軟焊接頭提供一密封,其防止外來物質、諸如於該積體電路SOC裝置的包裝期間所使用之底部填充物質填充於該MEMS裝置900中之自由空間或空隙中,這對於其功能性係需要的。
例如,如在圖17A所示,LMI結構1704被顯示,其圍繞該MEMS裝置900。應注意的是圖17A係一截面圖,故LMI結構1704之僅只二部份係可看見的,然而,當然該LMI結構1704可圍繞該MEMS裝置900之整個周邊。該LMI結構1704接合至位在該記憶體模組1700上之對應的LMI結構1706。於圖17B中,該LMI結構1704被顯示接合至位在該數位晶粒1702上之對應的LMI結構1708。再者,應注意的是各種不同基板可使用LMI結構或LMI型式結構(例如C4凸塊)被耦接至該基板100,且 因此各種不同的密封結構可被用來密封該MEMS裝置900。交替地,替代LMI結構1704,銲接環或另一密封結構可被使用,其圍繞該MEMS裝置900之周邊,且接合至任何待接合至該基板100的基板上之對應結構。
圖18說明按照本發明的一措施之計算裝置1800,該計算裝置1800一主機板1802。該主機板1802可包含許多零組件,包含、但不限於處理器1804及至少一通訊晶片1806。該處理器1804係物理及電耦接至該主機板1802。於一些措施中,該至少一通訊晶片1806亦係物理及電耦接至該主機板1802。於進一步措施中,該通訊晶片1806被整合在該處理器1804內。
視其應用而定,計算裝置1800可包含其他可或不能物理及電耦接至該主機板1802的零組件。這些其他零組件包含、但不被限制於揮發性記憶體(例如DRAM)、非揮發性記憶體(例如ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼機處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼譯碼器、視頻編碼譯碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴旋裝置、喇叭、照相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位多用途磁碟(DVD)等)。
該通訊晶片1806能夠無線通訊,用於資料之傳送至該計算裝置1800及由該計算裝置1800傳送資料。該“無線”一詞及其衍生字可被用來敘述電路、裝置、系統、方 法、技術、通訊通道等,其可經過該調制電磁輻射之使用經過非固體媒介傳達資料。該術語不隱含該等相關裝置不會含有任何電線,雖然於一些實施例中它們可能不含有。該通訊晶片1806可實施許多無線標準或協定之任何一者,包含、但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、Bluetooth、其衍生者、以及被規定為3G、4G、5G、及超出者的任何其他無線協定。該計算裝置1800可包含複數通訊晶片1806。例如,第一通訊晶片1806可被專用於較短範圍無線通訊、諸如WiFi及Bluetooth,且第二通訊晶片1806可被專用於較長範圍無線通訊、諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他者。
該計算裝置1800之處理器1804包含被封裝在該處理器1804內之積體電路晶粒。於本發明之一些措施中,該處理器之積體電路晶粒包含一或多個形成在其背面上之裝置、諸如按照本發明之措施所形成的TSVs及背面MEMS裝置。該“處理器”一詞可意指任何裝置或裝置的一部份,其處理來自暫存器及/或記憶體之電子資料,以將該電子資料轉變成其他可被儲存於暫存器及/或記憶體中之電子資料。
該通訊晶片1806亦包含被封裝在該通訊晶片1806內 之積體電路晶粒。按照本發明之另一措施,該通訊晶片之積體電路晶粒包含一或多個形成在其背面上之裝置、諸如按照本發明之措施所形成的TSVs及背面MEMS裝置。
於另一措施中,安置在該計算裝置1800內之另一零組件可含有積體電路晶粒,其包含一或多個形成在其背面上之裝置、諸如按照本發明之措施所形成的TSVs及背面MEMS裝置。
於各種措施中,該計算裝置1800可為膝上型電腦、上網型電腦、筆記型電腦、超輕薄筆電、智慧型手機、平板電腦、個人數位助理器(PDA)、超級行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監示器、機上盒、娛樂控制單元、數位照相機、手提式音樂播放器、或數位錄影機。於進一步措施中,該計算裝置1800可為處理資料之任何另一電子裝置。
包括被敘述於該摘要中者,本發明之說明措施的上面敘述不意欲為詳盡的或將本發明限制於所揭示之精確形式。雖然用於本發明之特定措施、及範例在此中用於說明之目的被敘述,各種同等修改係可能在本發明之範圍內,如那些熟諳該相關技藝者將認知。
以上面詳細敘述之觀點,這些修改可對本發明被作成。於以下申請專利範圍中所使用之術語不應被解釋為將本發明限制於該說明書及申請專利範圍中所揭示之特定措施。反之,本發明之範圍將完全藉由以下申請專利範圍所決定,該等申請專利範圍將按照申請專利範圍解釋條款之制 定原則被解釋。
100‧‧‧基板
102‧‧‧裝置層
104‧‧‧前面
106‧‧‧背面
108‧‧‧硬罩幕層
110‧‧‧光阻劑層
112‧‧‧開口
200‧‧‧開口
300‧‧‧襯裡
400‧‧‧穿透矽通孔
402‧‧‧種晶層
500‧‧‧層間介電體
502‧‧‧溝渠
600‧‧‧再分配線
602‧‧‧黏附層
700‧‧‧鈍化層
702‧‧‧光阻劑層
704‧‧‧空隙
706‧‧‧空隙
800‧‧‧溝渠
802‧‧‧溝渠
900‧‧‧微機電裝置
902‧‧‧溝渠
904‧‧‧懸臂
1000‧‧‧襯裡
1100‧‧‧底部
1200‧‧‧襯裡
1202‧‧‧平行板
1204‧‧‧平行板
1300‧‧‧密封層
1500‧‧‧凸塊
1700‧‧‧記憶體模組
1702‧‧‧數位晶粒
1704‧‧‧LMI結構
1706‧‧‧LMI結構
1800‧‧‧計算裝置
1802‧‧‧主機板
1804‧‧‧處理器
1806‧‧‧通訊晶片
圖1-16說明在積體電路晶粒上之TSV及背面MEMS裝置的形成。
圖17A及17B說明待耦接至各種其他裝置之本發明的積體電路晶粒。
圖18係按照本發明之措施所製成的計算裝置。
100‧‧‧基板
108‧‧‧硬罩幕層
400‧‧‧穿透矽通孔
500‧‧‧層間介電體
600‧‧‧再分配線
700‧‧‧鈍化層
900‧‧‧微機電裝置
902‧‧‧溝渠
904‧‧‧懸臂
1500‧‧‧凸塊

Claims (30)

  1. 一種設備,包括:單一半導體基板,具有前面及背面;穿透矽通孔(TSV),形成在該半導體基板內,其由該基板的前面延伸至該基板之背面;及微機電(MEMS)裝置,被形成在該基板之背面上。
  2. 如申請專利範圍第1項之設備,另包括一在該半導體基板的前面上所製成之裝置層。
  3. 如申請專利範圍第2項之設備,其中該裝置層包括電晶體及金屬互連部,且其中該TSV之第一端部被耦接至該電晶體及該金屬互連部之至少一者。
  4. 如申請專利範圍第1項之設備,另包括一在該半導體基板的背面上所製成之邏輯記憶體介面(LMI)連接部。
  5. 如申請專利範圍第4項之設備,另包括一再分配層。
  6. 如申請專利範圍第4項之設備,其中該LMI連接部被電耦接合至該TSV。
  7. 如申請專利範圍第5項之設備,其中該LMI連接部係經由該再分配層電耦接至該TSV。
  8. 如申請專利範圍第1項之設備,其中該MEMS裝置包括一可移動懸臂、被形成在該可移動懸臂上之第一組平行金屬板、及被固定至該半導體基板的第二組平行金屬板。
  9. 如申請專利範圍第3項之設備,其中該MEMS裝置被電耦接至該TSV之第二端部。
  10. 如申請專利範圍第1項之設備,其中該MEMS裝置包括感測器、微感測器、共振器、作動器、微作動器、或轉換器。
  11. 一種設備,包括:單一半導體基板;裝置層,形成在該單一半導體基板的前面上;再分配層,形成在該單一半導體基板之背面上;穿透矽通孔(TSV),形成在被電耦接至該裝置層及該再分配層之單一半導體基板內;邏輯記憶體介面(LMI),形成在被電耦接至該再分配層的單一半導體基板之背面上;及MEMS裝置,形成在被電耦接至該再分配層的單一半導體基板之背面上。
  12. 如申請專利範圍第11項之設備,其中該再分配層將該TSV耦接至該LMI。
  13. 如申請專利範圍第11項之設備,其中該再分配層將該TSV耦接至該MEMS裝置。
  14. 如申請專利範圍第11項之設備,另包括一密封LMI,其圍繞該MEMS裝置之周邊。
  15. 如申請專利範圍第14項之設備,其中該密封LMI包括銲接環。
  16. 一種設備,包括: 第一基板,具有前面及背面;裝置層,被製成在該第一基板的前面上;再分配層,被製成在該第一基板之背面上;穿透矽通孔(TSV),被形成穿過該第一基板,其中該TSV之第一端部係耦接至該裝置層的至少一電晶體或至少一金屬互連部,且該TSV之第二端部被電耦接至該再分配層;第一邏輯記憶體介面(LMI)凸塊,被製成在該第一基板之背面上,其中該第一LMI凸塊被電耦接至該再分配層;MEMS裝置,被製成在該第一基板之背面上,其中該MEMS裝置被電耦接至該再分配層;及記憶體模組基板,具有第二LMI凸塊,其中該第二LMI凸塊被電耦接至該第一基板之第一LMI凸塊。
  17. 如申請專利範圍第16項之設備,另包括一被夾在該第一基板及該記憶體模組基板之間的中介層基板,其中第三LMI凸塊係形成在該中介層基板的前面上,且被電耦接至該第一基板之第一LMI凸塊,及其中第四LMI凸塊係形成在該中介層基板之背面上,且被電耦接至該記憶體模組基板之第二LMI凸塊。
  18. 如申請專利範圍第17項之設備,其中該中介層基板包括指狀晶粒。
  19. 如申請專利範圍第16項之設備,其中該MEMS裝置經過該再分配層及該TSV被電耦接至該裝置層。
  20. 如申請專利範圍第16項之設備,其中該LMI凸塊經過該再分配層及該TSV被電耦接至該裝置層。
  21. 如申請專利範圍第16項之設備,另包括:第一密封LMI結構,被製成在圍繞該MEMS裝置之周邊的第一基板上;及第二密封LMI結構,被製成在接合至該第一密封LMI結構之記憶體模組基板上,藉此形成一環繞該MEMS裝置的密封件。
  22. 如申請專利範圍第17項之設備,另包括:第一密封LMI結構,被製成在圍繞該MEMS裝置之周邊的第一基板上;及第二密封LMI結構,被製成在接合至該第一密封LMI結構之中介層基板上,藉此形成一環繞該MEMS裝置的密封件。
  23. 一種無線裝置,包括:天線;顯示器;電池;至少一通訊晶片;及SOC積體電路處理器,包括:第一基板,具有前面及背面;裝置層,被製成在該第一基板的前面上;再分配層,被製成在該第一基板之背面上;穿透矽通孔(TSV),被形成穿過該第一基板, 其中該TSV之第一端部係耦接至該裝置層的至少一電晶體或至少一金屬互連部,且該TSV之第二端部被電耦接至該再分配層;第一邏輯記憶體介面(LMI)凸塊,被製成在該第一基板之背面上,其中該第一LMI凸塊被電耦接至該再分配層;MEMS裝置,被製成在該第一基板之背面上,其中該MEMS裝置被電耦接至該再分配層;及第二基板,具有一記憶體模組及第二LMI凸塊,其中該第二LMI凸塊被電耦接至該第一基板之第一LMI凸塊。
  24. 如申請專利範圍第23項之無線裝置,另包括圖形處理器。
  25. 如申請專利範圍第23項之無線裝置,另包括觸控螢幕顯示器。
  26. 如申請專利範圍第23項之無線裝置,另包括GPS晶片。
  27. 如申請專利範圍第23項之無線裝置,另包括一被夾在該第一基板及該第二基板之間的中介層基板,其中第三LM1凸塊係形成在該中介層基板的前面上,且被電耦接至該第一基板之第一LMI凸塊,及其中第四LMI凸塊係形成在該中介層基板之背面上,且被電耦接至該第二基板的第二LMI凸塊。
  28. 如申請專利範圍第27項之無線裝置,其中該中介 層基板包括指狀晶粒。
  29. 如申請專利範圍第23項之無線裝置,其中該MEMS裝置經過該再分配層及該TSV被電耦接至該裝置層。
  30. 如申請專利範圍第23項之無線裝置,其中該LMI凸塊經過該再分配層及該TSV被電耦接至該裝置層。
TW101149601A 2011-12-28 2012-12-24 背面塊狀矽微機電的設備 TWI517339B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067523 WO2013100951A1 (en) 2011-12-28 2011-12-28 Backside bulk silicon mems

Publications (2)

Publication Number Publication Date
TW201342563A true TW201342563A (zh) 2013-10-16
TWI517339B TWI517339B (zh) 2016-01-11

Family

ID=48698194

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104134881A TWI574368B (zh) 2011-12-28 2012-12-24 背面塊狀矽微機電的設備
TW101149601A TWI517339B (zh) 2011-12-28 2012-12-24 背面塊狀矽微機電的設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104134881A TWI574368B (zh) 2011-12-28 2012-12-24 背面塊狀矽微機電的設備

Country Status (5)

Country Link
US (2) US9196752B2 (zh)
CN (1) CN104170060B (zh)
DE (1) DE112011106068B4 (zh)
TW (2) TWI574368B (zh)
WO (1) WO2013100951A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI714402B (zh) * 2019-01-23 2020-12-21 美商格芯(美國)集成電路科技有限公司 用於半導體裝置結構異質整合的矽通孔

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9196752B2 (en) 2011-12-28 2015-11-24 Intel Corporation Backside bulk silicon MEMS
US9711392B2 (en) 2012-07-25 2017-07-18 Infineon Technologies Ag Field emission devices and methods of making thereof
US9287312B2 (en) * 2013-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Imaging sensor structure and method
CN106463467B (zh) 2014-06-16 2019-12-10 英特尔公司 不使用穿硅通孔(tsv)将存储器管芯直接集成到逻辑管芯的方法
US9574959B2 (en) * 2014-09-02 2017-02-21 Apple Inc. Various stress free sensor packages using wafer level supporting die and air gap technique
US9564500B2 (en) * 2015-06-30 2017-02-07 International Business Machines Corporation Fully-depleted SOI MOSFET with U-shaped channel
US9698108B1 (en) 2015-12-23 2017-07-04 Intel Corporation Structures to mitigate contamination on a back side of a semiconductor substrate
US20170322770A1 (en) * 2016-05-04 2017-11-09 Chengdu Haicun Ip Technology Llc Processor with Backside Look-Up Table
US10848158B2 (en) 2016-02-13 2020-11-24 HangZhou HaiCun Information Technology Co., Ltd. Configurable processor
CN107651649B (zh) * 2016-07-26 2019-10-18 中国航空工业集团公司西安飞行自动控制研究所 一种数字输出的无源阵列式mems传感器
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
KR102501675B1 (ko) * 2018-07-13 2023-02-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN110723712B (zh) * 2019-10-18 2024-02-13 中国航空工业集团公司西安飞行自动控制研究所 一种mems器件结构及制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1209808C (zh) * 2003-02-28 2005-07-06 北京大学 体硅mems器件集成化方法
US7767484B2 (en) 2006-05-31 2010-08-03 Georgia Tech Research Corporation Method for sealing and backside releasing of microelectromechanical systems
US20080128901A1 (en) * 2006-11-30 2008-06-05 Peter Zurcher Micro-electro-mechanical systems device and integrated circuit device integrated in a three-dimensional semiconductor structure
JP4825778B2 (ja) 2007-11-16 2011-11-30 株式会社日立製作所 半導体装置およびその製造方法
US20090261416A1 (en) 2008-04-18 2009-10-22 Wolfgang Raberg Integrated mems device and control circuit
US8513041B2 (en) * 2009-11-30 2013-08-20 Pixart Imaging Corporation MEMS integrated chip and method for making same
US8294261B2 (en) * 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
JP5479227B2 (ja) 2010-05-28 2014-04-23 株式会社東芝 半導体装置
US8525278B2 (en) * 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US9196752B2 (en) 2011-12-28 2015-11-24 Intel Corporation Backside bulk silicon MEMS
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI714402B (zh) * 2019-01-23 2020-12-21 美商格芯(美國)集成電路科技有限公司 用於半導體裝置結構異質整合的矽通孔

Also Published As

Publication number Publication date
TWI517339B (zh) 2016-01-11
DE112011106068T5 (de) 2014-09-11
TWI574368B (zh) 2017-03-11
DE112011106068B4 (de) 2023-11-16
CN104170060B (zh) 2017-12-29
US9196752B2 (en) 2015-11-24
TW201620108A (zh) 2016-06-01
WO2013100951A1 (en) 2013-07-04
CN104170060A (zh) 2014-11-26
US20140117470A1 (en) 2014-05-01
US9850121B2 (en) 2017-12-26
US20160075551A1 (en) 2016-03-17

Similar Documents

Publication Publication Date Title
TWI517339B (zh) 背面塊狀矽微機電的設備
TWI757900B (zh) 形成背側自對準通孔的方法及所形成的結構
TWI784884B (zh) 製造電子裝置及電腦裝置之方法
TWI550808B (zh) 3d互連結構、3d封裝體及形成一雙鑲嵌3d互連結構之方法
CN109411443B (zh) 垂直堆叠晶圆及其形成方法
TWI556335B (zh) 包含組合有貫矽導孔的細間距單嵌背側金屬再分佈線的3d內連線結構
TWI703633B (zh) 電子裝置和用以製造電子裝置、用以提供無遮罩氣隙流程、及用以提供替代層間介電質流程的方法
US11374024B2 (en) Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
TW201442183A (zh) 用於矽穿孔之連接結構
KR20220117129A (ko) 전면측 신호 라인들 및 후면측 전력 전달을 갖는 집적 회로 구조체
TW201620044A (zh) 有空洞加速的崩潰的金屬氧化物半導體(mos)反熔絲
NL2029722B1 (en) Hermetic sealing structures in microelectronic assemblies having direct bonding
NL2029641B1 (en) Hermetic sealing structures in microelectronic assemblies having direct bonding
WO2019066792A1 (en) INTEGRATED CIRCUIT COMPONENTS WITH FACIAL STRUCTURES
CN102856246B (zh) 制造半导体器件的方法和半导体器件
TW201721803A (zh) 防止通路擊穿的無遮罩氣隙
TWI714657B (zh) 介電緩衝層
TW201724446A (zh) 錨定的通矽孔技術
US20230197779A1 (en) Integrated circuit structure with backside power delivery
TW202044395A (zh) 顯現積體電路裝置背側的技術與相關架構
CN117716495A (zh) 具有掩埋功率轨的集成电路结构
WO2017111854A1 (en) Methods of forming low capacitance interconnect structures utilizing low dielectric materials