CN104170060B - 背侧体硅mems - Google Patents

背侧体硅mems Download PDF

Info

Publication number
CN104170060B
CN104170060B CN201180076128.0A CN201180076128A CN104170060B CN 104170060 B CN104170060 B CN 104170060B CN 201180076128 A CN201180076128 A CN 201180076128A CN 104170060 B CN104170060 B CN 104170060B
Authority
CN
China
Prior art keywords
substrate
mems
lmi
memory interface
logic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201180076128.0A
Other languages
English (en)
Other versions
CN104170060A (zh
Inventor
R·巴斯卡兰
C·M·佩尔托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN104170060A publication Critical patent/CN104170060A/zh
Application granted granted Critical
Publication of CN104170060B publication Critical patent/CN104170060B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • B81B3/0021Transducers for transforming electrical into mechanical energy or vice versa
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/84Types of semiconductor device ; Multistep manufacturing processes therefor controllable by variation of applied mechanical force, e.g. of pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0757Topology for facilitating the monolithic integration
    • B81C2203/0771Stacking the electronic processing unit and the micromechanical structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种集成电路器件,包括单一半导体基板;形成在该单一半导体基板的正侧上的器件层;形成在该单一半导体基板的背侧上的再分配层;形成在单一半导体基板内的硅穿通孔(TSV),其电气耦合至该器件层及该再分配层;形成在单一半导体基板的背侧上的逻辑内存接口(LMI),其电气耦合至该再分配层;和形成在单一半导体基板的背侧上的MEMS器件,其电气耦合至该再分配层。

Description

背侧体硅MEMS
背景技术
如本领域技术众所周知,集成电路(IC)管芯,也称之为IC芯片,典型地包含形成在体硅基板上的有源器件层和形成在该有源器件层上的金属化层。该有源器件层包含用大量晶体管形成的有源电路。该有源电路可为,例如,用于IC芯片的逻辑电路,该IC芯片被用作处理器。该金属化层采用多层绝缘金属线而形成,该多层金属线互连该有源器件层中的晶体管。这些金属线通常被称之为金属互连。钝化层通常形成在金属互连之上,且铜凸块形成在该钝化层顶上并将该金属互连耦合至外部器件。该铜凸块常常为可控熔塌芯片连接(C4凸块)。
该集成电路管芯的背侧表面,与C4凸块相对,通常不用作任何功能性目的。在某些实施例中,该背侧表面可采用化学机械抛光工艺进行抛光以去除体硅衬底材料的一部分并因此减小了IC管芯的厚度。除此之外,该IC管芯的背侧通常保持不使用。
详细说明
图1-16示出了在集成电路管芯上形成硅通孔和背侧MEMS器件。
图17A和17B示出了将要耦合至各种其它器件的本发明的集成电路管芯。
图18为根据本发明实施例构建的计算器件。
具体实施方式
本文描述的是在形成于体硅衬底上的传统IC芯片上形成背侧器件的系统和方法。在下文的描述中,该例示性实施方式的各个方面将采用本领域技术人员用以向本领域其它技术人员传达其工作的实质内容的惯用术语。可是,对于本领域技术人员来说显而易见的是本发明可仅以所述方面的部分来实践。为了解释的目的,提及的特定数据、材料和结构是为了对该说明实施提供透彻理解。可是,对于本领域技术人员来说显而易见的是可在没有这些特定细节的情况下实施本发明。在其它情况下,为了不使本例示性实施方式模糊不清,省略或简化了公知特征。
以最有助于理解本发明的方式,依次将各种操作描述为多个分离的操作,然而,所描述的顺序不应当被解释为暗示这些操作必须依据的顺序。特别地,这些操作不需按照本发明呈现的顺序来执行。
本发明的实施例可在诸如图1所示的半导体基板100之类的基板上形成或实施。在一个实施例中,该半导体基板100可以是采用体硅或绝缘体上硅结构所形成的晶体基板。在其它实施例中,该半导体基板100可以是采用替代的材料形成,该材料可或不可与硅结合,且包含但不限于锗、锑化铟、碲化铅、砷化铟、砷化镓或锑化镓。分类在三五族或四族的其它材料也可用来形成该基板。虽然本文描述了一些可形成该基板的材料的实例,但是任何可以用作在其上制备半导体器件的基础的材料都落入本发明的精神和范围之内。
应当注意,该半导体基板100最初是半导体晶片的一部分,该半导体晶片在某点处被切片为单独的的集成电路管芯。当半导体基板100仍然是半导体晶片的一部分时可执行文中所包含的工艺,或者在该晶片被切成小方块且半导体基板100被切片为分离的集成电路管芯之后执行这些工艺。在任一实例中,集成电路管芯随后都将耦合至诸如存储器模块基板之类的其它基板,作为片上系统(SOC)器件。
如图1所示,器件层102形成在该半导体基板100的正侧104上。该器件层102包括多个晶体管,诸如金属-氧化物-半导体场效应晶体管(MOSFET或简化的MOS晶体管),可被直接制备在该基板上。在本发明的各种实施例中,该MOS晶体管可是平面晶体管、非平面晶体管或它们的组合。非平面晶体管包括双栅晶体管、三栅晶体管以及环栅晶体管,它们通常被称之为FinFET晶体管。
每个MOS晶体管包括至少由两层(栅电介质层和栅电极层)形成的栅堆叠。该栅电介质层可由诸如氧化硅(SiO2)或高k材料形成。用在栅电介质层中的高k材料的例子包括但不限于氧化铪、铪硅氧化物、氧化镧、氧化镧铝、氧化锌、锌硅氧化物、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钼以及铌酸锌。在某实施例中,当采用高k材料时,对栅绝缘层执行退火工艺以提高其质量。
栅电极层形成在栅电介质层上并依据该晶体管是PMOS还是NMOS晶体管而包括至少一种P型功函数金属或一种N型功函数金属。在某实施例中,栅电极层包括两层或更多金属层,其中至少一个金属层是功函数金属层并且该至少一个金属层是填充金属层。
对于PMOS晶体管,可用作栅电极的金属包括但不限于钌、钯、铂、钴、镍和诸如氧化钌之类的导电性金属氧化物。P型金属层能够形成具有介于约4.9eV和约5.2eV之间功函数的PMOS栅电极。对于NMOS晶体管,可用作栅电极的金属包括但不限于铪、锆、钛、钽、铝和这些金属的合金、以及这些金属的碳化物诸如碳化铪、碳化锆、碳化钛、碳化钽和碳化铝。N型金属层能够形成具有介于约3.9eV和约4.2eV之间的功函数的NMOS栅电极。
在本发明的实施例中,一对间隔物将栅堆叠括在一起(bracket)。这些间隔物可由诸如氮化硅、氧化硅、碳化硅、碳掺杂的氮化硅及氮氧化硅的材料形成。用于形成间隔物的工艺是本领域公知的工艺,通常包括沉积和蚀刻步骤。
如本领域公知的,源区和漏区形成在基板中,与每个MOS晶体管的栅堆叠相邻。该源区和漏区通常采用注入/扩散工艺或蚀刻/沉积工艺形成。在该形成工艺中,诸如硼、铝、锑、磷、或砷的掺杂剂可被离子注入到该基板中以形成源区和漏区。该离子注入工艺之后典型地为退火工艺以激活掺杂剂并致使其向基板中进一步扩散。在后面的工艺中,首先蚀刻该基板以在源区和漏区所在位置形成凹处。接着执行外延沉积工艺以用诸如硅锗或硅碳的硅合金来填充该凹处,由此形成源区和漏区。在某实施例中,该外延沉积的硅合金可以是以诸如硼、砷或磷作为掺杂剂的原位掺杂。在进一步的实施方式中,可将替代的材料沉积到凹处中以形成源区和漏区,诸如锗或三五族材料或合金。
在该MOS晶体管上方沉积一或多个层间电介质(ILD)。ILD层可采用已知用在集成电路结构中的介电材料形成,诸如低k介电材料。可用作介电材料的例子包括但不限于氧化硅(SiO2)、掺杂碳的氧化物(CDO)、氮化硅、诸如八氟环丁烷或聚四氟乙烯的有机聚合物、氟硅酸玻璃(FSG)、及诸如硅倍半氧烷、硅氧烷的有机硅酸盐、或有机硅酸盐玻璃。ILD层可包含孔隙或其它空隙,以进一步减少其介电常数。
器件层102还包括金属化层,其电互连至器件层102中的各个晶体管。可有多个金属化层,使每一层典型包含金属互连、金属通孔及隔离的层间介电(ILD)材料。金属互连可包括由诸如铜、铜合金、银、碳纳米管以及其它导电材料的材料所形成的金属线。金属互连也可包含阻挡和/或黏附层,其被形成于该金属线及该周围ILD之间。典型地使用诸如钽、钛、氮化钼及氮化钛之类的材料来形成阻挡层和黏附层。
本发明的实施例提供了一种工艺流程及其产生的结构,该结构将至少一个被称为MEMS器件的微机电系统结合至半导体基板的背侧,该半导体基板在其正侧上具有器件层102。MEMS技术通常指非常小或最小化的电驱动的机械和电机械器件。MEMS器件使用微制造技术制造。MEMS也称之为微机械或微系统技术。MEMS器件包括多个部件,其与外部互相作用,并可从不具有移动元件的相对简单的结构变化至在集成微电子控制下的具有多个移动元件的非常复杂的电机械系统。MEMS器件的类型包括但不限于传感器、微传感器、共振器、致动器、微致动器、微电子器件及转换器。图1至16详细描述了本发明的一个实施方式的工艺流程。
从图1开始,该工艺流程始于硅通孔(TSV)的形成,该TSV将该器件层102互连至诸如存储器模块基板(图17A/B中所示)之类的其它器件,或互连至MEMS器件,其随后被形成在该半导体基板100的背侧106上。在完成该器件层102的制造之后的TSV的形成是公知的“后通孔(via last)”。应当注意的是在可替换实施例中,该TSV可使用公知的“中通孔(viamiddle)”(即,该TS V是在该器件层102的制造开始之后,但在该器件层102的制造完成之前被形成)或使用已知为“先通孔(via first)”(即,该TSV是在该器件层102的制造开始之前被形成)。此处所描述的本发明工艺流程与任何先通孔、中通孔、或后通孔工艺兼容。
在此,示出了在其正侧104上具有器件层102的半导体基板100。也示出了该半导体基板100的背侧106。这里的半导体基板100将在文中成为基板100。尽管所示的基板100包括器件层102,但是在替换实施例中,没有器件层102出现。插入式基板是这种基板100的一个示例,其中,器件层102不是必须的。
在半导体基板100的背侧106上沉积硬掩膜层108。可采用基于氮化物或氧化物的材料形成该硬掩膜层108,诸如氮化硅、氧化硅或氮氧化硅。在本发明的替换实施例中,改变硬掩模材料。硬掩膜层的沉积方法为现有技术公知的方法。接着,沉积光刻胶层110并图形化以在光刻胶层110中产生定义TSV的开口112。沉积和图形化光刻胶层的方法也是现有技术中公知的方法。
移至图2,采用各向异性蚀刻工艺来在基板100中形成通孔开口200。该各向异性蚀刻工艺向下钻孔经过该光刻胶层110中的开口112直至该器件层102。该蚀刻工艺可是湿法蚀刻工艺或干法蚀刻工艺。在本发明的一个实施例中,采用SF6化学蚀刻的各向异性干法蚀刻工艺被用于形成通孔开口200。在另一个实施例中,SF6干法蚀刻可伴随聚合物钝化步骤。一个这样的SF6蚀刻+聚合物钝化工艺为公知的“Bosch”蚀刻以及使用CHF3钝化聚合物。通孔开口200形成之后,采用公知的方法来去除光刻胶层110。
图3描述了通孔开口200的侧壁衬垫300的形成。在本发明实施例中,侧壁衬垫300由诸如二氧化硅的氧化物组成,该氧化物采用化学气相沉积工艺沉积,诸如CVD、原子层沉积(ALD)。这提供了一保形的氧化物层。可替换地,也可采用诸如溅射的物理气相沉积(PVD)工艺。侧壁衬垫300最初被沉积为衬垫材料的保形层,其覆盖整个结构。该覆盖层随后采用各向异性蚀刻工艺被蚀刻以移除硬掩模层108顶上及沿着通孔开口200的底部表面的衬垫材料。从通孔开口200的底部移除衬垫300能够让随后形成的TSV电接触至该器件层102。该蚀刻工艺可以是湿法或干法蚀刻工艺,例如可采用基于氟的干法各向异性化学蚀刻。该各向异性蚀刻工艺产生了如图3所示的侧壁衬垫300。
图4说明了TSV400的形成。TSV400可通过首先沉积一种子层,诸如铜种子层402,且接着用电镀或化学镀工艺填充通孔开口200而形成。该工艺将诸如铜、铜合金、铝、铝合金或其它替换金属的金属沉积进入通孔开口200以填充该通孔开口并形成TSV400。接着执行化学机械抛光工艺(CMP),以从半导体基板100的背侧106去除过多的金属。这实质上完成了TSV400的形成。
转至图5和6,示出了再分配层的形成。从图5开始,沉积层间介电层(ILD)500并图案化以形成其中形成有再分配线的沟槽502。再分配线能够决定由TSV400至随后形成的电接口的路线,其能够通讯至片外至另一基板,诸如分开的存储器件的通讯,随后将该存储器件耦合至半导体基板100。这些电接口的种类包括但不限于可控-熔塌芯片连接(C4)、逻辑-存储界面(LMI)或其它类似连接。文中这样的电接口将被称之为LMI界面,但是应当注意,这含盖了C4及其它类型的连接。或者,再分配线能够决定TSV400至随后形成的MEMS器件的路径。该ILD500通常由诸如氧化物或氮化物的材料组成,例如二氧化硅、氮化硅或氮氧化硅。采用传统的光刻工艺来图形化ILD500。一旦图形化工艺完成,则在ILD500中形成了沟槽502,用于形成再分配线。
现在转至图6,示出了再分配线600的形成。该再分配线600采用传统工艺来形成,该传统工艺包括阻挡层和或粘附层602的沉积,随后是诸如电镀或化学镀的金属沉积工艺以填充ILD500中的沟槽502并形成该再分配线600。金属,诸如铜、铜合金、铝、铝合金、铜铝合金或其它金属可用来形成该再分配线600。跟随金属沉积工艺之后的CMP工艺去除了ILD50层顶上的任何多余的金属。图6示出了完成的再分配线600,包括一根耦合至TVS400的再分配线600。
图7说明了逻辑-存储器接口(LMI)和MEMS器件两者的形成的第一阶段。首先,在ILD500上方形成钝化层700。该钝化层700由诸如氧化硅的氧化物或诸如氮化硅的氮化物而形成,以及也用它们形成其它包含氮氧化硅的ILD材料。采用公知的沉积技术沉积钝化层700,诸如CVD、ALD、或PVD工艺。接着使用标准光刻图形化工艺形成钝化层700中的开口或空隙。例如,可形成光刻胶层702并在钝化层700顶上对其图形化。开口可被图形化在该光刻胶层702中,诸如定义随后形成的MEMS器件的空隙704和定义随后形成的LMI凸块的空隙706。用于在光刻胶层702中形成空隙的技术是公知的。
使用光刻胶层702及其空隙704/706作为掩模,接着各向异性蚀刻该钝化层700。如图8所示,这导致MEMS沟槽800和LMI沟槽802形成在钝化层700中。图8也示出了光刻胶层702的去除。采用的蚀刻工艺优选为设计为只蚀刻氧化物但停止在暴露的任何再分配线600的铜或其它金属表面。例如,LMI沟槽802停止在位于TSV400顶上的再分配线600的顶部表面。
现在转至图9,尽管LMI沟槽802的蚀刻停止在再分配线600,MEMS沟槽800的蚀刻继续通过ILD500并进入半导体基板以形成相对深的沟槽902,用于形成MEMS器件900。在一个实施例中,可以使用与用于形成MEMS沟槽800相同的蚀刻工艺。可替换地,可以采用第二蚀刻工艺,其更适合于蚀刻通过半导体基板100的材料。例如,在一个实施例中,可采用干法各向异性化学蚀刻在半导体基板100中形成深的沟槽902。此干法各向异性化学蚀刻可使用SF6化学物质。在一个可替换实施例中,可采用SF6加钝化聚合物的干法蚀刻化学物质。如上所述,采用CHF3钝化聚合物的Bosch蚀刻可以被使用。图9示出了用于形成MEMS器件900的深MEMS沟槽902。分开两个MEMS沟槽902的鳍状结构可用于随后形成的悬臂梁904。
在本发明一个实施例中,用于形成LMI沟槽802和MEMS沟槽800的第一蚀刻工艺以及用于形成深MEMS沟槽902的第二蚀刻工艺都可以是干法各向异性蚀刻工艺并且因此都可以用相同的工艺工具来执行。
图10示出了沉积保形衬垫1000,其形成在深MEMS沟槽902内,包围悬臂梁904,在钝化层700的顶上且在LMI沟槽802内。该保形衬垫1000可由诸如氧化硅的氧化物或诸如氮化硅的氮化物或诸如氮氧化硅的其它材料形成。该保形衬垫1000可用CVD或ALD工艺沉积。这种保形衬垫1000是MEMS器件制造工艺的一部分。
图11示出了公知的MEMS底部穿通蚀刻。首先,使用各向异性蚀刻工艺从深MEMS沟槽902的底部去除保形衬垫1000。该蚀刻典型地为用于氧化硅或氮化硅的干法各向异性蚀刻工艺。该蚀刻从LMI沟槽802的底部和钝化层700的顶部表面去除了保形衬垫1000。该保形衬垫1000仍然保留在深MEMS沟槽902的侧壁和LMI沟槽802的侧壁上。
保形衬垫1000的蚀刻之后是硅延伸蚀刻工艺。这种第二蚀刻工艺典型地也是干法各向异性蚀刻工艺并且可以与保形衬垫1000的蚀刻工艺以相同的工具执行。本文,硅延伸干法蚀刻可使用SF6蚀刻化学物质或SF6加钝化聚合物蚀刻化学物质。硅延伸蚀刻延伸该深MEMS沟槽902超出该保形衬垫1000的底部,如图11中的附图标记1100所示。用于随后的MEMS释放步骤,延伸该MEMS沟槽902超出该保形衬垫1000的范围是需要的。在本发明的一个实施例中,硅延伸蚀刻是选择性蚀刻,其在LMI沟槽802的底部暴露的再分配线600上具有缓慢的蚀刻速率。这能够使用单一掩模层的方式来进行硅延伸蚀刻工作。相比而言,如果硅延伸蚀刻是非选择性蚀刻,则将需要分开的光刻步骤。
现在转至图12,示出了MEMS释放蚀刻工艺。在此,在深MEMS沟槽902的底部使用各向同性蚀刻工艺以蚀刻掉半导体基板100的各部分。由于在此使用的蚀刻工艺是各向同性的,该蚀刻将从两个侧面底切该悬臂梁904直至其从其下方的半导体基板100断开。一旦被断开,该悬臂904具有移动功能。应注意的是该悬臂904的一端部(图中未示出)被锚固至该基板100,其能够使图12中所示的悬臂梁904的部分保持悬置在半导体基板100的上方。用于MEMS释放中的各向同性蚀刻工艺可是基于SF6气体的蚀刻,但是也可以使用其它现有技术中的各向同性蚀刻。
接着,也如图12所示的保形金属衬垫1200的沉积,其沉积在整个结构上方,包括在MEMS沟槽902的侧壁上,悬臂梁904上,以及钝化层700上方和LMI沟槽802内。保形金属衬垫1200由诸如铜、铝、铜铝合金以及其它金属和合金组成。可采用ALD或CVD工艺来形成保形金属衬垫1200。
保形金属衬垫1200的沉积导致两对平行金属板的形成,第一对平行金属板1202被固定,且第二对平行金属板1204在悬臂梁904上并由此是可动的。使用这两对平行金属板能够使得悬臂梁904机电致动。因此,完全地形成了MEMS器件900。应当注意,这里描述的MEMS器件只是形成在半导体基板100的背侧106上的MEMS器件的一个示例。在替换的实施例中,MEMS器件可采用不同于本文所述的其它形状和/或结构,且不必须包含悬臂梁或两对平行金属板。本说明书中所示的具体的MEMS器件只是MEMS器件的一个示例且被提供用于帮助例示本发明的实施方式。
尽管未示出,在本发明的各个实施例中,该MEMS器件900电气耦合至再分配层600。该MEMS器件900因此可经由再分配层600及TSV400而电气耦合至器件层102。在本发明的实施例中,基板100包含多个TSV400,其一部份用于将器件层102耦合至MEMS器件900,而其它TSV400用于其它目的。
其次,密封层1300形成在整个结构上方,包括在MEMS器件900及LMI沟槽802上方。该密封层1300可使用氧化物形成,该氧化物是使用物理气相沉积工艺或等离子增强CVD(PECVD)工艺所沉积。密封层1300在适当位置中,如图14中所示,密封层1300的一部份随后可使用传统图形化工艺去除以暴露LMI沟槽802。接着,该暴露的保形金属衬垫1200可采用各向异性蚀刻工艺蚀刻,以由该钝化层700和该LMI沟槽802的底部去除该保形金属衬垫1200。该保形金属衬垫1200保留在LMI沟槽802的侧壁上。
转至图15,示出了LMI凸块1500的形成。该LMI凸块1500可采用诸如铜、铝、钨、这些金属的合金或可替代的金属之类的金属来形成。包含电镀和无电镀的传统沉积工艺可被用来形成该LMI凸块1500。在本发明的实施例中,基板100包含多个TSV400,其部份用于将该器件层102耦合至该LMI凸块1500,而其它TSV400用于其它目的,诸如将该器件层102耦合至MEMS器件900。
图16示出了形成LMI凸块之后去除密封层1300。采用干法蚀刻工艺来去除密封层1300。
图17A和17B例示了用于SOC应用中的本发明的半导体基板100。在图17A中,所示具有至少一个TSV400及至少一个MEMS器件900的半导体基板100接合至存储器件模块1700。并且在图17B中,示出了具有至少一个TSV400以及至少一个MEMS器件900的半导体基板100接合至存储器模块1700以及插入式数字管芯1702两者。
在本发明的一个实施例中,可使用密封LMI结构来密封MEMS器件900,该密封LMI结构围绕MEMS器件900。此密封LMI结构可与位于接合至该基板100的第二基板上的对应的密封LMI结构对齐并接合,诸如存储器模块1700或数字管芯1702。基板100上的LMI结构与第二基板上相应的LMI结构之间产生焊接接头提供密封件,其防止外来物质填充至MEMS器件900中的对于其功能性是需要的自由空间或空隙中,所述外来物质例如是在集成电路SOC器件的封装期间使用的底部填充物质。
例如,如图17A所示,示出了LMI结构1704,其围绕MEMS器件900。应当注意,图17A是截面图,因此LMI结构1704只有两部分是可见的,然而,LMI结构1704当然可围绕MEMS器件900的整个周围。LMI结构1704接合至位于存储器模块1700上的对应的LMI结构1706。在图17B中,所示的LMI结构1704接合至位于数字管芯1702上的对应的LMI结构1708。再者,应当注意,可使用LMI结构或LMI型结构(例如,C4凸块)将各种不同基板耦合至基板100,且因此可以使用各种不同的密封结构来密封MEMS器件900。或者,替代LMI结构1704,可使用焊接环或另一密封结构,其围绕在MEMS器件900,且接合至任何待结合至该基板100的基板上的相应结构。
图18示出了按照本发明的一个实施例的计算器件1800。该计算器件1800有主板1802。该主板1802包含多个部件,包括但不限于处理器1804以及至少一歌通信芯片1806。处理器1804物理及电气耦合至主板1802。在某实施例中,至少一个通信芯片1806也是物理及电气耦合至主板1802。在另一个实施例中,该通信芯片1806被集成在该处理器1804中。
根据应用,计算器件1800可包含其它部件,该部件可以或不可以物理耦合并电气耦合至主板1802。这些其它部件包括但不限于易失性存储器(例如DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、密码机处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码译码器、视频编码译码器、功率放大器、全球定位系统(GPS)器件、罗盘、加速计、陀螺仪、喇叭、相机、以及大容量存储器件(如硬盘驱动、光盘(CD)、数字多用途磁盘(DVD)等)。
通信芯片1806能够无线通信,用于将数据传送至计算器件1800并从计算器件1800传送数据。术语“无线”及其衍生词可用来描述电路、器件、系统、方法、技术、通信信道等,其可通过经调制的电磁辐射的使用利用非固体媒介传送数据。该术语不暗示相关器件不会包含任何电线,虽然在一些实施例中它们可能不包含。通信芯片1806可执行多种无线标准或协议中的任一,包括但不限于WiFi(IEEE802.11家族)、WiMAX(IEEE 802.16家族)、IEEE802.20、长期演进技术(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生者、以及被规定为3G、4G、5G、及超出者的任何其它无线协议。该计算器件1800可包含多个通信芯片1806。例如,第一通信芯片1806可被专用于较短范围无线通信,诸如WiFi及蓝牙,且第二通信芯片1806可被专用于较长范围无线通讯,诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其它。
计算器件1800的处理器1804包含封装在该处理器1804中的集成电路。在本发明一个实施例中,该处理器的集成电路包含一个或多个形成在其背侧上的器件,诸如按照本发明一个实施例形成的TSV及背侧MEMS器件。该“处理器”一词可意指任何器件或器件的一部分,其处理来自寄存器和/或存储器的电子数据,以将该电子数据转变成其它可被储存在寄存器和/或存储器中的电子数据。
通信芯片1806也包含封装在该通信芯片1806中的集成电路。按照本发明的一个实施例,该通信芯片1806中的集成电路包含一个或多个形成在其背侧上的器件,诸如按照本发明的一歌实施例形成的TSV及背侧MEMS器件。
在进一步的实施例中,安装在该计算器件1800中的另一部件可包含集成电路管芯,其包含一个或多个形成在其背侧上的器件,诸如按照本发明的实施例形成的TSV及背侧MEMS器件。
在各个实施例中,计算器件1800可为膝上电脑、上网本、笔记本电脑、超轻薄笔记本、智能手机、平板电脑、个人数字辅助器(PDA)、超级移动PC、移动电话、桌上电脑、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、手提式音乐播放器或数字视频录像机。在进一步的实施例中,计算器件1800可为处理数据的任何另一个电子器件。
包括在摘要中所描述的,以上描述的本发明的实施例不意在详尽或将本发明限制于所公开的精确形式。虽然在此用于说明的目的,描述了用于本发明的特定实施例及示例,但是本领域技术人员可在本发明范围之内作各种等同变型。
根据上面详细的描述,这些变型可作为本发明。在以下权利要求范围中使用的术语不应当被解释为将本发明限制于该说明书及权利要求范围中所公开的特定实施例。反之,本发明的范围将完全由以下权利要求范围所决定,这些权利要求将按照权利要求范围解释条款的制定原则来解释。

Claims (26)

1.一种半导体设备,包括:
单一半导体基板,所述单一半导体基板具有正侧和背侧;
形成于所述半导体基板内的硅通孔(TSV),所述硅通孔(TSV)从所述基板的所述正侧延伸至所述基板的所述背侧;以及
微机电系统(MEMS)器件,其至少部分地位于所述基板内并且位于所述基板的所述背侧上,所述微机电系统(MEMS)器件经由导体而电耦合到所述硅通孔(TSV),其中,所述导体位于所述基板的所述背侧上。
2.根据权利要求1所述的设备,还包括制备在所述半导体基板的所述正侧上的器件层。
3.根据权利要求2所述的设备,其中,所述器件层包括晶体管和金属互连,并且其中,所述硅通孔(TSV)的第一端部耦合至所述晶体管和所述金属互连中的至少之一。
4.根据权利要求3所述的设备,其中,所述微机电系统(MEMS)器件电气耦合至所述硅通孔(TSV)的第二端部。
5.根据权利要求1所述的设备,还包括形成在所述半导体基板的所述背侧上的逻辑-存储器接口(LMI)连接部。
6.根据权利要求5所述的设备,其中,所述逻辑-存储器接口(LMI)连接部电气耦合至所述硅通孔(TSV)。
7.根据权利要求5所述的设备,还包括再分配层,所述再分配层包括所述导体。
8.根据权利要求7所述的设备,其中,所述逻辑-存储器接口(LMI)连接部穿过所述再分配层电气耦合至所述硅通孔(TSV)。
9.根据权利要求1所述的设备,其中,所述微机电系统(MEMS)器件包括可移动悬臂梁,形成在所述可移动悬臂梁上的第一组平行金属板和固定至所述半导体基板的第二组平行金属板。
10.根据权利要求1所述的设备,其中,所述微机电系统(MEMS)器件包括传感器、共振器、致动器或转换器。
11.根据权利要求10所述的设备,其中,所述传感器包括微传感器,并且所述致动器包括微致动器。
12.一种半导体设备,包括:
单一半导体基板;
器件层,所述器件层形成在所述单一半导体基板的正侧上;
再分配层,所述再分配层形成在所述单一半导体基板的背侧上;
形成于所述单一半导体基板内的硅通孔(TSV),所述硅通孔(TSV)电气耦合至所述器件层并电气耦合至所述再分配层;
形成于所述单一半导体基板的背侧上的逻辑-存储器接口(LMI),所述逻辑-存储器接口(LMI)电气耦合至所述再分配层;以及
形成于所述单一半导体基板的所述背侧上的微机电系统(MEMS)器件,所述微机电系统(MEMS)器件电气耦合至所述再分配层。
13.根据权利要求12所述的设备,其中,所述再分配层将所述硅通孔(TSV)耦合至所述逻辑-存储器接口(LMI)或所述微机电系统(MEMS)器件。
14.根据权利要求12所述的设备,还包括围绕所述微机电系统(MEMS)器件的周边的密封逻辑-存储器接口(LMI)。
15.根据权利要求14所述的设备,其中,所述密封逻辑-存储器接口(LMI)包括焊接环。
16.一种半导体设备,包括:
第一基板,所述第一基板具有正侧和背侧;
器件层,所述器件层制备在所述第一基板的所述正侧上;
再分配层,所述再分配层制备在所述第一基板的所述背侧上;
穿过所述第一基板而形成的硅通孔(TSV),其中,所述硅通孔(TSV)的第一端部电气耦合至所述器件层的至少一个晶体管或至少一个金属互连,并且所述硅通孔(TSV)的第二端部电气耦合至所述再分配层;
制备在所述第一基板的所述背侧上的第一逻辑-存储器接口(LMI)凸块,其中,所述第一逻辑-存储器接口(LMI)凸块电气耦合至所述再分配层;
制备在所述第一基板的所述背侧上的微机电系统(MEMS)器件,其中,所述微机电系统(MEMS)器件电气耦合至所述再分配层;以及
具有第二逻辑-存储器接口(LMI)凸块的存储器模块基板,其中,所述第二逻辑-存储器接口(LMI)凸块电气耦合至所述第一基板的所述第一逻辑-存储器接口(LMI)凸块。
17.根据权利要求16所述的设备,还包括夹在所述第一基板与所述存储器模块基板之间的中介层基板,其中,第三逻辑-存储器接口(LMI)凸块形成在所述中介层基板的正侧上且电气耦合至所述第一基板的所述第一逻辑-存储器接口(LMI)凸块,并且其中,第四逻辑-存储器接口(LMI)凸块形成在所述中介层基板的背侧上且电气耦合至所述存储器模块基板的所述第二逻辑-存储器接口(LMI)凸块。
18.根据权利要求17所述的设备,其中,所述中介层基板包括数字管芯。
19.根据权利要求17所述的设备,还包括:
制备在所述第一基板上的第一密封逻辑-存储器接口(LMI)结构,所述第一密封逻辑-存储器接口(LMI)结构围绕所述微机电系统(MEMS)器件的周边;以及
制备在所述中介层基板上的第二密封逻辑-存储器接口(LMI)结构,所述第二密封逻辑-存储器接口(LMI)结构接合至所述第一密封逻辑-存储器接口(LMI)结构,由此形成包围所述微机电系统(MEMS)器件的密封件。
20.根据权利要求16所述的设备,其中,所述微机电系统(MEMS)器件通过所述再分配层和所述硅通孔(TSV)电气耦合至所述器件层。
21.根据权利要求16所述的设备,其中,所述第一逻辑-存储器接口(LMI)凸块通过所述再分配层和所述硅通孔(TSV)电气耦合至所述器件层。
22.根据权利要求16所述的设备,还包括:
制备在所述第一基板上的第一密封逻辑-存储器接口(LMI)结构,所述第一密封逻辑-存储器接口(LMI)结构围绕所述微机电系统(MEMS)器件的周边;以及
制备在所述存储器模块基板上的第二密封逻辑-存储器接口(LMI)结构,所述第二密封逻辑-存储器接口(LMI)结构接合至所述第一密封逻辑-存储器接口(LMI)结构,由此形成包围所述微机电系统(MEMS)器件的密封件。
23.一种无线器件,包括:
天线;
显示器;
电池;
至少一个通信芯片;以及
SOC集成电路处理器,所述SOC集成电路处理器包括:
第一基板,所述第一基板具有正侧和背侧;
器件层,所述器件层制备在所述第一基板的所述正侧上;
再分配层,所述再分配层制备在所述第一基板的所述背侧上;
穿过所述第一基板而形成的硅通孔(TSV),其中,所述硅通孔(TSV)的第一端部电气耦合至所述器件层的至少一个晶体管或至少一个金属互连,并且所述硅通孔(TSV)的第二端部电气耦合至所述再分配层;
制备在所述该第一基板的所述背侧上的第一逻辑-存储器接口(LMI)凸块,其中,所述第一逻辑-存储器接口(LMI)凸块电气耦合至所述再分配层;
制备在所述第一基板的所述背侧上的微机电系统(MEMS)器件,其中,所述微机电系统(MEMS)器件电气耦合至所述再分配层;以及
具有存储器模块和第二逻辑-存储器接口(LMI)凸块的第二基板,其中,所述第二逻辑-存储器接口(LMI)凸块电气耦合至所述第一基板的所述第一逻辑-存储器接口(LMI)凸块。
24.根据权利要求23所述的无线器件,还包括图形处理器、触摸屏显示器和GPS芯片中的至少之一。
25.根据权利要求23所述的无线器件,还包括夹在所述第一基板与所述第二基板之间的中介层基板,其中,第三逻辑-存储器接口(LMI)凸块形成在所述中介层基板的正侧上且电气耦合至所述第一基板的所述第一逻辑-存储器接口(LMI)凸块,并且其中,第四逻辑-存储器接口(LMI)凸块形成在所述中介层基板的背侧上且电气耦合至所述第二基板的所述第二逻辑-存储器接口(LMI)凸块。
26.根据权利要求23所述的无线器件,其中,所述微机电系统(MEMS)器件和所述第一逻辑-存储器接口(LMI)凸块中的至少之一通过所述再分配层和所述硅通孔(TSV)电气耦合至所述器件层。
CN201180076128.0A 2011-12-28 2011-12-28 背侧体硅mems Expired - Fee Related CN104170060B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067523 WO2013100951A1 (en) 2011-12-28 2011-12-28 Backside bulk silicon mems

Publications (2)

Publication Number Publication Date
CN104170060A CN104170060A (zh) 2014-11-26
CN104170060B true CN104170060B (zh) 2017-12-29

Family

ID=48698194

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180076128.0A Expired - Fee Related CN104170060B (zh) 2011-12-28 2011-12-28 背侧体硅mems

Country Status (5)

Country Link
US (2) US9196752B2 (zh)
CN (1) CN104170060B (zh)
DE (1) DE112011106068B4 (zh)
TW (2) TWI517339B (zh)
WO (1) WO2013100951A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013100951A1 (en) 2011-12-28 2013-07-04 Intel Corporation Backside bulk silicon mems
US9711392B2 (en) * 2012-07-25 2017-07-18 Infineon Technologies Ag Field emission devices and methods of making thereof
US9287312B2 (en) * 2013-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Imaging sensor structure and method
EP3155658B1 (en) * 2014-06-16 2023-02-22 Intel Corporation Memory die with direct integration to logic die and method of manufacturing the same
US9574959B2 (en) * 2014-09-02 2017-02-21 Apple Inc. Various stress free sensor packages using wafer level supporting die and air gap technique
US9564500B2 (en) * 2015-06-30 2017-02-07 International Business Machines Corporation Fully-depleted SOI MOSFET with U-shaped channel
US9698108B1 (en) 2015-12-23 2017-07-04 Intel Corporation Structures to mitigate contamination on a back side of a semiconductor substrate
US20170322770A1 (en) * 2016-05-04 2017-11-09 Chengdu Haicun Ip Technology Llc Processor with Backside Look-Up Table
US10848158B2 (en) 2016-02-13 2020-11-24 HangZhou HaiCun Information Technology Co., Ltd. Configurable processor
CN107651649B (zh) * 2016-07-26 2019-10-18 中国航空工业集团公司西安飞行自动控制研究所 一种数字输出的无源阵列式mems传感器
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
KR102501675B1 (ko) * 2018-07-13 2023-02-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10770374B2 (en) * 2019-01-23 2020-09-08 Globalfoundries Inc. Through-silicon vias for heterogeneous integration of semiconductor device structures
CN110723712B (zh) * 2019-10-18 2024-02-13 中国航空工业集团公司西安飞行自动控制研究所 一种mems器件结构及制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1431699A (zh) * 2003-02-28 2003-07-23 北京大学 体硅mems器件集成化方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767484B2 (en) 2006-05-31 2010-08-03 Georgia Tech Research Corporation Method for sealing and backside releasing of microelectromechanical systems
US20080128901A1 (en) * 2006-11-30 2008-06-05 Peter Zurcher Micro-electro-mechanical systems device and integrated circuit device integrated in a three-dimensional semiconductor structure
JP4825778B2 (ja) 2007-11-16 2011-11-30 株式会社日立製作所 半導体装置およびその製造方法
US20090261416A1 (en) 2008-04-18 2009-10-22 Wolfgang Raberg Integrated mems device and control circuit
US8513041B2 (en) * 2009-11-30 2013-08-20 Pixart Imaging Corporation MEMS integrated chip and method for making same
US8294261B2 (en) * 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
JP5479227B2 (ja) 2010-05-28 2014-04-23 株式会社東芝 半導体装置
US8525278B2 (en) * 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
WO2013100951A1 (en) 2011-12-28 2013-07-04 Intel Corporation Backside bulk silicon mems
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1431699A (zh) * 2003-02-28 2003-07-23 北京大学 体硅mems器件集成化方法

Also Published As

Publication number Publication date
TWI574368B (zh) 2017-03-11
US20160075551A1 (en) 2016-03-17
WO2013100951A1 (en) 2013-07-04
CN104170060A (zh) 2014-11-26
US9196752B2 (en) 2015-11-24
DE112011106068B4 (de) 2023-11-16
US20140117470A1 (en) 2014-05-01
TW201620108A (zh) 2016-06-01
US9850121B2 (en) 2017-12-26
TWI517339B (zh) 2016-01-11
TW201342563A (zh) 2013-10-16
DE112011106068T5 (de) 2014-09-11

Similar Documents

Publication Publication Date Title
CN104170060B (zh) 背侧体硅mems
US11799037B2 (en) Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US11462536B2 (en) Integrated circuit structures having asymmetric source and drain structures
TWI715671B (zh) 具有嵌入式介電質間隔物的奈米線電晶體
US20230207700A1 (en) Integrated circuit structures having partitioned source or drain contact structures
CN107743653A (zh) 用于半导体结构的金属特征的自底向上填充(buf)
US11329162B2 (en) Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構
US11227799B2 (en) Wrap-around contact structures for semiconductor fins
TW202125823A (zh) 具有絕緣基體之閘極全包圍式積體電路結構
CN109863606A (zh) 具有鳍部端部应力引发特征的半导体设备
TW202329395A (zh) 具有電介質閘極壁及電介質閘極插塞之積體電路結構
US11450738B2 (en) Source/drain regions in integrated circuit structures
US11342409B2 (en) Isolation regions in integrated circuit structures
US20240105801A1 (en) Integrated circuit structures with gate volume reduction
CN110326088A (zh) 用于制造环绕式接触部的金属化学气相沉积方法和结果得到的结构
US20240186398A1 (en) Integrated circuit structures with cavity spacers
US20240178273A1 (en) Integrated circuit structures with source or drain contacts having enhanced contact area
US20230197817A1 (en) Low temperature, high germanium, high boron sige:b pepi with titanium silicide contacts for ultra-low pmos contact resistivity and thermal stability
US20230299157A1 (en) Integrated circuit structures with deep via structure
US20220399335A1 (en) Integrated circuit structures with backside gate partial cut or trench contact partial cut
US20240105771A1 (en) Integrated circuit structures with channel cap reduction
US20230317788A1 (en) Integrated circuit structures with full-wrap contact structure
TW202326941A (zh) 具有溝槽接點跨接結構的積體電路結構
CN118676182A (zh) 具有背面触点选择性的集成电路结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20171229

Termination date: 20191228

CF01 Termination of patent right due to non-payment of annual fee