TW201133710A - Method of forming a through-silicon - Google Patents

Method of forming a through-silicon Download PDF

Info

Publication number
TW201133710A
TW201133710A TW099128610A TW99128610A TW201133710A TW 201133710 A TW201133710 A TW 201133710A TW 099128610 A TW099128610 A TW 099128610A TW 99128610 A TW99128610 A TW 99128610A TW 201133710 A TW201133710 A TW 201133710A
Authority
TW
Taiwan
Prior art keywords
layer
conductive layer
forming
opening
region
Prior art date
Application number
TW099128610A
Other languages
English (en)
Other versions
TWI427739B (zh
Inventor
Ming-Fa Chen
I-Ching Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201133710A publication Critical patent/TW201133710A/zh
Application granted granted Critical
Publication of TWI427739B publication Critical patent/TWI427739B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

201133710 六、發明說明: 【發明所屬之技術領域】 本發明係有關於一種積體電路(Ic)的製造,且特別是 有關於以化學機械研磨同時形成矽穿孔(TSV ; through-silicon via)與接觸插塞的製程。 【先前技術】 三維(3D)晶圓-對-晶圓垂直堆疊技術可在單一晶片 • 中達成多層主動ic元件(例如處理器、可編程元件、記憶 體元件等)的垂直堆疊以縮短平均線路長度,達到降低内 連線電阻電容延遲(RC delay)與增加系統性能的目的。在 單一晶圓或晶圓-對-晶圓垂直堆疊形成3D内連線的主要 挑戰在於通孔的製作’此通孔提供路徑使高阻抗訊號從 晶圓的一侧跨過另一侧。TSV中通常填入導電材料而與 其他TSV或接合層的導體接觸形成電性連接。 目前的TSV製程是結合傳統的1C製程形成貫穿矽基 • 底的銅導孔。當TSV製程在接觸製程之後進行時,需要 一道額外的化學機械研磨(CMP)製程去除多餘的銅,以提 供平坦的表面。化學侵钱、光侵姓、窄溝侵触(narrow trench corrosion)、電化侵餘(galvanic corrosion)為化學機 械研磨中可能造成銅侵蝕的各種機制。電化侵蝕又稱為 雙金屬侵蝕(bimetallic corrosion)’其產生的原因是由於 形成電性或離子接觸的兩種不同金屬,因為電化學上的 不相容所造成。因此,上述額外的銅化學機械研磨漿料 會對鎢插塞造成電化侵蝕。 0503-A34844TWF/esmond 3 201133710 【發明内容】 在一實施例中,本發明 提供一半導體基底,其且有m 孔的形成方法包括: 電層於半導體基底上,其中介 /、 一區,形成一介 開口;形成一第一導雷;s认人 於第一區具有一第一 开成丄介電層上且填入第-開口; 第一’、第一£且延伸穿過第 層、及部分的半導體基底,其 导電層、”電 部;形成-保護層於第—導雷開口具有側壁與底 口的侧壁與底部;形成—j電順應性覆蓋第二開 第二開…及去除以於保護層上且填入 導電層、保護層、及第一導電層,其以外的第二 内的第二導電層形成一矽穿心構;留於第二開口 在另-實施例中,本發明之石夕穿孔的 括.提供-半導體基底,其具有第一开: 於半導體基底上;形成-第-開口 及部分的半導縣底.Β 5 伸穿過介電層 第- Un f層於介電層上且填入 導電層以露出介電層,其中 :-開口以外的 形成,穿孔結構。殘留於第-開口内的導電層 為讓本發明之上述和其他目的 明顯易懂,下文驻與山分处—Α 4健'點此更 作詳細說明如7特舉出較佳貫施例’並配合所附圖式, 0503-A34844TWF/esm〇nd 4 201133710 【實施方式】 之每浐"&供同時對夕個金屬結構進行化學機械研磨 屬結構的實施例可用於形成接觸插塞、石夕 L ()、或其他結構。在本說明書中,,>穿孔(TSV),, 八Θ代表-填有導電材料的開口,且該開口至少貫穿部 導體基材或切基材。在實施例中係使用銅的金 屬=程來形A TSV4本說明書中,,,銅,,—詞涵蓋銅 ^素/、大體上表現出銅電性的銅基合金(eGpper_based alloy) 〇 乂下將配s所附圖式詳述本發明之實施例,其中同 樣或類似的元件將盡可能以相同的^件符號表示;;在圖 式中可能誇大實施例的形狀與厚度以便清楚表現出相關 之特徵。在下文中將特別描述構成本揭示裝置之元件或 與之直接相關之元件。應特別注意的是,未特別顯示或 描述之疋件可以該技術人士所熟知之各種形式存在。此 外¥某一層是被描述為在另一層(或基底),,上,,時,其可 代表該層與另一層(或基底)為直接接觸,或兩者之間^有 其匕層存在。 在本說明書中,關於,,一實施例,,的描述,代表該實 施例所述之特定元件、結構、或特性至少被包含^I實 施例中。因此本說明書中不同地方出現的,,在一實施^ 中”,不必然代表同一個實施例。此外,上述之特定元件 結構、或特性可在一或多個實施例中以任何適合的方气 結合。應注意的是,以下的圖示並未按照比例繪示,^ 是用來便於示意說明。 〇503-A34844TWF/esmond 5 201133710 第1〜5圖為半導體裝置的部分剖面圖,用以說明_ 實施例中各階段之積體電路製程。第1圖顯示一晶圓1〇〇 的剖面不意圖’晶圓100包含基底10,其中第_區I定^ 義為接觸插塞形成區,第二區II定義為TSV結構形成 區。在基底上形成有1C元件200與覆蓋於基底1〇上之 層間介電層(Inter-Layer Dielectric; ILD)12。接觸開 口 14 形成於第一區I的層間介電層12中,用以形成接觸插塞。 基底10通常為矽,例如:具有磊晶層或無磊晶層之 石夕基底,或是具有絕緣埋層之絕緣層上覆石夕 (Silicon-On-insulator; SOI)基底。此外,基底 1〇 也可為
GaAs 基底、GaAsP 基底、InP 基底、GaAlAs 基底、in〇aP 基底。基底10具有正面10a(例如電路側)與背面i〇b(例 如無電路側)。 形成於基底10正面10a中及/或上的1C元件200可 包含數個獨立之電路單元,例如電晶體、二極體、電阻、 電容、電感、或是其他以習知半導體製程所形成之主動 與被動半導體裝置。例如,1C元件200可為一具有閘極 與源/汲極之電晶體。 ILD層12形成於基底1〇的正面i〇a上,用以隔離 1C元件200與後續所形成之内連線結構。ild層12可為 一單層或多層結構《ILD層12可為摻雜或未摻雜之氧化 矽層,由熱化學氣相沉積法或高密度電漿製程所形成, 例如:未摻雜矽玻璃、磷摻雜矽玻璃、或硼填矽玻璃。 此外’ ILD層12亦可為摻雜或磷摻雜旋塗式玻璃(s〇G)、 磷摻雜四乙氧基矽酸鹽(PTEOS)、或硼磷摻雜四乙氧基矽 0503-A34844TWF/esmond 6 201133710 酸鹽(BPTEOS)。 藉由乾钕刻製程在ILD層12中形成一接觸開口 14, 露出部分的1C元件200。在一實施例中,進行^^^層12 的平坦化後,形成一介電抗反射塗層(DARC)及/或底部抗 反射塗層(BARC)以及一圖案化光阻層,這些層在圖中並 未繪出以簡化圖示。之後,進行一乾蝕刻製程以形成穿 過ILD層12的接觸開口 14’露出IC元件2〇〇的接觸區, 例如是位於源/汲極區上的矽化物層。之後,將圖案化光 ♦ 阻層與抗反射層剝除。 明參見第2圖,在ILD層12上沉積第一導電層16 以填入接觸開口 14中。填入接觸開口 14中的第一導電 層16形成一接觸插塞16a,其電性連接至…元件2〇〇。 接觸插塞14的材質可為鎢、鎢基合金、銅、或銅基合金, 其可藉由低壓化學氣相沉積法(LPCVD)、電漿加強化學 氣相沉積法(PECVD)、有機金屬化學氣相沉積 (M〇CVD)、原子層沈積(ALD)或其他先進沉積技術形 成。在-實施例中,第一導電層16的材質為鶴。在一些 實施例中,第一導電層16的材質為銅、翻、氮化欽、; 鶴導電材料、或上述之組合。 請參見第3圖,接著在第二區π形成開口 18。開口 18貫穿第-導電層16與1〇)層12並延伸至基底⑺達 一預定深度,但未露出接觸插塞16&與Ic元件2〇〇。在 形成TS V結構的實施例中,開口 1 $為—ts v開口 後續將在其中進行金屬化製程。為了定義咖開口 Μ, 可先在第-導電層10上形成一硬罩幕層,之後在硬罩幕 〇503-A34844TWF/esmond 7 201133710 2形案化光阻。硬罩幕層可為氮化石夕層、氮氧 顯會及^ 物。光阻層(未顯示)可利用曝光、烘烤、 習知的微影製程在其中形成開口以露出硬 敍刻等方式_=案^1 光阻作為單幕,以濕钱刻或乾 後,再以二 的硬罩幕層以形成-開口。之 底U)進H 圖案化光阻作為罩幕,對露出的基 =進仃^以形成TSV開口 18qtsv開σ18具“ 壁8a與底部18b。形成τςν 光阻層去除。 /成丁SV開口 18後’將硬罩幕層與 18 18 10〇TSVM〇 剠、化與、ill,適虽的蝕刻方法進行蝕刻,例如電漿蝕 ^二: 雷射鑽孔及/或其他習知的製程。在- 製二基 SV開口 18的蝕刻可從基底的正面 lOb'xsv 了具有垂直的側壁輪廓或漸縮的 trr壁㈣。在-實施射,聊開^的深度 的經約開口18具有… =7η 再一些實施例卜聊開口18的深寬比大 於10。 清參見第4圖,在前述結構上形成一保護層20,該 保護層20順應性覆i聊開口 18之底冑⑽與側壁 ⑽,間免任何導電㈣進人晶® 1GG之f路的主動區 ?保蒦f 20的材質可為氧化矽、四乙氧基矽烷(TEQS) 乳化石夕、减石夕、或前述之組合等,其可藉由熱氧化法、 0503-A34844TWF/esmond 201133710 常壓化學氣相沉積法(APCVD)、低壓化學氣相沉積法 (LPCVD)、電漿加強化學氣相沉積法(PECVD)、或未來發 展的沉積技術形成。例如,可利用四乙氧基矽烷(TEOS) 與臭氧為前趨物,利用低壓化學氣相沉積法或電漿加強 化學氣相沉積法形成四乙氧基矽烷(TEOS)氧化矽層。 請參見第4圖,將一阻障層22順應性地沉積在保護 層20上,形成TSV開口 18的内襯(liner)。阻障層22可 作為避免金屬擴散的擴散阻障層,並可作為金屬與介電 層之間黏著層。阻障層的材料可為财火金屬、财火金屬 氮化物、财火金屬氮梦化物、或前述之組合。阻障層可 包括(但不限於):耐火材料、TiN、TaN、Ta、Ti、TiSN、 W、WN、Cr、Nb、Co、Ni、Pt、Ru、Pd、Au、CoP、CoWP、 NiP、NiWP、前述之組合、或其他可抑制銅擴散入ILD 層12之材質,其可由物理氣相沉積法、化學氣相沉積法、 原子層沉積法、或電鍍法所形成。在一實施例中,阻障 層22包含一 TaN層與一 Ta層。在另一實施例中,阻障 層22為一 TiN層。在又另一實施例中,阻障層22為一 Ti 層。 ♦ 接下來進行TSV的填充製程。在阻障層22上沉積一 第二導電層24以填充TSV開口 18。第二導電層24包含 低電阻的導電材料,包括(但不限於):銅與銅基合金。舉 例而言,銅的填充製程可包括一金屬晶種層的沉積與一 銅化學電鍍製程。金屬晶種層可利用物理氣相沉積、化 學氣相沉積、或其他習知的方式形成。之後,將晶圓100 放置在一電鍍設備,例如電化學電鍍設備,並在晶圓100 0503-A34844TWF/esmond 9 201133710 上電鍍銅層以填充TSV開口 18。應注意的是,雖然此處 所述的沉積方式為電化學電鍍,本實施例並不限於以電 鍍方式沉積的金屬。相反地,第二導電層24可包含各種 材料,例如鶴、铭、金、銀等。 之後,如第5圖所示,利用化學機械研磨法(CMP ; Chemical Mechanical Polishing)將位於接觸開口 14 與 TSV開口 18以外多餘的第二導電層24、阻障層22、保 護層20、及第一導電層16去除。如此一來,第一導電層 16與第二導電層24的上表面大體上與ILD層12的上表 面共平面。第二導電層24位於TSV開口 18的剩餘部份 24a構成一 TSV結構26,其貫穿ILD層12並延伸進入 基底10 —預定深度。阻障層22位於TSV開口 18的剩餘 部份22a亦構成了一部分的TSV結構26。保護層20位 於TSV開口側壁18a與底部18b的剩餘部份20a則將TSV 結構26與其他元件隔離。 上述之化學機械研磨製程有利於將所有的這些材料 層24、22、20、16以大體相同的移除率同時去除。相較 於傳統以兩階段分別進行接觸插塞與TSV的化學機械研 磨,本實施例同時進行接觸插塞與TSV的化學機械研磨 可降低製程成本。此處不須針對TSV結構的化學機械研 磨發展新的研磨漿料,而且因此可以省略習知技術中用 於研磨TSV結構時的CMP阻擋層的沉積步驟。 之後,在晶圓 100 上進行後段(BEOL; back-end_of-line)内連線製程以形成包含複數個内連線層 與金屬間介電層(IMD)之内連線結構。本發明之實施例使 0503-A34844TWF/esmond 10 201133710 用鋼基(C〇Pper_based)導電材料來形成内連線層。此處所 稱之”銅基材料,,包含:實質上純的元素銅 '含有不可避 免之雜質的銅、以及包含少量其他S素的銅合金,例如: Ta、In、Sn、Zn、Mg、Cr、Ti、Ge、Sr、Pt、Mg、A卜 △等。可使用標準的鑲嵌製程來製作銅内連線。 第6〜9圖為半導體裝置的部分剖面圖,用以說明另 -實施例中各階段之積體電路製程,其與帛卜5圖相同 或類似的部分將不再贅述。 第6圖顯示一晶圓30〇的剖面示意圖,晶圓—包 έ基底10,在基底上形成有Ic元件與覆蓋於基底 10 上之層間介電層(Inter_Layer Dielectric; 。藉由 微办與乾ϋ刻製& ’於第—區Σ的層間介電層12中形成 接觸開口 14,以露出一部分的1C元件200。此外,使用 罩幕錢賴術,在第二區π巾形成—TSV開口 28。 TSV開口 28位於接觸開口 14以外的區域且穿過ILD層 12之伸至基底1〇 一預定深度。在一實施例中,tSv開口 =與接觸開口 14是由同一微影與蝕刻製程形成。在另一 實施例中’ TSV開口 28與接觸開口 14是由不同的微影 與蝕刻製程各自形成。 請參見第7圖,在前述結構上形成一順應性 (C〇nf0rmal)的保護層2〇,並以微影與蝕刻製程去除位於 接觸開口 14的保護層20,只留下位於TSV開口 28的保 濩層20b。剩餘的保護層2〇b順應性覆蓋TSv開口 28之 底部28b與側壁28a,以避免任何導電材料進入晶圓3〇〇 之電路的主動區域。剩餘的保護層2〇b可延伸至ILD屛 0503-A34844TWF/esmond 201133710 12的上表面。 請參見第8圖,接下來進行金屬填充製程。將一第 三導電層30沉積在前述結構上(ILD層12與保護層20b 上)以同時填充TSV開口 28與接觸開口 14。第三導電層 30的材質可為鎢、鎢基合金、銅或銅基合金、鋁、銀、 鉬、氮化鈦、或其類似物,其可藉由低壓化學氣相沉積 法(LPCVD)、電漿加強化學氣相沉積法(PECVD)、有機金 屬化學氣相沉積(MOCVD)、原子層沈積(ALD)或其他先 進沉積技術形成。舉例而言,銅的填充製程可包括一金 屬晶種層的沉積與一銅化學電鍍製程。 在一些實施例中,在沉積第三導電層30之前可視需 要(optionally)先沉積一阻障層32。阻障層32可作為避免 金屬擴散的擴散阻障層,並可作為金屬與介電層之間黏 著層。阻障層的材料可為耐火金屬、耐火金屬氮化物、 而ί火金屬氮石夕化物、或前述之組合。阻障層可包括(但不 限於):耐火材料、TiN、TaN、Ta、Ti、TiSN、W、WN、 Cr、Nb、Co、Ni ' Pt、Ru、Pd、Au、CoP、CoWP、NiP、 NiWP、前述之組合、或其他可抑制銅擴散入ILD層12 之材質,其可由物理氣相沉積法、化學氣相沉積法、原 子層沉積法、或電鍍法所形成。 之後,如第9圖所示,利用化學機械研磨法(CMP ; Chemical Mechanical Polishing)將位於接觸開口 14 與 TSV開口 28以外多餘的第三導電層30、視需要形成的阻 障層32、保護層20去除。如此一來,第三導電層30的 上表面大體上與ILD層12的上表面共平面。第三導電層 0503-A34844TWF/esmond 12 201133710 30位於TSV開口 28的剩餘部份30a構成一 TSV結構36, 其貫穿ILD層12並延伸進入基底10 —預定深度。阻障 層32位於TSV開口 28的剩餘部份32a亦構成了 一部分 的TSV結構36。保護層20位於TSV開口側壁28a與底 部28b的剩餘部份20a則將TSV結構36與其他元件隔 離。此外,第三導電層30位於接觸開口 14的剩餘部份 30b構成一接觸插塞16b,其電性連接至1C元件200。阻 障層32位於接觸開口 14的剩餘部份32b亦構成了一部 分的接觸插塞16b。 相較於傳統以兩階段的金屬沉積與兩階段的化學機 械研磨分別進行接觸插塞製程與TSV製程,本實施例同 時進行接觸插塞與TSV的填充製程與化學機械研磨。除 了具有以單一步驟進行化學機械研磨的優點之外,以單 一步驟進行金屬填充製程可進一步降低製程成本。 雖然本發明已以數個較佳實施例揭露如上,然其並 非用以限定本發明,任何所屬技術領域中具有通常知識 者,在不脫離本發明之精神和範圍内,當可作任意之更 動與潤飾,因此本發明之保護範圍當視後附之申請專利 範圍所界定者為準。 0503-A34844TWF/esmond 13 201133710 【圖式簡單說明】 第1〜5圖為半導體裝置的部分剖面圖,用以說明一 實施例中各階段之積體電路製程。 第6〜9圖為半導體裝置的部分剖面圖,用以說明另 一實施例中各階段之積體電路製程。 12〜層間介電層; 16〜第一導電層; 20〜保護層; 24〜第二導電層; 30〜第三導電層; 200〜1C元件。 【主要元件符號說明】 10〜半導體基底; 14〜接觸開口; 18、28〜TSV 開口; 22、32〜阻障層; 26、36〜TSV結構; 100、300〜晶圓; 0503-A34844TWF/esmond 14

Claims (1)

  1. 201133710 七、申請專利範圍: 1.一種矽穿孔的形成方法,包括: k供一半導體基底’其具有第一區與第二區. 於 形成一介電層於該半導體基底上,其中該介電声 該第一區具有一第一開口; θ π 形成一第一導電層於該介電層上且填入該第一 開 形成一第二開口於該第二區且延伸穿過該第一導電 層、該介電層、及部分的該半導體基底,其中該第二 口具有側壁與底部; 八 〇Λ 一 $ 形成-保護層於該第-導電層上且順應 二開口的側壁與底部; 乐 形成一第二導電層於該保護層上且填入 口;以及 去除位於該第-、第二開口以外的該第二導電層、 該保護層、及該第-導電層,其中殘留於該第二開口内 的該第二導電層形成一矽穿孔結構。 、甘如中π專利範圍帛〗項所述之碎穿孔的形成方 / ’、中上述去除該第二導電層、該保護層、及該第- 導電層的步驟是以化學機械研磨製程進行。 3請專利範圍帛1項所述之妙穿孔的形成方 觸^殘留於該第—開°内的該第—導電層形成一接 觸插塞。 土甘:申明專利範圍帛1項所述之石夕穿孔的形成方 中該第—導電層包含鎢、鎢合金、銅、或銅合金, 0503-A34844TWF/esm〇nd 15 201133710 導電層包含銅、或銅合金,其中該保護層包 本=中專利範圍® 1項所述之石夕穿孔的形成方 ί:ΐ!在形成該第二導電層之前,更包括:於該保護 曰/、阻障層’其中該阻障層包含Ti、Ta、TiN、戋 TaN。 4 6. 一種矽穿孔的形成方法,包括: 提供-半導體基底,其具有第—區與第二區; 形成一介電層於該半導體基底上; 形成一第一開口於該第一區之該介電層中; 形成一第二開口於該第二區且延伸穿過該介電層及 部分的該半導體基底; 形成一導電層於該介電層上且填入該第一、第二開 口;以及 去除位於該第一、第二開口以外的該導電層以露出 該介電層,其中殘留於該第二開口内的該導電層形成一 矽穿孔結構。 7. 如申請專利範圍第6項所述之矽穿孔的形成方 法’其中上述去除該導電層的步驟是以化學機械研磨製 程進行。 8·如申請專利範圍第6項所述之矽穿孔的形成方 法’其中殘留於該第一開口内的該導電層形成一接觸插 塞。 9.如申請專利範圍第6項所述之矽穿孔的形成方 法’其中該導電層包含鶴、鑛合金、銅或銅合金。 〇503-A34844TWF/esmond 16 201133710 10.如申請專利範圍第6項所述之矽穿孔的形成方 法’其中在形成該導電層之前,更包括:形成—保護層 順應性覆蓋該第二開口的側壁與底部。 如申請專利範圍第6項所述之發穿孔的形成方 法’其中在形成該導電層之前,更包括:於該介電層上 形成-阻障層,其中該阻障層包含Ti、丁&、鹽、或蘭。
    0503-A34844TWF/esmond 17
TW099128610A 2010-03-30 2010-08-26 矽穿孔的形成方法 TWI427739B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/750,364 US8222139B2 (en) 2010-03-30 2010-03-30 Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously

Publications (2)

Publication Number Publication Date
TW201133710A true TW201133710A (en) 2011-10-01
TWI427739B TWI427739B (zh) 2014-02-21

Family

ID=44697112

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099128610A TWI427739B (zh) 2010-03-30 2010-08-26 矽穿孔的形成方法

Country Status (3)

Country Link
US (2) US8222139B2 (zh)
CN (1) CN102208342B (zh)
TW (1) TWI427739B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8202766B2 (en) * 2009-06-19 2012-06-19 United Microelectronics Corp. Method for fabricating through-silicon via structure
JP5584146B2 (ja) * 2011-01-20 2014-09-03 株式会社東芝 半導体装置およびその製造方法
US9305865B2 (en) * 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
CN103066009A (zh) * 2011-10-18 2013-04-24 上海华虹Nec电子有限公司 穿透硅的通孔填充钨塞的工艺方法
US20130178063A1 (en) * 2012-01-11 2013-07-11 United Microelectronics Corp. Method of manufacturing semiconductor device having silicon through via
US9082764B2 (en) 2012-03-05 2015-07-14 Corning Incorporated Three-dimensional integrated circuit which incorporates a glass interposer and method for fabricating the same
CN103367148B (zh) * 2012-03-29 2016-07-06 中芯国际集成电路制造(上海)有限公司 晶体管及其制造方法
CN103378060A (zh) * 2012-04-28 2013-10-30 上海华虹Nec电子有限公司 硅通孔及其填充方法
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US8791016B2 (en) * 2012-09-25 2014-07-29 International Business Machines Corporation Through silicon via wafer, contacts and design structures
KR20150048388A (ko) * 2013-10-28 2015-05-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
CN103956333B (zh) * 2014-05-07 2016-06-01 华进半导体封装先导技术研发中心有限公司 基于中通孔制作方法的tsv、m1、ct金属层一次成型方法
US9425129B1 (en) * 2015-07-01 2016-08-23 Globalfoundries Inc. Methods for fabricating conductive vias of circuit structures
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10037990B2 (en) 2016-07-01 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing interconnect layer and semiconductor device which includes interconnect layer
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
EP3460835B1 (en) * 2017-09-20 2020-04-01 ams AG Method for manufacturing a semiconductor device and semiconductor device
CN109904133A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US10867855B2 (en) 2019-05-13 2020-12-15 Honeywell International Inc. Through silicon via fabrication
CN110767604B (zh) * 2019-10-31 2022-03-18 厦门市三安集成电路有限公司 化合物半导体器件和化合物半导体器件的背面铜制程方法
US11747298B2 (en) * 2020-01-30 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via
KR20230039214A (ko) * 2021-09-14 2023-03-21 삼성전자주식회사 방열 패드, 이를 포함하는 반도체 칩 및 반도체 칩의 제조 방법
CN114582721B (zh) * 2022-05-05 2022-08-02 湖北江城芯片中试服务有限公司 半导体器件的制作方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
KR100377033B1 (ko) 1996-10-29 2003-03-26 트러시 테크날러지스 엘엘시 Ic 및 그 제조방법
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6677235B1 (en) * 2001-12-03 2004-01-13 National Semiconductor Corporation Silicon die with metal feed through structure
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US20040266174A1 (en) * 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
JP2006270080A (ja) * 2005-02-25 2006-10-05 Toshiba Corp 半導体装置及びその製造方法
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8486823B2 (en) 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
KR101647515B1 (ko) * 2008-04-03 2016-08-10 에바텍 어드벤스드 테크놀로지스 아크티엔게젤샤프트 반도체 칩용 금속 배선 구조의 제조 방법, 그리고 반도체 칩용 금속 배선 구조 제조를 위한 금속 배선 구조 제조 장치의 제어 방법
US20090273002A1 (en) * 2008-05-05 2009-11-05 Wen-Chih Chiou LED Package Structure and Fabrication Method
CN101673719A (zh) * 2008-09-08 2010-03-17 台湾积体电路制造股份有限公司 在sin和tin之间引入金属层以改善p-tsv的cbd接触电阻
US8252659B2 (en) * 2008-12-02 2012-08-28 Imec Method for producing interconnect structures for integrated circuits

Also Published As

Publication number Publication date
US8222139B2 (en) 2012-07-17
CN102208342B (zh) 2013-11-06
US20120258590A1 (en) 2012-10-11
US20110244676A1 (en) 2011-10-06
CN102208342A (zh) 2011-10-05
TWI427739B (zh) 2014-02-21

Similar Documents

Publication Publication Date Title
TWI427739B (zh) 矽穿孔的形成方法
CN101924096B (zh) 硅通孔结构及其形成工艺
US9847256B2 (en) Methods for forming a device having a capped through-substrate via structure
US8486823B2 (en) Methods of forming through via
TWI544597B (zh) 積體電路元件以及半導體製程
TWI420590B (zh) 積體電路結構與其形成方法
EP1869700B1 (en) Interconnect structure and method of fabrication of same
KR101382564B1 (ko) 에어갭을 갖는 층간 절연막의 형성 방법
JP4832807B2 (ja) 半導体装置
TWI286818B (en) Electroless plating of metal caps for chalcogenide-based memory devices
TW526590B (en) Integration of organic fill for dual damascene process
JP2004312007A (ja) 金属−絶縁体−金属キャパシタを含む二重ダマシン配線構造及びその製造方法
TW200910431A (en) Semiconductor device and method for manufacturing the same
US10276397B2 (en) CVD metal seed layer
TW515045B (en) Low temperature hillock suppression method in integrated circuit interconnects
KR100988783B1 (ko) 반도체 소자 및 그의 제조 방법
US6417566B1 (en) Void eliminating seed layer and conductor core integrated circuit interconnects
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
KR100640407B1 (ko) 반도체 소자의 다마신 구조 형성 방법
KR101098920B1 (ko) 반도체 소자의 제조방법
KR20020056341A (ko) 반도체 소자의 층간 절연막 형성 방법
KR20070069293A (ko) 구리 배선 형성 방법
JP2008205505A (ja) 半導体装置の製造方法
KR20040040854A (ko) 반도체 소자 및 그 제조 방법